Applied Materials, Inc. Patent applications |
Patent application number | Title | Published |
20160136780 | Using A Carrier Head With Shims - A carrier head includes a base, a substrate mounting surface, a retaining ring secured to the base, and a plurality of stacked shims located between the base and the retaining ring. The retaining ring has a bottom surface for contacting a polishing pad during polishing. | 05-19-2016 |
20160135252 | SUBSTRATE SUPPORT ASSEMBLY HAVING RAPID TEMPERATURE CONTROL - A substrate support assembly comprises a ceramic puck having a substrate receiving surface and an opposing backside surface. The ceramic puck has an electrode and a heater embedded therein. The heater comprises first and second coils that are radially spaced apart. A base of the support assembly comprises a channel to circulate fluid therethrough, the channel comprising an inlet and terminus that are adjacent to one another so that the channel loops back upon itself. A compliant layer bonds the ceramic puck to the base. | 05-12-2016 |
20160129550 | Dynamically Tracking Spectrum Features For Endpoint Detection - A method of controlling polishing includes polishing a substrate and receiving an identification of a selected spectral feature, a wavelength range having a width, and a characteristic of the selected spectral feature to monitor during polishing. A sequence of spectra of light from the substrate is measured while the substrate is being polished. A sequence of values of the characteristic of the selected spectral feature is generated from the sequence of spectra. For at least some spectra from the sequence of spectra, a modified wavelength range is generated based on a position of the spectral feature within a previous wavelength range used for a previous spectrum in the sequence of spectra, the modified wavelength range is searched for the selected spectral feature, and a value of a characteristic of the selected spectral feature is determined. | 05-12-2016 |
20160126134 | SYSTEMS AND METHODS FOR REMOVING CONTAMINATION FROM SEED LAYER SURFACE - An electrochemical deposition plating tool in accordance with one embodiment of the present disclosure includes one or more electrochemical deposition chambers and a hydrogen radical H* generation chamber. | 05-05-2016 |
20160126121 | SENSOR SYSTEM FOR SEMICONDUCTOR MANUFACTURING APPARATUS - A chamber monitoring system may include a parallel architecture in which a single sensor control system is coupled to a number of different processing chamber control board sensor lines. In an illustrative embodiment, a single rotation sensor such as a tachometer may reside in a central control unit remote from the processing chambers such that rotation data may be processed by a single system and thereafter routed according to a variety of different network communication protocols to the main system controller, a factory interface, or both. In this and other embodiments, pull-up networks in the central control unit and the chamber control boards are matched so as to reduce electrical signal anomalies such as crowbar effects. The central control unit may be programmed via a main system controller to operate according to user defined parameters, which in turn may enable the system to differentiate between certain operating states. | 05-05-2016 |
20160126118 | PEDESTAL WITH MULTI-ZONE TEMPERATURE CONTROL AND MULTIPLE PURGE CAPABILITIES - Substrate support assemblies for a semiconductor processing apparatus are described. The assemblies may include a pedestal and a stem coupled with the pedestal. The pedestal may be configured to provide multiple regions having independently controlled temperatures. Each region may include a fluid channel to provide a substantially uniform temperature control within the region, by circulating a temperature controlled fluid that is received from and delivered to internal channels in the stem. The fluid channels may include multiple portions configured in a parallel-reverse flow arrangement. The pedestal may also include fluid purge channels that may be configured to provide thermal isolation between the regions of the pedestal. | 05-05-2016 |
20160118268 | SELECTIVE ETCH FOR METAL-CONTAINING MATERIALS - Methods of selectively etching metal-containing materials from the surface of a substrate are described. The etch selectively removes metal-containing materials relative to silicon-containing films such as silicon, polysilicon, silicon oxide, silicon germanium and/or silicon nitride. The methods include exposing metal-containing materials to halogen containing species in a substrate processing region. A remote plasma is used to excite the halogen-containing precursor and a local plasma may be used in embodiments. Metal-containing materials on the substrate may be pretreated using moisture or another OH-containing precursor before exposing the resulting surface to remote plasma excited halogen effluents in embodiments. | 04-28-2016 |
20160104648 | SYSTEMS AND METHODS FOR INTERNAL SURFACE CONDITIONING IN PLASMA PROCESSING EQUIPMENT - A method of conditioning internal surfaces of a plasma source includes flowing first source gases into a plasma generation cavity of the plasma source that is enclosed at least in part by the internal surfaces. Upon transmitting power into the plasma generation cavity, the first source gases ignite to form a first plasma, producing first plasma products, portions of which adhere to the internal surfaces. The method further includes flowing the first plasma products out of the plasma generation cavity toward a process chamber where a workpiece is processed by the first plasma products, flowing second source gases into the plasma generation cavity. Upon transmitting power into the plasma generation cavity, the second source gases ignite to form a second plasma, producing second plasma products that at least partially remove the portions of the first plasma products from the internal surfaces. | 04-14-2016 |
20160104606 | SYSTEMS AND METHODS FOR INTERNAL SURFACE CONDITIONING ASSESSMENT IN PLASMA PROCESSING EQUIPMENT - In an embodiment, a plasma source includes a first electrode, configured for transfer of one or more plasma source gases through first perforations therein; an insulator, disposed in contact with the first electrode about a periphery of the first electrode; and a second electrode, disposed with a periphery of the second electrode against the insulator such that the first and second electrodes and the insulator define a plasma generation cavity. The second electrode is configured for movement of plasma products from the plasma generation cavity therethrough toward a process chamber. A power supply provides electrical power across the first and second electrodes to ignite a plasma with the one or more plasma source gases in the plasma generation cavity to produce the plasma products. One of the first electrode, the second electrode and the insulator includes a port that provides an optical signal from the plasma. | 04-14-2016 |
20160101497 | Multi-Platen Multi-Head Polishing Architecture - A polishing apparatus includes a plurality of stations supported on a platform, the plurality of stations including at least two polishing stations and a transfer station, each polishing station including a platen to support a polishing pad, a plurality of carrier heads suspended from and movable along a track such that each polishing station is selectively positionable at the stations, and a controller configured to control motion of the carrier heads along the track such that during polishing at each polishing station only a single carrier head is positioned in the polishing station. | 04-14-2016 |
20160096251 | Selection of Polishing Parameters to Generate Removal or Pressure Profile - Values are selected for a plurality of controllable parameters of a chemical mechanical polishing system that includes a carrier head with a plurality of zones to apply independently controllable pressures on a substrate. Data is stored relating variation in removal profile on a front surface of the substrate to variation in the controllable parameters, the data including removal at a plurality of positions on the front surface of the substrate, there being a greater number of positions than chambers. A value is determined for each parameter of the plurality of controllable parameters to minimize a difference between a target removal profile and an expected removal profile calculated from the data relating variation in removal profile on a front surface of the substrate to variation in the parameters. The value for each parameter of the plurality of controllable parameters is stored. | 04-07-2016 |
20160093506 | SILICON OXIDE SELECTIVE REMOVAL - A method of etching exposed silicon oxide on patterned heterogeneous structures is described and includes a gas phase etch using plasma effluents formed in a remote plasma. The remote plasma excites a fluorine-containing precursor in combination with an oxygen-containing precursor. Plasma effluents within the remote plasma are flowed into a substrate processing region where the plasma effluents combine with water vapor or an alcohol. The combination react with the patterned heterogeneous structures to remove an exposed silicon oxide portion faster than a second exposed portion. The inclusion of the oxygen-containing precursor may suppress the second exposed portion etch rate and result in unprecedented silicon oxide etch selectivity. | 03-31-2016 |
20160093505 | OXIDE ETCH SELECTIVITY ENHANCEMENT - A method of etching exposed silicon oxide on patterned heterogeneous structures is described and includes a gas phase etch using plasma effluents formed in a remote plasma. The remote plasma excites a fluorine-containing precursor in combination with an oxygen-containing precursor. Plasma effluents within the remote plasma are flowed into a substrate processing region where the plasma effluents combine with water vapor or an alcohol. The combination react with the patterned heterogeneous structures to remove an exposed silicon oxide portion faster than an exposed silicon nitride portion. The inclusion of the oxygen-containing precursor may suppress the silicon nitride etch rate and result in unprecedented silicon oxide etch selectivity. | 03-31-2016 |
20160093488 | FLOWABLE LOW-K DIELECTRIC GAPFILL TREATMENT - Methods are described for forming a flowable low-k dielectric film on a patterned substrate. The film may be a silicon-carbon-oxygen (Si—C—O) layer in which the silicon and carbon constituents come from a silicon and carbon containing precursor while the oxygen may come from an oxygen-containing precursor activated in a remote plasma region. Shortly after deposition, the silicon-carbon-oxygen layer is treated by exposure to a hydrogen-and-nitrogen-containing precursor such as ammonia prior to curing. The treatment may remove residual moisture from the silicon-carbon-oxygen layer and may make the lattice more resilient during curing and subsequent processing. The treatment may reduce shrinkage of the silicon-carbon-oxygen layer during subsequent processing. | 03-31-2016 |
20160086816 | CHLORINE-BASED HARDMASK REMOVAL - A method of removing titanium nitride hardmask is described. The hardmask resides above a low-k dielectric layer prior to removal and the low-k dielectric layer retains a relatively low net dielectric constant after the removal process. The low-k dielectric layer may be part of a dual damascene structure having copper at the bottom of the vias. A non-porous carbon layer is deposited prior to the titanium nitride hardmask removal to protect the low-k dielectric layer and the copper. The titanium nitride hardmask is removed with a gas-phase etch using plasma effluents formed in a remote plasma from a chlorine-containing precursor. Plasma effluents within the remote plasma are flowed into a substrate processing region where the plasma effluents react with the titanium nitride. | 03-24-2016 |
20160086815 | FLUORINE-BASED HARDMASK REMOVAL - A method of removing titanium nitride hardmask is described. The hardmask resides above a low-k dielectric layer prior to removal and the low-k dielectric layer retains a relatively low net dielectric constant after the removal process. The low-k dielectric layer may be part of a dual damascene structure having copper at the bottom of the vias. A non-porous carbon layer is deposited prior to the titanium nitride hardmask removal to protect the low-k dielectric layer and the copper. The titanium nitride hardmask is removed with a gas-phase etch using plasma effluents formed in a remote plasma from a fluorine-containing precursor. Plasma effluents within the remote plasma are flowed into a substrate processing region where the plasma effluents react with the titanium nitride. | 03-24-2016 |
20160086808 | PROCEDURE FOR ETCH RATE CONSISTENCY - Methods of conditioning interior processing chamber walls of an etch chamber are described. A fluorine-containing precursor may be remotely or locally excited in a plasma to treat the interior chamber walls periodically on a preventative maintenance schedule. The treated walls promote an even etch rate when used to perform gas-phase etching of silicon regions following conditioning. Alternatively, a hydrogen-containing precursor may be remotely or locally excited in a plasma to treat the interior chamber walls in embodiments. Regions of exposed silicon may then be etched with more reproducible etch rates from wafer-to-wafer. The silicon etch may be performed using plasma effluents formed from a remotely excited fluorine-containing precursor. | 03-24-2016 |
20160086807 | SILICON ETCH PROCESS WITH TUNABLE SELECTIVITY TO SiO2 AND OTHER MATERIALS - A tunable plasma etch process includes generating a plasma in a controlled flow of a source gas including NH | 03-24-2016 |
20160086794 | NITROGEN DOPED AMORPHOUS CARBON HARDMASK - Embodiments described herein generally relate to the fabrication of integrated circuits and more particularly to nitrogen doped amorphous carbon layers and processes for depositing nitrogen doped amorphous carbon layers on a semiconductor substrate. In one embodiment, a method of forming a nitrogen doped amorphous carbon layer on a substrate is provided. The method comprises positioning a substrate in a substrate processing chamber, introducing a nitrogen containing hydrocarbon source into the processing chamber, introducing a hydrocarbon source into the processing chamber, introducing a plasma-initiating gas into the processing chamber, generating a plasma in the processing chamber, and forming a nitrogen doped amorphous carbon layer on the substrate. | 03-24-2016 |
20160077508 | CONTROLLER FOR TREATMENT OF SEMICONDUCTOR PROCESSING EQUIPMENT EFFLUENT - Embodiments disclosed herein include a controller for a treatment system for lessening the hazard of effluents produced in a processing system. | 03-17-2016 |
20160068958 | Lamp Heater For Atomic Layer Deposition - Apparatus and methods for processing a plurality of semiconductor wafers on a susceptor assembly so that the temperature across the susceptor assembly is uniform are described. A plurality of linear lamps are positioned and controlled in zones to provide uniform heating. | 03-10-2016 |
20160056059 | COMPONENT FOR SEMICONDUCTOR PROCESS CHAMBER HAVING SURFACE TREATMENT TO REDUCE PARTICLE EMISSION - Examples of the disclosure generally relate to a component for use in a semiconductor process chamber includes a body having machined surfaces including a first surface and a second surface. The first surface is configured to interface with a support member of the semiconductor process chamber. The second surface is configured to face a processing region of the semiconductor process chamber. A treated area of the second surface includes relatively flatter peaks than an untreated area of the machined surfaces and exhibits an average roughness between 1 and 30 micro-inches. | 02-25-2016 |
20160045997 | Retaining Ring With Shaped Surface - A retaining ring can be shaped by machining or lapping the bottom surface of the ring to form a shaped profile in the bottom surface. The bottom surface of the retaining ring can include flat, sloped and curved portions. The lapping can be performed using a machine that dedicated for use in lapping the bottom surface of retaining rings. During the lapping the ring can be permitted to rotate freely about an axis of the ring. The bottom surface of the retaining ring can have curved or flat portions. | 02-18-2016 |
20160043319 | ACTIVELY-ALIGNED FINE METAL MASK - The embodiments described herein generally relate to active alignment of a fine metal mask. The fine metal mask is connected with a frame through a plurality of microactuators. The microactuators can act on the fine metal mask to stretch the mask, reposition the mask or both. In this way, the position and size of the fine metal mask can be maintained in relation to the substrate. | 02-11-2016 |
20160042920 | GROOVED INSULATOR TO REDUCE LEAKAGE CURRENT - A plasma source includes a first electrode and a second electrode having respective surfaces, and an insulator that is between and in contact with the electrodes. The electrode surfaces and the insulator surface substantially define a plasma cavity. The insulator surface defines one or more grooves configured to prevent deposition of material in a contiguous form on the insulator surface. A method of generating a plasma includes introducing one or more gases into a plasma cavity defined by a first electrode, a surface of an insulator that is in contact with the first electrode, and a second electrode that faces the first electrode. The insulator surface defines one or more grooves where portions of the insulator surface are not exposed to a central region of the cavity. The method further includes providing RF energy across the first and second electrodes to generate the plasma within the cavity. | 02-11-2016 |
20160039066 | PNEUMATIC CONNECTION TO CARRIER HEAD AND MONITORING OF THE CONNECTION - A chemical mechanical polishing system includes a carrier head having a flexible membrane and a chamber to apply pressure to the flexible membrane, a pressure control unit, a pressure supply line connecting the pressure control unit to the chamber, and a sensor located along the pressure supply line to detect a contaminant in the pressure supply line. | 02-11-2016 |
20160038902 | PATTERNED DEPOSITION OF LIQUID FILMS FOR BIOMEDICAL DEVICES - Embodiments described herein generally relate to a method for deposition of a biofunctionalizing material, such as for the production of a biomedical device. The method can include positioning a substrate on a substrate support, the substrate having a plurality of wells formed therein. A printing mask is positioned over the substrate, the printing mask having a plurality of mask openings, the plurality of mask openings corresponding to the plurality of wells. A biofunctionalizing material is then deposited through the printing mask and into the wells, the biofunctionalizing material being guided by the plurality of mask openings, the biofunctionalizing material forming a layer over a bottom surface of the plurality of wells. | 02-11-2016 |
20160024653 | Plasma Source For Rotating Platen ALD Chambers - Substrate processing chambers and methods for processing multiple substrates generally including an inductively coupled pie-shaped plasma source positioned so that a substrate rotating on a platen will pass through a plasma region adjacent the plasma source. | 01-28-2016 |
20160023302 | PULSE TRAIN ANNEALING METHOD AND APPARATUS - The present invention generally describes apparatuses and methods used to perform an annealing process on desired regions of a substrate. In one embodiment, pulses of electromagnetic energy are delivered to a substrate using a flash lamp or laser apparatus. The pulses may be from about 1 nsec to about 10 msec long, and each pulse has less energy than that required to melt the substrate material. The interval between pulses is generally long enough to allow the energy imparted by each pulse to dissipate completely. Thus, each pulse completes a micro-anneal cycle. The pulses may be delivered to the entire substrate at once, or to portions of the substrate at a time. Further embodiments provide an apparatus for powering a radiation assembly, and apparatuses for detecting the effect of pulses on a substrate. | 01-28-2016 |
20160020454 | COMPLEX SHOWERHEAD COATING APPARATUS WITH ELECTROSPRAY FOR LITHIUM ION BATTERY - A method and apparatus for forming battery active material on a substrate are disclosed. In one embodiment, an apparatus for depositing a battery active material on a surface of a substrate includes a substrate conveyor system for transporting the substrate within the apparatus, a material spray assembly disposed above the substrate conveyor system, and a first heating element disposed adjacent to the material spray assembly above the substrate conveyor system configured to heat the substrate. The material spray assembly has a 2-D array of nozzles configured to electrospray an electrode forming solution on the surface of the substrate. | 01-21-2016 |
20160020132 | Apparatus And Methods For Wafer Chucking On A Susceptor For ALD - Described are apparatus and methods for processing a semiconductor wafer so that the wafer remains in place during processing. The wafer is subjected to a pressure differential between the top surface and bottom surface so that sufficient force prevents the wafer from moving during processing, the pressure differential generated by applying a decreased pressure to the back side of the wafer. | 01-21-2016 |
20160006018 | ELECTRODE SURFACE ROUGHNESS CONTROL FOR SPRAY COATING PROCESS FOR LITHIUM ION BATTERY - A method and apparatus for fabricating energy storage devices and device components is provided. It has been found that spraying of slurries comprising electro-active materials onto a flexible substrate and subsequently exposing the substrate to an increasing temperature gradient leads to the deposition of a dry or mostly dry film having reduced surface roughness. The increasing temperature gradient may result from a plurality of heated rollers over which the substrate traverses wherein each heated roller is heated to a temperature greater than the previous heated roller leading to the deposition of a dry or mostly dry film having a relatively smooth surface with low porosity. Deposition of a dry or mostly dry film eliminates the need for large and costly drying mechanism thus reducing both the cost and footprint of the apparatus. | 01-07-2016 |
20160002811 | HIGH PURITY ALUMINUM TOP COAT ON SUBSTRATE - To manufacture a chamber component for a processing chamber, an aluminum coating is formed on an article comprising impurities, the aluminum coating being substantially free from impurities. | 01-07-2016 |
20150380265 | POST TREATMENT FOR DIELECTRIC CONSTANT REDUCTION WITH PORE GENERATION ON LOW K DIELECTRIC FILMS - A method and apparatus for depositing a low K dielectric film with one or more features is disclosed herein. A method of forming a dielectric layer can include positioning a substrate in a processing chamber, delivering a deposition gas to the processing chamber, depositing a dense organosilicon layer using the deposition gas on the surface of the substrate, the dense organosilicon layer comprising a porogenic carbon, transferring a pattern into the dense organosilicon layer, forming a pore-forming plasma from a reactant gas, exposing the dense organosilicon layer to the pore-forming plasma to create a porous organosilicon layer, wherein the pore-forming plasma removes at least a portion of the porogenic carbon and exposing the porous organosilicon layer to a desiccating post treatment. | 12-31-2015 |
20150380223 | HOLDING ASSEMBLY FOR SUBSTRATE PROCESSING CHAMBER - A holding assembly for retaining a deposition ring about a periphery of a substrate support in a substrate processing chamber, the deposition ring comprising a peripheral recessed pocket with a holding post. The holding assembly comprises a restraint beam capable of being attached to the substrate support, the restraint beam comprising two ends, and an anti-lift bracket. The anti-lift bracket comprises a block comprising a through-channel to receive an end of a restraint beam, and a retaining hoop attached to the block, the retaining hoop sized to slide over and encircle the holding post in the peripheral recessed pocket of the deposition ring. | 12-31-2015 |
20150371877 | SUBSTRATE SUPPORT WITH SYMMETRICAL FEED STRUCTURE - Apparatus for processing a substrate is disclosed herein. In some embodiments, a substrate support may include a substrate support having a support surface for supporting a substrate the substrate support having a central axis; a first electrode disposed within the substrate support to provide RF power to a substrate when disposed on the support surface; an inner conductor coupled to the first electrode about a center of a surface of the first electrode opposing the support surface, wherein the inner conductor is tubular and extends from the first electrode parallel to and about the central axis in a direction away from the support surface of the substrate support; an outer conductor disposed about the inner conductor; and an outer dielectric layer disposed between the inner and outer conductors, the outer dielectric layer electrically isolating the outer conductor from the inner conductor. The outer conductor may be coupled to electrical ground. | 12-24-2015 |
20150368783 | DEPOSITION APPARATUS WITH GAS SUPPLY AND METHOD FOR DEPOSITING MATERIAL - An apparatus for depositing a material on a substrate is described. The apparatus includes a vacuum chamber; a substrate receiving portion in the vacuum chamber for receiving the substrate during deposition of the material; a target support configured to hold a target during deposition of the material on the substrate; a plasma generating device in the vacuum chamber for generating a plasma between the substrate receiving portion and the target support; and a first gas inlet for providing a supersonic stream of a gas, wherein the gas inlet is directed towards the substrate receiving portion. Further, a method for depositing a material on a substrate in a vacuum chamber is described. The method includes forming a plasma between the substrate and a target; releasing particles from the target using the plasma; and directing a supersonic stream of gas towards the substrate surface, on which the material is to be deposited. | 12-24-2015 |
20150364390 | ENDPOINTING DETECTION FOR CHEMICAL MECHANICAL POLISHING BASED ON SPECTROMETRY - Methods and apparatus for spectrum-based endpointing. An endpointing method includes selecting a reference spectrum. The reference spectrum is a spectrum of white light reflected from a film of interest on a first substrate and has a thickness greater than a target thickness. The reference spectrum is empirically selected for particular spectrum-based endpoint determination logic so that the target thickness is achieved when endpoint is called by applying the particular spectrum-based endpoint logic. The method includes obtaining a current spectrum. The current spectrum is a spectrum of white light reflected from a film of interest on a second substrate when the film of interest is being subjected to a polishing step and has a current thickness that is greater than the target thickness. The method includes determining, for the second substrate, when an endpoint of the polishing step has been achieved. The determining is based on the reference and current spectra. | 12-17-2015 |
20150361581 | WINDOW ASSEMBLY FOR SUBSTRATE PROCESSING SYSTEM - Apparatus for use in an inline substrate processing tool are provided herein. In some embodiments, a window assembly for use in an inline substrate processing tool may include a window body having an inner surface and an outer surface, the window body including a central translucent portion configured to pass radiant heat emitted from a radiant heat lamp through the central translucent portion, and a surface treated portion disposed about the central translucent portion and disposed on each of the inner and outer surfaces, the surface treated portion configured to minimize total internal reflections of the radiant heat emitted from the radiant heat lamp, and one or more molded seals disposed proximate an outer edge of the window body and on each of the inner and outer surfaces | 12-17-2015 |
20150351166 | WORKPIECE PROCESSING CHAMBER HAVING A ROTARY MICROWAVE PLASMA SOURCE - In a processing reactor having a microwave plasma source, the microwave radiator is mounted on a rotary microwave coupling for continuous rotation. | 12-03-2015 |
20150348925 | REDUCED TITANIUM UNDERCUT IN ETCH PROCESS - In accordance with one embodiment of the present disclosure, a method of forming a metal feature includes etching a portion of a first metal layer using a first etching chemistry, and etching a portion of a barrier layer using a second etching chemistry to achieve a barrier layer undercut of less than or equal to 2 times the thickness of the barrier layer. | 12-03-2015 |
20150348902 | PROTECTIVE VIA CAP FOR IMPROVED INTERCONNECT PERFORMANCE - Exemplary methods of forming a semiconductor structure may include etching a via through a semiconductor structure to expose a first circuit layer interconnect metal. The methods may include forming a layer of a material overlying the exposed first circuit layer interconnect metal. The methods may also include forming a barrier layer within the via having minimal coverage along the bottom of the via. The methods may additionally include forming a second circuit layer interconnect metal overlying the layer of material. | 12-03-2015 |
20150348837 | METHOD FOR ELECTROCHEMICALLY DEPOSITING METAL ON A REACTIVE METAL FILM - In accordance with one embodiment of the present disclosure, a method for depositing metal on a reactive metal film on a workpiece includes electrochemically depositing a metallization layer on a seed layer formed on a workpiece using a plating electrolyte having at least one plating metal ion, a pH range of about 6 to about 11 and applying a cathodic potential in the range of about −1 V to about −6 V. The workpiece includes a barrier layer disposed between the seed layer and a dielectric surface of the workpiece, the barrier layer including a first metal having a standard electrode potential more negative than 0 V and the seed layer including a second metal having a standard electrode potential more positive than 0 V. | 12-03-2015 |
20150348836 | METHODS FOR DEPOSITING METAL ON A REACTIVE METAL FILM - In accordance with one embodiment of the present disclosure, a method for depositing metal on a reactive metal film on a workpiece includes obtaining a workpiece including a dielectric surface; forming a barrier layer on the dielectric surface; depositing a seed layer on the barrier layer, wherein the barrier and seed stack includes at least one metal having a negative standard electrode potential; and depositing a metallization layer on the seed layer using a bath having a pH range of about 6 to about 11 and a current density in the range of about 1 mA/cm2 to about 5 mA/cm2. | 12-03-2015 |
20150348826 | METHOD FOR ELECTROCHEMICALLY DEPOSITING METAL ON A REACTIVE METAL FILM - In accordance with one embodiment of the present disclosure, a method for depositing metal on a reactive metal film on a workpiece includes obtaining a workpiece including a dielectric surface; forming a barrier layer on the dielectric surface; depositing a seed layer on the barrier layer, wherein the barrier and seed stack includes at least one metal having a standard electrode potential of less than 0.34 V; and depositing a metallization layer on the seed layer using a diluted acid bath in a pH range of about 1 to about 5 and a current density in the range of about 10 mA/cm2 to about 30 mA/cm2. | 12-03-2015 |
20150348811 | SUBSTRATE PROCESSING SYSTEM AND METHOD OF PROCESSING SUBSTRATES - A substrate processing system is provided. The substrate processing system includes a front end module, a load module, and a process module. The modules are arranged for substrate transfer between these modules along a transport direction. At least one of the front end module, load module and process module includes a transfer device providing at least two individual tracks for supporting a substrate or substrate carrier. Two or more of the at least two tracks of the transfer device may be movable relatively to each other in a switch direction perpendicular to the transport direction. At least the first load module, the second load module and the process module may each include a dual-track transfer device. | 12-03-2015 |
20150348757 | WORKPIECE PROCESSING CHAMBER HAVING A THERMAL CONTROLLED MICROWAVE WINDOW - A plasma reactor has a microwave source including a microwave window with a channel extending through the window and a coolant source for flowing a coolant through the channel. The coolant is a liquid that does not absorb microwave power. | 12-03-2015 |
20150345660 | METHODS AND APPARATUS TO REDUCE SHOCK IN A SLIT VALVE DOOR - Embodiments disclosed herein generally relate to apparatus and methods for sealing a vacuum chamber with a slit valve assembly that in one embodiment includes a housing having side walls and at least one substrate transfer port formed therein, the housing having an interior volume defined by the side walls, a slit valve door disposed within the housing and positionable between an open position clear of the substrate transfer port and a closed position sealing the substrate transfer port, and a bumper assembly disposed on an edge of at least one face of the slit valve door, the bumper assembly comprising a first bumper member made of a first material and a second bumper made of a second material that is different than the first material. | 12-03-2015 |
20150345045 | METHOD FOR ELECTROCHEMICALLY DEPOSITING METAL ON A REACTIVE METAL FILM - In accordance with one embodiment of the present disclosure, a method for depositing metal on a reactive metal film on a workpiece includes electrochemically depositing a metallization layer on a seed layer formed on a workpiece using a plating electrolyte having at least one plating metal ion, a pH range of about 1 to about 6, and applying a cathodic potential in the range of about −0.5 V to about −4 V. The workpiece includes a barrier layer disposed between the seed layer and a dielectric surface of the workpiece, the barrier layer including a first metal having a standard electrode potential more negative than 0 V and the seed layer including a second metal having a standard electrode potential more positive than 0 V. | 12-03-2015 |
20150345019 | METHOD AND APPARATUS FOR IMPROVING GAS FLOW IN A SUBSTRATE PROCESSING CHAMBER - Embodiments of methods and apparatus for improving gas flow in a substrate processing chamber are provided herein. In some embodiments, a substrate processing chamber includes: a chamber body and a chamber lid defining an interior volume; a substrate support disposed within the interior volume and having a support surface to support a substrate; a gas passageway disposed in the lid opposite the substrate support to supply a gas mixture to the interior volume, the gas passageway including a first portion and a second portion; a first gas inlet disposed in the first portion to supply a first gas to the first portion of the gas passageway; and a second gas inlet disposed in the second portion to supply a second gas to the second portion. | 12-03-2015 |
20150340204 | Transmission Line RF Applicator for Plasma Chamber - A transmission line RF applicator apparatus and method for coupling RF power to a plasma in a plasma chamber. The apparatus comprises two conductors, one of which has a plurality of apertures. In one aspect, apertures in different portions of the conductor have different sizes, spacing or orientations. In another aspect, adjacent apertures at successive longitudinal positions are offset along the transverse dimension. In another aspect, the apparatus comprises an inner conductor and one or two outer conductors. The main portion of each of the one or two outer conductors includes a plurality of apertures that extend between an inner surface and an outer surface of the outer conductor. | 11-26-2015 |
20150325477 | SUPER CONFORMAL METAL PLATING FROM COMPLEXED ELECTROLYTES - A method for at least partially filling a feature on a workpiece generally includes obtaining a workpiece including a feature; and depositing a first layer in the feature, wherein the chemistry for depositing the first layer has a pH in the range of about 6 to about 13, and includes a metal complexing agent and at least one organic or inorganic additive selected from the group consisting of accelerator, suppressor, and leveler. | 11-12-2015 |
20150316916 | REDUCING PILOT RUNS OF RUN-TO-RUN CONTROL IN A MANUFACTURING FACILITY - A system and method for reducing pilot runs of run-to-run control in a manufacturing facility calculates an unbiased estimation of an independent model intercept based on a state space model associated with the manufacturing facility. A determination is made as to whether to perform a pilot run in the manufacturing facility. Upon determining that the run is to be performed, an indication that the pilot run is to be performed is generated. Pilot run data is received in response to the pilot run being performed, and the state space model is updated based on the received data. | 11-05-2015 |
20150314417 | POLISHING PAD CONDITIONING SYSTEM INCLUDING SUCTION - A system for use in substrate polishing includes a conditioner system for conditioning a surface of a polishing pad and a vacuum system having a vacuum port. The conditioner system includes a conditioner head constructed to receive an abrasive conditioner component. The vacuum system is configured to apply suction through the vacuum port to the surface of the polishing pad in a direction away from the surface to remove material on the surface. | 11-05-2015 |
20150314415 | SERIAL FEATURE TRACKING FOR ENDPOINT DETECTION - A method of controlling polishing includes polishing a substrate having a second layer overlying a first layer, detecting exposure of the first layer with an in-situ monitoring system, receiving an identification of a selected spectral feature and a characteristic of the selected spectral feature to monitor during polishing, measuring a sequence of spectra of light from the substrate while the substrate is being polished, determining a first value for the characteristic of the feature at the time that the first in-situ monitoring technique detects exposure of the first layer, adding an offset to the first value to generate a second value, and monitoring the characteristic of the feature and halting polishing when the characteristic of the feature is determined to reach the second value. | 11-05-2015 |
20150311044 | ION ASSISTED DEPOSITION TOP COAT OF RARE-EARTH OXIDE - A method of manufacturing an article comprises providing an article such as a chamber component for an etch reactor. A plasma spray deposition process is performed for deposit a first protective layer over at least one surface of the chamber component. The first protective layer is a plasma resistant ceramic having a thickness of greater than approximately 50 microns and a plurality of cracks and pores. An ion assisted deposition (IAD) process is then performed to deposit a second protective layer over the first protective layer. The second protective layer is a plasma resistant rare earth oxide having a thickness of less than 50 microns and a porosity of less than 1%. The second protective layer seals the plurality of cracks and pores of the first protective layer. | 10-29-2015 |
20150303090 | SUBSTRATE TRANSFER DEVICE AND METHOD OF MOVING SUBSTRATES - A transfer device is provided for substrate transfer along a transport direction and for change between a first transport path and a second transport path extending along the transport direction. The first transport path is displaced with respect to the second transport path in a switch direction perpendicular to the transport direction. The transfer device includes a first substrate support assembly defining a first track to support a substrate or substrate carrier in a chamber. The transfer device further includes a second substrate support assembly defining a second track to support a substrate or substrate carrier in the chamber. The first substrate support assembly and the second substrate support assembly are moveable relative to each other at least in the switch direction. | 10-22-2015 |
20150302311 | APPARATUS AND METHOD FOR INTEGRATING MANUAL AND AUTOMATED TECHNIQUES FOR AUTOMATED CORRELATION IN DATA MINING - A method is provided for determining one or more causes for variability in data. The method includes selecting a first range of a multivariate model output data on a user interface and employing a computing system, operatively coupled to the user interface, to determine one or more process data causing a variability of the multivariate model output data in the first range when compared to a second range of the multivariate model output data. At least some of the process data includes data derived from a physical measurement of a process variable. | 10-22-2015 |
20150299856 | ACCURATE FILM THICKNESS CONTROL IN GAP-FILL TECHNOLOGY - Embodiments disclosed herein generally relate to the processing of substrates, and more particularly, relate to methods for accurate control of film thickness using deposition-etch cycles. Particularly, embodiments of the present disclosure may be used in controlling film thickness during filling high aspect ratio features. | 10-22-2015 |
20150299853 | EVAPORATOR, DEPOSITION ARRANGEMENT, DEPOSITION APPARATUS AND METHODS OF OPERATION THEREOF - A depositing arrangement for evaporation of a material including an alkali metal or alkaline earth metal, and for deposition of the material on a substrate ( | 10-22-2015 |
20150294886 | METHODS AND APPARATUS FOR RAPIDLY COOLING A SUBSTRATE - Embodiments of methods and apparatus for rapidly cooling a substrate are provided herein. In some embodiments, a cooling chamber for cooling a substrate includes a chamber body having an inner volume; a substrate support disposed in the chamber and having a support surface to support a substrate; a plate disposed in the chamber body opposite the substrate support, wherein the substrate support and the plate are movable with respect to each other between a first position and a second position, wherein when in the first position the substrate support and the plate are disposed away from each other such that the support surface is exposed to a first volume within the inner volume, wherein when in the second position the substrate support and the plate are disposed adjacent to each other such that the support surface is exposed to a second volume within the inner volume, and wherein the second volume is smaller than the first volume; a plurality of flow channels disposed in one or more of the plate or the substrate support to flow a coolant; and a gas inlet to provide a gas into the second volume. | 10-15-2015 |
20150294843 | METHODS FOR EXTENDING CHAMBER COMPONENT LIFE FOR PLASMA PROCESSING SEMICONDUCTOR APPLICATIONS - Embodiments of the present invention generally provide chamber cleaning methods for cleaning a plasma processing chamber with minimum likelihood of erosion occurred on the chamber components so as to extend service life of chamber components for semiconductor plasma applications. In one embodiment, a method of extending chamber component life in a processing chamber includes supplying a cleaning gas mixture into a plasma processing chamber, applying a RF source power to the plasma processing chamber, and applying a voltage to a substrate support assembly disposed in the processing chamber during cleaning. | 10-15-2015 |
20150294790 | CAPACITOR ASSEMBLIES FOR COUPLING RADIO FREQUENCY (RF) AND DIRECT CURRENT (DC) ENERGY TO ONE OR MORE COMMON ELECTRODES - Embodiments of a capacitor assembly for coupling radio frequency (RF) and direct current (DC) power to an electrode and substrate support incorporating same are provided herein. In some embodiments, the capacitor assembly includes a first conductive plate to receive RF power from an RF power source, the first conductive plate including a central bore; at least one capacitor coupled to the first conductive plate and surrounding the central bore; and a second conductive plate electrically coupled to the first conductive plate via the at least one capacitor, the second conductive plate including an input tap to receive DC power from a DC power source and at least one output tap to couple the RF and DC power to an electrode. | 10-15-2015 |
20150293363 | LIGHT WAVE SEPARATION LATTICES AND METHODS OF FORMING LIGHT WAVE SEPARATION LATTICES - Light wave separation lattices and methods of formation are provided herein. In some embodiments, a light wave separation lattice includes a first layer having the formula RO | 10-15-2015 |
20150292815 | SUSCEPTOR WITH RADIATION SOURCE COMPENSATION - Embodiments described herein relate to an apparatus and methods for temperature measurement. A susceptor may be configured to support a substrate on a first surface and second surface of the substrate may be oriented opposite the first surface. One or more reflective features may be formed on the second surface. The one or more reflective features may be disposed in various patterns at a radius viewed by a temperature sensor. The one or more reflective features may provide for increased reflection of radiation from the second surface of the susceptor and provide more accurate temperature calculations from a thermal signal detected by the temperature sensor. | 10-15-2015 |
20150284849 | LOW-K FILMS WITH ENHANCED CROSSLINKING BY UV CURING - Methods for making a low k porous dielectric film with improved mechanical strength are disclosed herein. A method of forming a dielectric layer can include delivering a deposition gas to a substrate in a processing chamber, the deposition gas comprising an acrylate precursor with a UV active side group and an oxygen containing precursor; activating the deposition gas to deposit an uncured carbon-containing layer on a surface of the substrate; and delivering UV radiation to the uncured carbon-containing layer to create a cured carbon-containing layer, the UV active side group crosslinking with a second group. | 10-08-2015 |
20150279874 | COMBINATORIAL MASKING - A method of combinatorial masking employs a combinatorial etch mask that includes a top layer of a stack of material layers and a secondary mask on the top layer to etch other material layers of the stack. The method includes patterning a first layer at a top of the stack of material layers, and providing the secondary mask on top of the patterned first layer. The method further includes etching other material layers of the stack including a second layer below the first layer with the combinatorial mask and then etching the first layer along with the other material layers of the stack excluding the second layer using the secondary mask as an etch mask. | 10-01-2015 |
20150279634 | COOLING MECHANISM UTLIZED IN A PLASMA REACTOR WITH ENHANCED TEMPERATURE REGULATION - Embodiments of the invention generally provide a cooling mechanism utilized in a plasma reactor that may provide efficient temperature control during a plasma process. In one embodiment, a cooling mechanism disposed in a plasma processing apparatus includes a coil antenna enclosure formed in a processing chamber, a coil antenna assembly disposed in the coil antenna enclosure, a plurality of air circulating elements disposed in the coil antenna enclosure adjacent to the coil antenna assembly, and a baffle plate disposed in the coil antenna enclosure below and adjacent to the coil antenna assembly. | 10-01-2015 |
20150279633 | PLASMA PROCESSING APPARATUS AND LINER ASSEMBLY FOR TUNING ELECTRICAL SKEWS - The invention discloses a plasma processing apparatus comprising a chamber lid, a chamber body and a support assembly. The chamber body, defining a processing volume for containing a plasma, for supporting the chamber lid. The chamber body is comprised of a chamber sidewall, a bottom wall and a liner assembly. The chamber sidewall and the bottom wall define a processing volume for containing a plasma. The liner assembly, disposed inside the processing volume, comprises of three or more slots formed thereon for providing an axial symmetric RF current path. The support assembly supports a substrate for processing within the chamber body. With the liner assembly with several symmetric slots, the present invention can prevent electromagnetic fields thereof from being azimuthal asymmetry. | 10-01-2015 |
20150277232 | MULTI-BEAM PATTERN GENERATORS EMPLOYING YAW CORRECTION WHEN WRITING UPON LARGE SUBSTRATES, AND ASSOCIATED METHODS - Multi-beam pattern generators employing yaw correction when writing upon large substrates, and associated methods are disclosed. A multi-beam pattern generator may include a spatial light modulator (SLM) with independently controllable mirrors to reflect light onto a substrate to write a pattern. The pattern may be written in writing cycles where the substrate is moved to writing cycle zone locations. The light is reflected by the SLM onto the substrate by mirrors of the SLM in active positions to write the pattern upon the substrate. By determining a location and yaw of the substrate with respect to the SLM in each writing cycle, some mirrors of the SLM may be digitally controlled to either inactive positions or the active positions to compensate for the yaw of the substrate. In this manner, the pattern written upon the substrate may be precisely written with compensation for yaw. | 10-01-2015 |
20150275375 | GENERATION OF COMPACT ALUMINA PASSIVATION LAYERS ON ALUMINUM PLASMA EQUIPMENT COMPONENTS - A process for generating a compact alumina passivation layer on an aluminum component includes rinsing the component in deionized water for at least one minute, drying it for at least one minute, and exposing it to concentrated nitric acid, at a temperature below 10° C., for one to 30 minutes. The process also includes rinsing the component in deionized water for at least one minute, drying it for at least one minute, and exposing it to NH | 10-01-2015 |
20150270366 | FLASH GATE AIR GAP - Flash memory cells and methods of formation are described for flash memory cells having air gaps through which electrons may pass to alter the charge state of the floating gate. A dummy gate is initially deposited and a polysilicon gate is deposited on the dummy gate. A silicon oxide film is then deposited on the sides of the active area, the dummy gate and the polysilicon. The silicon oxide film holds the polysilicon in place while the dummy gate is selectively etched away. The dummy gate may be doped to increase etch rate. Formerly, silicon oxide was used as a dielectric barrier through which electrons were passed to charge and discharge the floating gate (polysilicon). Eliminating material in the dielectric barrier reduces the tendency to accumulate trapped charges during use and increase the lifespan of flash memory devices. | 09-24-2015 |
20150270108 | RARE-EARTH OXIDE BASED EROSION RESISTANT COATINGS FOR SEMICONDUCTOR APPLICATION - An article includes a body that is coated with a ceramic coating. The ceramic coating may include Y | 09-24-2015 |
20150270106 | RADIAL WAVEGUIDE SYSTEMS AND METHODS FOR POST-MATCH CONTROL OF MICROWAVES - A system provides post-match control of microwaves in a radial waveguide. The system includes the radial waveguide, and a signal generator that provides first and second microwave signals that have a common frequency. The signal generator adjusts a phase offset between the first and second signals in response to a correction signal. The system also includes first and second electronics sets, each of which amplifies a respective one of the first and second microwave signals. The system transmits the amplified, first and second microwave signals into the radial waveguide, and matches an impedance of the amplified microwave signals to an impedance presented by the waveguide. The system also includes at least two monitoring antennas disposed within the waveguide. A signal controller receives analog signals from the monitoring antennas, determines the digital correction signal based at least on the analog signals, and transmits the correction signal to the signal generator. | 09-24-2015 |
20150270105 | RADIAL WAVEGUIDE SYSTEMS AND METHODS FOR POST-MATCH CONTROL OF MICROWAVES - A system provides post-match control of microwaves in a radial waveguide. The system includes the radial waveguide, and a signal generator that provides first and second microwave signals that have a common frequency. The signal generator adjusts a phase offset between the first and second signals in response to a correction signal. The system also includes first and second electronics sets, each of which amplifies a respective one of the first and second microwave signals. The system transmits the amplified, first and second microwave signals into the radial waveguide, and matches an impedance of the amplified microwave signals to an impedance presented by the waveguide. The system also includes at least two monitoring antennas disposed within the waveguide. A signal controller receives analog signals from the monitoring antennas, determines the digital correction signal based at least on the analog signals, and transmits the correction signal to the signal generator. | 09-24-2015 |
20150262829 | GAS-PHASE TUNGSTEN ETCH - Methods of evenly etching tungsten liners from high aspect ratio trenches are described. The methods include a remote plasma etch using plasma effluents formed from a fluorine-containing precursor and a high flow of helium. Plasma effluents from the remote plasma are flowed into a substrate processing region where the plasma effluents react with tungsten coating a patterned substrate having high aspect ratio trenches. The plasmas effluents react with exposed surfaces and evenly remove tungsten from outside the trenches and on the sidewalls of the trenches. The plasma effluents pass through an ion suppression element positioned between the remote plasma and the substrate processing region. Optionally, the methods may include concurrent ion bombardment of the patterned substrate to help remove potentially thicker horizontal tungsten regions, e.g., at the bottom of the trenches or between trenches. | 09-17-2015 |
20150247251 | METHODS FOR ELECTROCHEMICAL DEPOSITION OF MULTI-COMPONENT SOLDER USING CATION PERMEABLE BARRIER - Processes and systems for electrochemical deposition of a multi-component solder by processing a microfeature workpiece with a first processing fluid and an anode are described. Microfeature workpieces are electrolytically processed using a first processing fluid, an anode, a second processing fluid, and a cation permeable barrier layer. The cation permeable barrier layer separates the first processing fluid from the second processing fluid while allowing certain cationic species to transfer between the two fluids. | 09-03-2015 |
20150247231 | LAYERED THIN FILM HEATER AND METHOD OF FABRICATION - A method of forming thin film heater traces on a wafer chuck includes positioning a pattern, that forms openings corresponding to a desired layout of the heater traces, in proximity to the wafer chuck. The method includes sputtering a material toward the pattern and the wafer chuck such that a portion of the material passes through the openings and adheres to the wafer chuck to form the heater traces. A method of forming thin film heater traces on a wafer chuck includes sputtering a blanket layer of a material onto the wafer chuck, and patterning a photoresist layer utilizing photolithography. The photoresist layer covers the blanket layer in an intended layout of the heater traces, exposing the blanket layer in areas that are not part of the intended layout. The method removes the areas that are not part of the intended layout by etching, and removes the photoresist layer. | 09-03-2015 |
20150235847 | GROWING GRAPHENE ON SUBSTRATES - Embodiments described herein provide methods and apparatus for forming graphitic carbon such as graphene on a substrate. The method includes providing a precursor comprising a linear conjugated hydrocarbon, depositing a hydrocarbon layer from the precursor on the substrate, and forming graphene from the hydrocarbon layer by applying energy to the substrate. The precursor may include template molecules such as polynuclear aromatics, and may be deposited on the substrate by spinning on, by spraying, by flowing, by dipping, or by condensing. The energy may be applied as radiant energy, thermal energy, or plasma energy. | 08-20-2015 |
20150231735 | PULSE WIDTH CONTROLLER - A pulse width controller for a thermal processing system is disclosed. Pulsed electromagnetic radiation is directed through a rotatable wave plate to a polarizing beam splitter, which reflects and transmits according to the phase angle of the wave plate. Radiation transmitted by the polarizing beam splitter is directed into an optical circuit that returns the radiation to the polarizing beam splitter after a transit time. A second rotatable wave plate is positioned in the optical circuit. The polarizing beam splitter reflects and transmits the returned radiation according to the phase angle of the second rotatable wave plate. A second pulse width controller may be nested in the optical circuit, and any number of pulse width controllers may be nested. | 08-20-2015 |
20150228513 | PIXILATED TEMPERATURE CONTROLLED SUBSTRATE SUPPORT ASSEMBLY - Implementations described herein provide a pixilated substrate support assembly which enables both lateral and azimuthal tuning of the heat transfer between an electrostatic chuck and a heating assembly. The pixilated substrate support assembly comprises an upper surface and a lower surface; one or more main resistive heaters disposed in the pixilated substrate support; and a plurality of pixel heaters in column with the main resistive heaters and disposed in the substrate support. A quantity of the pixel heaters is an order of magnitude greater than a quantity of the main resistive heaters and the pixel heaters are independently controllable relative to each other as well as the main resistive heater. | 08-13-2015 |
20150228503 | HARDMASK TRIMMING IN SEMICONDUCTOR FIN PATTERNING - Embodiments may involve a method of semiconductor patterning, which includes patterning a first hardmask layer on top of a second hardmask layer. This patterning may define a feature with a first width. The method may encompass reducing the first width to a second width, where the second width is less than or equal to 10 nm. The method may include patterning the second hardmask layer to define a patterned second hardmask layer. The method may involve etching a semiconductor substrate underlying the second hardmask layer to define a fin structure with a third width of less than or equal to 10 nm. | 08-13-2015 |
20150228456 | METHODS OF DIRECTING MAGNETIC FIELDS IN A PLASMA SOURCE, AND ASSOCIATED SYSTEMS - A plasma source includes a plasma vessel that includes a dielectric material that encloses a cavity of a toroidal shape. The toroidal shape defines a toroidal axis therethrough. The vessel forms input and output connections, each of the input and output connections being in fluid communication with the cavity. One or more metal plates are disposed adjacent to the plasma vessel for cooling the plasma vessel. A magnetic core is disposed along the toroidal axis such that respective first and second ends of the magnetic core extend beyond axially opposed sides of the plasma vessel. First and second induction coils are wound about the respective first and second ends of the magnetic core. A plasma is generated in the cavity when an input gas is supplied through the input connection and an oscillating electrical current is supplied to the first and second induction coils. | 08-13-2015 |
20150226540 | PECVD APPARATUS AND PROCESS - Apparatus and method of processing a substrate according to a PECVD process is described. Temperature profile of the substrate is adjusted to change deposition rate profile across the substrate. Plasma density profile is adjusted to change deposition rate profile across the substrate. Chamber surfaces exposed to the plasma are heated to improve plasma density uniformity and reduce formation of low quality deposits on chamber surfaces. In situ metrology may be used to monitor progress of a deposition process and trigger control actions involving substrate temperature profile, plasma density profile, pressure, temperature, and flow of reactants. | 08-13-2015 |
20150225866 | ELECTROPLATING METHODS FOR SEMICONDUCTOR SUBSTRATES - A non-uniform initial metal film is non-unniformly deplated to provide a more uniform metal film on a substrate. Electrochemical deplating may be performed by placing the substrate in a deplating bath formulated specifically for deplating, rather than for plating. The deplating bath may have a throwing power of 0.3 or less; or a bath conductivity of 1 mS/cm to 250 mS/cm. Reverse electrical current conducted through the deplating bath non-uniformly. electro-etches or deplates the metal film. | 08-13-2015 |
20150224623 | ADJUSTING EDDY CURRENT MEASUREMENTS - Among other things, a method of controlling polishing during a polishing process is described. The method includes receiving a measurement of a thickness, thick(t), of a conductive layer of a substrate undergoing polishing from an in-situ monitoring system at a time t; receiving a measured temperature, T(t), associated with the conductive layer at the time t; calculating resistivity ρ | 08-13-2015 |
20150221556 | METHOD TO FORM TRENCH STRUCTURE FOR REPLACEMENT CHANNEL GROWTH - Embodiments may include a method of semiconductor patterning including forming a first trench bordered by a first spacer material. The method may involve forming a second trench bordered by a second spacer material formed conformally around the first spacer material. The method may include filling the second trench with a semiconductor material. | 08-06-2015 |
20150221541 | AIR GAP PROCESS - Methods are described for forming “air gaps” between adjacent metal lines on patterned substrates. The common name “air gap” will be used interchangeably with the more technically accurate “gas pocket” and both reflect a variety of pressures and elemental ratios. The air gaps are produced within narrow gaps between copper lines while wide gaps retain dielectric material. Retention of the dielectric material within the wide gaps enables formation of a desirable planar top surface. Using a hardmask layer and a selective dry-etch process enables a wet processing step to be avoided right before the formation of the air gaps. The air gaps can have a dielectric constant approaching one, favorably reducing interconnect capacitance compared with typical low-k dielectric materials. | 08-06-2015 |
20150214337 | METHOD OF FIN PATTERNING - Embodiments of the present invention may include a semiconductor patterning method involving forming a fin on a substrate, where the fin may have a sloped sidewall. The fin may be characterized by an initial height and a first width measured proximate a midpoint of the initial height. The method may include forming a masking layer above the fin, and the method may involve removing a first portion of the masking layer. The method may include decreasing the first width of the fin while maintaining the initial height. | 07-30-2015 |
20150214092 | AIR GAPS BETWEEN COPPER LINES - Methods are described for forming “air gaps” between adjacent copper lines on patterned substrates. The common name “air gap” will be used interchangeably the more technically accurate “gas pocket” and both reflect a variety of pressures and elemental ratios. The gas pockets may be one or more pores within dielectric material located between copper lines. Adjacent copper lines may be bordered by a lining layer and air gaps may extend from one lining layer on one copper line to the lining layer of an adjacent copper line. The gas pockets can have a dielectric constant approaching one, favorably reducing interconnect capacitance compared with typical low-K dielectric materials. | 07-30-2015 |
20150214067 | METHODS FOR ETCH OF SIN FILMS - A method of selectively etching silicon nitride from a substrate comprising a silicon nitride layer and a silicon oxide layer includes flowing a fluorine-containing gas into a plasma generation region of a substrate processing chamber and applying energy to the fluorine-containing gas to generate a plasma in the plasma generation region. The plasma comprises fluorine radicals and fluorine ions. The method also includes filtering the plasma to provide a reactive gas having a higher concentration of fluorine radicals than fluorine ions and flowing the reactive gas into a gas reaction region of the substrate processing chamber. The method also includes exposing the substrate to the reactive gas in the gas reaction region of the substrate processing chamber. The reactive gas etches the silicon nitride layer at a higher etch rate than the reactive gas etches the silicon oxide layer. | 07-30-2015 |
20150214066 | METHOD FOR MATERIAL REMOVAL IN DRY ETCH REACTOR - Embodiments of the technology include a semiconductor patterning method. The method may include forming a layer of masking material on regions of dielectric material above a semiconductor substrate. The method may include forming a trench through the masking material. This transformation may expose at least a portion of the dielectric material. The method may include forming a protective layer over the exposed portion of the dielectric material. The method may involve removing the masking material from the semiconductor substrate. | 07-30-2015 |
20150214018 | METHOD FOR COATING A SUBSTRATE AND COATER - A method for coating a substrate by means of a cathode arrangement including at least two rotatable cathodes is disclosed. The method includes rotating at least one of the at least two rotatable cathodes in a first direction, and, at the same time, rotating at least one of the at least two rotatable cathodes in a second direction. The first direction is opposite to the second direction. Furthermore, a controller for controlling a coating process is disclosed. Furthermore, a coater for coating a substrate is disclosed. The coater includes a cathode arrangement with at least two rotatable cathodes and a controller as disclosed herein. | 07-30-2015 |
20150206764 | TITANIUM OXIDE ETCH - Methods of selectively etching titanium oxide relative to silicon oxide, silicon nitride and/or other dielectrics are described. The methods include a remote plasma etch using plasma effluents formed from a fluorine-containing precursor and/or a chlorine-containing precursor. Plasma effluents from the remote plasma are flowed into a substrate processing region where the plasma effluents react with the titanium oxide. The plasmas effluents react with exposed surfaces and selectively remove titanium oxide while very slowly removing other exposed materials. A direction sputtering pretreatment is performed prior to the remote plasma etch and enables an increased selectivity as well as a directional selectivity. In some embodiments, the titanium oxide etch selectivity results partly from the presence of an ion suppression element positioned between the remote plasma and the substrate processing region. | 07-23-2015 |
20150205270 | METHODS AND APPARATUSES FOR UTILIZING ADAPTIVE PREDICTIVE ALGORITHMS AND DETERMINING WHEN TO USE THE ADAPTIVE PREDICTIVE ALGORITHMS FOR VIRTUAL METROLOGY - Described herein are methods, apparatuses, and systems for determining adaptive predictive algorithms for virtual metrology. In some embodiments, a computer implemented method identifies a plurality of predictive algorithms. The method determines when to use one or more of the plurality of predictive algorithms to predict one or more virtual metrology variables in a manufacturing facility. | 07-23-2015 |
20150200094 | CARBON FILM STRESS RELAXATION - Methods are described for treating a carbon film on a semiconductor substrate. The carbon may have a high content of sp3 bonding to increase etch resistance and enable new applications as a hard mask. The carbon film may be referred to as diamond-like carbon before and even after treatment. The purpose of the treatment is to reduce the typically high stress of the deposited carbon film without sacrificing etch resistance. The treatment involves ion bombardment using plasma effluents formed from a local capacitive plasma. The local plasma is formed from one or more of inert gases, carbon-and-hydrogen precursors and/or nitrogen-containing precursors. | 07-16-2015 |
20150200042 | RECESSING ULTRA-LOW K DIELECTRIC USING REMOTE PLASMA SOURCE - A portion of the ultra-low k dielectric layer over a substrate is modified using a downstream plasma comprising a first chemistry. The modified portion of the ultra-low k dielectric layer is etched using the downstream plasma comprising a second chemistry. The downstream plasma is generated using a remote plasma source. | 07-16-2015 |
20150198890 | METHOD FOR MICROWAVE PROCESSING OF PHOTOSENSITIVE POLYIMIDES - A method for curing photosensitive polyimide (PSPI) films includes the steps of: depositing a PSPI film on a selected substrate, and curing the film by microwave heating at a selected temperature from about 200 to 340° C. in a selected atmosphere containing an oxygen concentration from about 20 to 200,000 ppm. The process atmosphere may be static or flowing. The addition of oxygen improves the removal of acrylate residue and improves the T | 07-16-2015 |
20150194326 | PECVD CERAMIC HEATER WITH WIDE RANGE OF OPERATING TEMPERATURES - Embodiments of the present invention generally relate to semiconductor processing chamber, and more specifically, a heated support pedestal for a semiconductor processing chamber. In one embodiment, the pedestal comprises a substrate support including a support surface for receiving a substrate, a heating element encapsulated within the substrate support, and a first hollow shaft having a first end and a second end, where the first end is fixed to the substrate support. The substrate support and the first hollow shaft are made of a ceramic material and the first hollow shaft has a length between about 50 mm to 100 mm. The pedestal further comprises a second hollow shaft coupled to the second end of the first hollow shaft. The second hollow shaft has a length that is greater than the length of the first hollow shaft. | 07-09-2015 |
20150187630 | SUPPORT RING WITH MASKED EDGE - A support ring for semiconductor processing is provided. The support ring includes a ring shaped body defined by an inner edge and an outer edge. The inner edge and outer edge are concentric about a central axis. The ring shaped body further includes a first side, a second side, and a raised annular shoulder extending from the first side of the ring shaped body at the inner edge. The support ring also includes a coating on the first side. The coating has an inner region of reduced thickness region abutting the raised annular shoulder. | 07-02-2015 |
20150179486 | LOAD LOCK CHAMBER, SUBSTRATE PROCESSING SYSTEM AND METHOD FOR VENTING - A lock chamber for a substrate processing system is provided which includes at least a first conduit adapted to provide an inner portion of the lock chamber in fluid communication with atmospheric pressure or overpressure. Additionally, the lock chamber includes at least a first control valve for controlling a flow rate of the fluid communication of the inner portion of the chamber with the atmospheric pressure or the overpressure, wherein the control valve is adapted to continuously control the flow rate. Furthermore, an according method, a computer program and a computer readable medium adapted for performing the method is provided. | 06-25-2015 |
20150162189 | UV-ASSISTED PHOTOCHEMICAL VAPOR DEPOSITION FOR DAMAGED LOW K FILMS PORE SEALING - Embodiments of the invention generally provide methods for sealing pores at a surface of a dielectric layer formed on a substrate. In one embodiment, the method includes exposing a dielectric layer formed on a substrate to a first pore sealing agent, wherein the first pore sealing agent contains a compound with a general formula C | 06-11-2015 |
20150162171 | WAFER PROCESSING DEPOSITION SHIELDING COMPONENTS - Embodiments described herein generally relate to components for a semiconductor processing chamber, a process kit for a semiconductor processing chamber, and a semiconductor processing chamber having a process kit. In one embodiment a lower shield for encircling a sputtering target and a substrate support is provided. The lower shield comprises a cylindrical outer band having a first diameter dimensioned to encircle the sputtering surface of the sputtering target and the substrate support, the cylindrical band comprising a top wall that surrounds a sputtering surface of a sputtering target and a bottom wall that surrounds the substrate support, a support ledge comprising a resting surface and extending radially outward from the cylindrical outer band, a base plate extending radially inward from the bottom wall of the cylindrical band, and a cylindrical inner band coupled with the base plate and partially surrounding a peripheral edge of the substrate support. | 06-11-2015 |
20150152542 | CLOSED LOOP CONTROL - A method of controlling a reactive deposition process and a corresponding assembly and/or apparatus are described. The method includes providing power to a cathode with a power supply, providing a voltage set point to the power supply, receiving a power value correlating the power provided to the cathode, and controlling a flow of a process gas in dependence of the power value to provide a closed loop control for the power value. | 06-04-2015 |
20150147940 | Adjustment of Polishing Rates During Substrate Polishing With Predictive Filters - A measured characterizing value dependent on a thickness of a region of a substrate is input into a first predictive filter. The first predictive filter generates a filtered characterizing value. A measured characterizing rate at which the measured characterizing value changes is input into a second predictive filter. The second predictive filter generates a filtered characterizing rate of the region of the substrate. The measured characterizing value and the measured characterizing rate are determined based on in-situ measurements made at or before a first time during a polishing process of the substrate. A desired characterizing rate is determined to be used for polishing the region of the substrate after the first time and before a second, later time based on the filtered characterizing value and the filtered characterizing rate. | 05-28-2015 |
20150147829 | Limiting Adjustment of Polishing Rates During Substrate Polishing - A method of controlling polishing includes polishing a region of a substrate at a first polishing rate, measuring a sequence characterizing values for the region of the substrate during polishing with an in-situ monitoring system, determining a polishing rate adjustment for each of a plurality of adjustment times prior to a polishing endpoint time, and adjusting a polishing parameter to polish the substrate at a second polishing rate. The time period is greater than a period between the adjustment times and the projected time is before the polishing endpoint time. The second polishing rate is the first polishing rate as adjusted by the polishing rate adjustment. | 05-28-2015 |
20150144263 | SUBSTRATE HEATING PEDESTAL HAVING CERAMIC BALLS - A substrate heating pedestal for a process chamber for processing substrates is described. The pedestal comprises an annular plate comprising a surface having an array of recesses. A plurality of ceramic balls are each positioned in a recess on the surface of the annular plate to define a substrate receiving surface. A heating element is embedded in the annular plate. | 05-28-2015 |
20150143677 | SEMICONDUCTOR PROCESSING APPARATUS WITH A CERAMIC-COMPRISING SURFACE WHICH EXHIBITS FRACTURE TOUGHNESS AND HALOGEN PLASMA RESISTANCE - A solid solution-comprising ceramic article useful in semiconductor processing, which article may be in the form of a solid, bulk ceramic, or may be in the form of a substrate having a ceramic coating of the same composition as the bulk ceramic material on at least one outer surface. The ceramic article is resistant to erosion by halogen-containing plasmas and provides advantageous mechanical properties. The solid solution-comprising ceramic article is formed from a combination of yttrium oxide and zirconium oxide. The ceramic-comprising article includes ceramic which is formed from zirconium oxide at a molar concentration ranging from about 96 mole % to about 91 mole %, and yttrium oxide at a molar concentration ranging from about 4 mole % to about 9 mole %. | 05-28-2015 |
20150138687 | CONTROL SYSTEMS EMPLOYING DEFLECTION SENSORS TO CONTROL CLAMPING FORCES APPLIED BY ELECTROSTATIC CHUCKS, AND RELATED METHODS - A control system that includes deflection sensors which can control clamping forces applied by electrostatic chucks, and related methods are disclosed. By using a sensor to determine a deflection of a workpiece supported by an electrostatic chuck, a control system may use the deflection measured to control a clamping force applied to the workpiece by the electrostatic chuck. The control system applies a clamping voltage to the electrostatic chuck so that the clamping force reaches and maintains a target clamping force. In this manner, the clamping force may secure the workpiece to the electrostatic chuck to enable manufacturing operations to be performed while preventing workpiece damage resulting from unnecessary higher values of the clamping force. | 05-21-2015 |
20150137681 | PLASMA GENERATION SOURCE EMPLOYING DIELECTRIC CONDUIT ASSEMBLIES HAVING REMOVABLE INTERFACES AND RELATED ASSEMBLIES AND METHODS - Plasma generation source employing dielectric conduit assemblies having removable interfaces and related assemblies and methods are disclosed. The plasma generation source (PGS) includes an enclosure body having multiple internal surfaces forming an internal chamber having input and output ports to respectively receive a precursor gas for generation of plasma and to discharge the plasma. A dielectric conduit assembly may guide the gas and the plasma away from the internal surface where particulates may be generated. The dielectric conduit assembly includes a first and second cross-conduit segments. The dielectric conduit assembly further includes parallel conduit segments extending from the second cross-conduit segment to distal ends which removably align with first cross-conduit interfaces of the first cross-conduit segment without leaving gaps. In this manner, the dielectric conduit assembly is easily serviced, and reduces and contains particulate generation away from the output port. | 05-21-2015 |
20150136585 | METHOD FOR SPUTTERING FOR PROCESSES WITH A PRE-STABILIZED PLASMA - A method of depositing a layer of a material on a substrate is described. The method includes igniting a plasma of a sputter target for material deposition while the substrate is not exposed to the plasma, maintaining the plasma at least until exposure of the substrate to the plasma for deposition of the material on the substrate, exposing the substrate to the plasma by moving at least one of the plasma and the substrate, and depositing the material on the substrate, wherein the substrate is positioned for a static deposition process. | 05-21-2015 |
20150132602 | HIGH PURITY METALLIC TOP COAT FOR SEMICONDUCTOR MANUFACTURING COMPONENTS - A method for coating a component for use in a semiconductor chamber for plasma etching includes providing a component for use in a semiconductor manufacturing chamber, loading the component into a deposition chamber, cold spray coating a metal powder onto the component to form a coating on the component, and anodizing the coating to form an anodization layer. | 05-14-2015 |
20150129546 | PLASMA-FREE METAL ETCH - Methods of selectively etching metal-containing materials from the surface of a substrate are described. The etch selectively removes metal-containing materials relative to silicon-containing films such as silicon, polysilicon, silicon oxide, silicon germanium, silicon carbide, silicon carbon nitride and/or silicon nitride. The methods include exposing metal-containing materials to halogen containing species in a substrate processing region. No plasma excites the halogen-containing precursor either remotely or locally in embodiments. | 05-14-2015 |
20150129545 | SELECTIVE ETCH FOR METAL-CONTAINING MATERIALS - Methods of selectively etching metal-containing materials from the surface of a substrate are described. The etch selectively removes metal-containing materials relative to silicon-containing films such as silicon, polysilicon, silicon oxide, silicon germanium and/or silicon nitride. The methods include exposing metal-containing materials to halogen containing species in a substrate processing region. A remote plasma is used to excite the halogen-containing precursor and a local plasma may be used in embodiments. Metal-containing materials on the substrate may be pretreated using moisture or another OH-containing precursor before exposing the resulting surface to remote plasma excited halogen effluents in embodiments. | 05-14-2015 |
20150128641 | HEAT EXCHANGER FOR COOLING A HEATING TUBE AND METHOD THEREOF - A heat exchanger for cooling a heating tube is described, comprising at least two cooling pipes, wherein the at least two cooling pipes are arranged such that each of the at least two cooling pipes are configured to be in thermal contact with the heating tube; and a means for generating an aerosol being configured to provide the aerosol in the at least two cooling pipes. | 05-14-2015 |
20150120243 | REDUCING NOISE IN SPECTRAL DATA FROM POLISHING SUBSTRATES - Among other things, a machine based method comprises representing a plurality of spectra reflected from one or more substrates at a plurality of different positions on the one or more substrates in the form of a first matrix; decomposing, by one or more computers, the first matrix into products of at least two component matrixes of a first set of component matrixes; reducing dimensions of each of the at least two component matrixes to produce a second set of component matrixes containing the at least two matrixes with reduced dimensions; and generating, by the one or more computers, a second matrix by taking a product of the matrixes of the second set of component matrixes. | 04-30-2015 |
20150120242 | GROUPING SPECTRAL DATA FROM POLISHING SUBSTRATES - Among other things, a computer-based method is described. The method comprises receiving, by one or more computers, a plurality of measured spectra reflected from a substrate at a plurality of different positions on the substrate. The substrate comprises at least two regions having different structural features. The method also comprises performing, by the one or more computers, a clustering algorithm on the plurality of measured spectra to separate the plurality of measured spectra into a number of groups based on the spectral characteristics of the plurality of measured spectra; selecting one of the number of groups to provide a selected group having a subset of spectra from the plurality of measured spectra; and determining, in the one or more computers, at least one characterizing value for the substrate based on the subset of spectra of the selected group. | 04-30-2015 |
20150118766 | DETERMINATION OF GAIN FOR EDDY CURRENT SENSOR - A method of controlling polishing includes polishing a substrate at a first polishing station, monitoring the substrate with a first eddy current monitoring system to generate a first signal, determining an ending value of the first signal for an end of polishing of the substrate at the first polishing station, determining a first temperature at the first polishing station, polishing the substrate at a second polishing station, monitoring the substrate with a second eddy current monitoring system to generate a second signal, determining a starting value of the second signal for a start of polishing of the substrate at the second polishing station, determining a gain for the second polishing station based on the ending value, the starting value and the first temperature, and calculating a third signal based on the second signal and the gain. | 04-30-2015 |
20150118765 | DETERMINATION OF GAIN FOR EDDY CURRENT SENSOR - In one aspect, a method of controlling polishing includes receiving a measurement of an initial thickness of a conductive film on a first substrate prior to polishing the first substrate from an in-line or stand-alone monitoring system, polishing one or more substrates in a polishing system, the one or more substrates including the first substrate, during polishing of the one or more substrates, monitoring the one or more substrates with an eddy current monitoring system to generate a first signal, determining a starting value of the first signal for a start of polishing of the first substrate, determining a gain based on the starting value and the measurement of the initial thickness, for at least a portion of the first signal collected during polishing of at least one substrate of the one or more substrates, and calculating a second signal based on the first signal and the gain. | 04-30-2015 |
20150110960 | ROLLER DEVICE FOR VACUUM DEPOSITION ARRANGEMENT, VACUUM DEPOSITION ARRANGEMENT WITH ROLLER AND METHOD FOR OPERATING A ROLLER - A deposition arrangement for depositing a material on a substrate is described. The deposition arrangement includes a vacuum chamber; a roller device within the vacuum chamber; and an electrical heating device within the roller device, wherein the heating device comprises a first end and a second end, and wherein the heating device is held at the first end and at the second end. Also, a method for heating a substrate in a vacuum deposition arrangement is described. | 04-23-2015 |
20150109715 | METHOD FOR FORMING AN ELECTROSTATIC CHUCK USING FILM PRINTING TECHNOLOGY - In one embodiment, a method for forming an electrostatic chuck includes forming vias in a ceramic plate and printing a metal paste in the vias and curing the ceramic plate. The method includes printing the metal paste on a front surface of the ceramic plate and curing the ceramic plate, and printing the metal paste on a bottom surface of the ceramic plate and curing the ceramic plate to form one or more contact pads. The method also includes printing a dielectric film on the front surface of the ceramic plate and curing the ceramic plate. The method may further include printing one or more heating elements on a bottom surface of the ceramic plate and curing the ceramic plate, printing the dielectric film on the bottom, and bonding the ceramic plate to a backing plate. | 04-23-2015 |
20150107618 | OXYGEN CONTAINING PLASMA CLEANING TO REMOVE CONTAMINATION FROM ELECTRONIC DEVICE COMPONENTS - A gas comprising oxygen is supplied to a plasma source. A plasma jet comprising oxygen plasma particles is generated from the gas. A contaminant is removed from the component using the oxygen plasma particles. | 04-23-2015 |
20150105895 | MATCHING PROCESS CONTROLLERS FOR IMPROVED MATCHING OF PROCESS - Described herein are methods and systems for chamber matching in a manufacturing facility. A method may include receiving a first chamber recipe advice for a first chamber and a second chamber recipe advice for a second chamber. The chamber recipe advices describe a set of tunable inputs and a set of outputs for a process. The method may further include adjusting at least one of the set of first chamber input parameters or the set of second chamber input parameters and at least one of the set of first chamber output parameters or the set of second chamber output parameters to substantially match the first and second chamber recipe advices. | 04-16-2015 |
20150099369 | PROCESS FOR ETCHING METAL USING A COMBINATION OF PLASMA AND SOLID STATE SOURCES - An apparatus configured to remove metal etch byproducts from the surface of substrates and from the interior of a substrate processing chamber. A plasma is used in combination with a solid state light source, such as an LED, to desorb metal etch byproducts. The desorbed byproducts may then be removed from the chamber. | 04-09-2015 |
20150099347 | TRENCH FORMATION WITH CD LESS THAN 10 NM FOR REPLACEMENT FIN GROWTH - Embodiments described herein generally relate to methods of forming sub-10 nm node FinFETs. Various processing steps may be performed on a substrate to provide a trench over which a dielectric layer is conformally deposited. The dielectric layer is subsequently etched within the trench to expose the underlying substrate and a semiconductive material is deposited in the trench to form a fin structure. The processes of forming the trench, depositing the dielectric layer, and forming the fin structure can achieve sub-10 nm node dimensions and provide increasingly smaller FinFETs. | 04-09-2015 |
20150099314 | PREDICTIVE METHOD OF MATCHING TWO PLASMA REACTORS - Etch rate distribution non-uniformities are predicted for a succession of hardware tilt angles of the RF source applicator relative to the workpiece, and the behavior is modeled as a non-uniformity function for each one of at least two plasma reactors. An offset Δα in tilt angle α between the non-uniformity functions of the two plasma reactors is detected. The two reactors are then matched by performing a hardware tilt in one of them through a tilt angle equal to the offset Δα. | 04-09-2015 |
20150098773 | SUBSTRATE PROCESSING SEQUENCE IN A CARTESIAN ROBOT CLUSTER TOOL - A method and apparatus for processing substrates using a multi-chamber processing system, or cluster tool, is provided. In one embodiment of the invention, a robot assembly is provided. The robot assembly includes a first motion assembly movable in a first direction, and a second motion assembly, the second motion assembly being coupled to the first motion assembly and being movable relative to the first motion assembly in a second direction that is generally orthogonal to the first direction. The robot assembly further comprises an enclosure disposed in one of the first motion assembly or the second motion assembly, the enclosure containing at least a portion of a vertical actuator assembly, a support plate coupled to the enclosure, and a first transfer robot disposed on the support plate. | 04-09-2015 |
20150097479 | MULTIPLE ZONE COIL ANTENNA WITH PLURAL RADIAL LOBES - A low inductance coil antenna for a plasma reactor has multiple radial zones of plural conductor lobes extending radially from respective RF supply and ground rings. | 04-09-2015 |
20150097478 | COIL ANTENNA WITH PLURAL RADIAL LOBES - A low inductance coil antenna for a plasma reactor has plural conductor lobes extending radially from respective RF supply connections. | 04-09-2015 |
20150096959 | METHOD OF MATCHING TWO OR MORE PLASMA REACTORS - Etch rate distributions are captured at a succession of hardware tilt angles of the RF source power applicator relative to the workpiece and their non-uniformities computed, and the behavior is modeled as a non-uniformity function for each one of at least two plasma reactors. An offset Δα in tilt angle α between the non-uniformity functions of the two plasma reactors is detected. The two plasma reactors are then matched by performing a hardware tilt in one of them through a tilt angle equal to the offset Δα. | 04-09-2015 |
20150096683 | LED BASED OPTICAL SOURCE COUPLED WITH PLASMA SOURCE - An apparatus configured to remove metal etch byproducts from the surface of substrates and from the interior of a substrate processing chamber. A plasma is used in combination with a solid state light source, such as an LED, to desorb metal etch byproducts. The desorbed byproducts may then be removed from the chamber. | 04-09-2015 |
20150090587 | ROTATABLE SPUTTER TARGET - A target arrangement for providing material to be deposited on a substrate is provided. The target arrangement includes a target part ( | 04-02-2015 |
20150086722 | METHOD FOR CLEANING TITANIUM ALLOY DEPOSITION - Embodiments described herein relate to a thermal chlorine gas cleaning process. In one embodiment, a method for cleaning N-Metal film deposition in a processing chamber includes positioning a dummy substrate on a substrate support. The processing chamber is heated to at least about 50 degrees Celsius. The method further includes flowing chlorine gas into the processing chamber and evacuating chlorine gas from the processing chamber. In another embodiment, a method for cleaning titanium aluminide film deposition in a processing chamber includes heating the processing chamber to a temperature between about 70 about degrees Celsius and about 100 degrees Celsius, wherein the processing chamber and the substrate support include one or more fluid channels configured to heat or cool the processing chamber and the substrate support. | 03-26-2015 |
20150083600 | ELECTROLYTIC COPPER PROCESS USING ANION PERMEABLE BARRIER - Processes and systems for electrolytically processing a microfeature workpiece with a first processing fluid and a counter electrode are described. Microfeature workpieces are electrolytically processed using a first processing fluid, a counter electrode, a second processing fluid, and an anion permeable barrier layer. The anion permeable barrier layer separates the first processing fluid from the second processing fluid while allowing certain anionic species to transfer between the two fluids. | 03-26-2015 |
20150083464 | TRANSPARENT BODY FOR USE IN A TOUCH SCREEN PANEL MANUFACTURING METHOD AND SYSTEM - A process for manufacturing a transparent body for use in a touch screen panel is provided. The process includes: depositing a first transparent layer stack over a transparent substrate, wherein said first transparent layer stack includes at least a first dielectric film with a first refractive index, and a second dielectric film with a second refractive index different from the second the first refractive index; providing a structured transparent conductive film in a manner such that the first transparent layer stack and the transparent conductive film are disposed over the substrate in this order, and wherein the structured transparent conductive film has a sheet resistance of 100 Ohm/square or below; and providing a transparent adhesive onto the structured transparent conductive film configured for attaching the layer stack to the touch screen panel. | 03-26-2015 |
20150079784 | SURFACE TREATMENT TO IMPROVE CCTBA BASED CVD CO NUCLEATION ON DIELECTRIC SUBSTRATE - Embodiments of the present invention generally relate to a method of forming a cobalt layer on a dielectric material without incubation delay. Prior to depositing the cobalt layer using CVD, the surface of the dielectric material is pretreated at a temperature between 100° C. and 250° C. Since the subsequent CVD cobalt process is also performed at between 100° C. and 250° C., one processing chamber is used for pretreating the dielectric material and forming of the cobalt layer. The combination of processing steps enables use of two processing chambers to deposit cobalt. | 03-19-2015 |
20150079336 | GEOMETRIES AND PATTERNS FOR SURFACE TEXTURING TO INCREASE DEPOSITION RETENTION - A processing chamber component and method for fabricating the same are provided. The processing chamber component is fabricated in the manner described herein and includes the creation of at least a macro texture on a surface of the chamber component. The macro texture is defined by a plurality of engineered features arranged in a predefined orientation on the surface of the chamber component. In some embodiments, the engineered features prevent formation of a line of sight surface defined between the features to enhance retention of films deposited on the chamber component. | 03-19-2015 |
20150079271 | SYSTEM AND METHODS FOR PROCESSING A SUBSTRATE - According to the present disclosure, a substrate processing apparatus for processing a flexible substrate including a vacuum chamber configured for being evacuated and being configured for having a process gas provided therein, a processing module adapted to process the flexible substrate, wherein the processing module is provided within the vacuum chamber, and a discharging assembly configured to generate a flow of charged particles to discharge the flexible substrate is provided. The discharging assembly is configured to generate an electric field for ionizing a processing gas. | 03-19-2015 |
20150076110 | BORON IONIZATION FOR ALUMINUM OXIDE ETCH ENHANCEMENT - Embodiments described herein generally provide a method for performing a semiconductor precleaning process. More specifically, embodiments provided herein relate to boron ionization for aluminum oxide etch enhancement. A process for removing native oxide from aluminum may utilize ionized boron alone or in combination with a halogen plasma. The ionized boron may provide improved aluminum oxide etching properties while being highly selective for native oxides more generally. | 03-19-2015 |
20150075717 | INDUCTIVELY COUPLED SPATIALLY DISCRETE MULTI-LOOP RF-DRIVEN PLASMA SOURCE - An RF plasma source has a resonator with its shorted end joined to the processing chamber ceiling and inductively coupled to an array of radial toroidal channels in the ceiling. | 03-19-2015 |
20150075716 | SPATIALLY DISCRETE MULTI-LOOP RF-DRIVEN PLASMA SOURCE HAVING PLURAL INDEPENDENT ZONES - An RF plasma source has a resonator with its shorted end joined to the processing chamber ceiling and inductively coupled to two arrays of radial toroidal channels in the ceiling, the resonator having two radial zones and the two arrays of toroidal channels lying in respective ones of the radial zones. | 03-19-2015 |
20150064919 | ASPECT RATIO DEPENDENT ETCH (ARDE) LAG REDUCTION PROCESS BY SELECTIVE OXIDATION WITH INERT GAS SPUTTERING - Embodiments of methods for etching a substrate include exposing the substrate to a first plasma formed from an inert gas; exposing the substrate to a second plasma formed from an oxygen-containing gas to form an oxide layer on a bottom and sides of a low aspect ratio feature and a high aspect ratio feature, wherein the oxide layer on the bottom of the low aspect ratio feature is thicker than on the bottom of the high aspect ratio feature; etching the oxide layer from the bottom of the low and high aspect ratio features with a third plasma to expose the bottom of the high aspect ratio feature while the bottom of the low aspect ratio feature remains covered; and exposing the substrate to a fourth plasma formed from a halogen-containing gas to etch the bottom of the low aspect ratio feature and the high aspect ratio feature. | 03-05-2015 |
20150064878 | WAFER DICING METHOD FOR IMPROVING DIE PACKAGING QUALITY - In embodiments, a hybrid wafer or substrate dicing process involving an initial laser scribe and subsequent plasma etch is implemented for die singulation while also removing an oxidation layer from metal bumps on the wafer. In one embodiment, a method includes forming a mask over the semiconductor wafer covering the plurality of ICs, the plurality of ICs including metal bumps or pads with an oxidation layer. The method includes patterning the mask with a laser scribing process to provide a patterned mask with gaps, exposing regions of the semiconductor wafer between the ICs. The method includes plasma etching the semiconductor wafer through the gaps in the patterned mask to singulate the plurality of ICs and remove the oxidation layer from the metal bumps or pads. | 03-05-2015 |
20150062710 | TRANSPARENT BODY FOR USE IN A TOUCH PANEL AND ITS MANUFACTURING METHOD FOR APPARATUS - A process for manufacturing a transparent body for use in a touch screen panel is provided. The process includes: depositing a first transparent layer stack over a transparent substrate, wherein said first transparent layer stack includes at least a first dielectric film with a first refractive index, and a second dielectric film with a second refractive index different from the first refractive index, providing a structured transparent conductive film in a manner such that the first transparent layer stack and the transparent conductive film are disposed over the substrate in this order, and wherein the structured transparent conductive film has a sheet resistance of 100 Ohm/square or below, and depositing a second transparent layer stack over the transparent conductive film, wherein said second transparent layer stack includes at least a third dielectric film with a third refractive index, and a fourth dielectric film or a transparent adhesive with a fourth refractive index, wherein the first transparent layer stack, the structured transparent conductive film and the second transparent layer stack are provided in this order. | 03-05-2015 |
20150060013 | TUNABLE TEMPERATURE CONTROLLED ELECTROSTATIC CHUCK ASSEMBLY - Embodiments include a pedestal to support a workpiece during plasma processing with tunable temperature control. In one embodiment, the pedestal includes an electrostatic chuck (ESC) having a top surface over which the workpiece is to be disposed. The pedestal includes one or more heating elements disposed under the top surface of the ESC. The pedestal includes a cooling base disposed under the ESC. The pedestal includes a plurality of compartments disposed between the cooling base and the top surface of the ESC, the plurality of compartments independently controllable to different pressures. One or more controllers independently control pressure in a first of the plurality of compartments to a first pressure and in a second of the plurality of compartments to a second pressure. | 03-05-2015 |
20150059974 | METHOD OF PROCESSING A SUBSTRATE SUPPORT ASSEMBLY - Embodiments of the invention may generally provide a method and apparatus that is used to prepare new and used substrate support assemblies for use in typical semiconductor processing environments. Embodiments of the present invention generally relate to a method of coating a new substrate support assembly or a used substrate support assembly that is being refurbished. The deposited coating may include a surface enhancement and/or protective material that is configured to protect one or more of the components exposed to the processing environment during a semiconductor process. The substrate support assembly may be coated with a protective material and during the coating process, the substrate support assembly is maintained at a temperature that is less than or equal to 150° C. by flowing a coolant through channels formed in a base of the substrate support assembly. | 03-05-2015 |
20150057788 | K-NEAREST NEIGHBOR-BASED METHOD AND SYSTEM TO PROVIDE MULTI-VARIATE ANALYSIS ON TOOL PROCESS DATA - A server computer system creates a reference fingerprint for a first tool running a recipe. The server computer system uses reference data that pertains to the first tool performing within parameters to create the reference fingerprint. The reference fingerprint includes a target baseline and allowable ranges based on the target baseline. The server computer system determines whether sample data that is associated with a second tool running the recipe is performing within the parameters based on a comparison of the sample data to the reference fingerprint. The second tool can be the first tool or another tool of a same type as the first tool. The server computer system provides a classification of the second tool to at least one of a system or a user based on the comparison of the sample data to the reference fingerprint. The classification indicates whether the second tool is performing within the parameters. | 02-26-2015 |
20150056744 | THIN FILM STRUCTURES AND DEVICES WITH INTEGRATED LIGHT AND HEAT BLOCKING LAYERS FOR LASER PATTERNING - Selective removal of specified layers of thin film structures and devices, such as solar cells, electrochromics, and thin film batteries, by laser direct patterning is achieved by including heat and light blocking layers in the device/structure stack immediately adjacent to the specified layers which are to be removed by laser ablation. The light blocking layer is a layer of metal that absorbs or reflects a portion of the laser energy penetrating through the dielectric/semiconductor layers and the heat blocking layer is a conductive layer with thermal diffusivity low enough to reduce heat flow into underlying metal layer(s), such that the temperature of the underlying metal layer(s) does not reach the melting temperature, T | 02-26-2015 |
20150056043 | WORKPIECE ORIENTING AND CENTERING WITH A FACTORY INTERFACE - A workpiece orientation is determined by camera during transfer to a load lock, and the orientation is corrected during load lock pump down. | 02-26-2015 |
20150053658 | APPARATUS AND METHOD OF IMPROVING BEAM SHAPING AND BEAM HOMOGENIZATION - The present invention generally relates to an optical system that is able to reliably deliver a uniform amount of energy across an anneal region contained on a surface of a substrate. The optical system is adapted to deliver, or project, a uniform amount of energy having a desired two-dimensional shape on a desired region on the surface of the substrate. Typically, the anneal regions may be square or rectangular in shape. Generally, the optical system and methods of the present invention are used to preferentially anneal one or more regions found within the anneal regions by delivering enough energy to cause the one or more regions to re-melt and solidify. | 02-26-2015 |
20150050105 | VAPOR DRYER MODULE WITH REDUCED PARTICLE GENERATION - Embodiments described herein generally relate to a vapor dryer module for cleaning substrates during a chemical mechanical polishing (CMP) process. In one embodiment, a module for processing a substrate is provided. The module includes a tank having sidewalls with an outer surface and an inner surface defining a processing volume, a substrate support structure for transferring a substrate within the processing volume, the substrate support structure having a first portion that is at least partially disposed in the processing volume and a second portion that is outside of the processing volume, and one or more actuators disposed on an outer surface of one of the sidewalls of the tank and coupled between the outer surface and the second portion of the support structure, the one or more actuators operable to move the support structure relative to the tank. | 02-19-2015 |
20150042017 | THREE-DIMENSIONAL (3D) PROCESSING AND PRINTING WITH PLASMA SOURCES - Embodiments include systems, apparatuses, and methods of three-dimensional plasma printing or processing. In one embodiment, a method includes introducing chemical precursors into one or more point plasma sources, generating plasma in the one or more point plasma sources from the chemical precursors with one or more power sources, and locally patterning a substrate disposed over a stage with the generated plasma by moving the stage with respect to the one or more point plasma sources. | 02-12-2015 |
20150040829 | MULTIZONE HOLLOW CATHODE DISCHARGE SYSTEM WITH COAXIAL AND AZIMUTHAL SYMMETRY AND WITH CONSISTENT CENTRAL TRIGGER - Embodiments of the present invention relate to hollow cathode plasma sources with improved uniformity. One embodiment of the present invention provides a hollow cathode assembly having a conductive rod disposed in an inner volume along a central axis of a hollow cathode. The conductive rod being closest to the ground electrode and having the sharpest features of the hollow cathode becomes the point of plasma ignition. Since the conductive rod is positioned along the central axis, the plasma is ignited at symmetrically about the central axis thus improving plasma uniformity and reducing skews. | 02-12-2015 |
20150031207 | Forming multiple gate length transistor gates using sidewall spacers - A method of fabricating multiple gate lengths simultaneously on a single chip surface. Hard masking materials which are used as spacers in a field effects transistor generation process are converted into a spacer mask to increase the line density on the chip surface. These hard masking spacers are further patterned by either trimming or by enlarging a portion of a spacer at various locations on a chip surface, to enable formation of multiple gate lengths on a single chip, using a series of process steps which make use of combinations of hydrophobic and hydrophilic materials. | 01-29-2015 |
20150031187 | METHODS FOR FORMING A ROUND BOTTOM SILICON TRENCH RECESS FOR SEMICONDUCTOR APPLICATIONS - Embodiments of the present invention provide methods to etching a recess channel in a semiconductor substrate, for example, a silicon containing material. In one embodiment, a method of forming a recess structure in a semiconductor substrate includes transferring a silicon substrate into a processing chamber having a patterned photoresist layer disposed thereon exposing a portion of the substrate, providing an etching gas mixture including a halogen containing gas and a Cl | 01-29-2015 |
20150027491 | DOUBLE SIDED BUFF MODULE FOR POST CMP CLEANING - A buff module and method for using the same are provided. In one embodiment, a buff module includes housing having an interior volume, a plurality of drive rollers and a pair of buff heads. The drive rollers are arranged to rotate a substrate within the interior volume on a substantially horizontal axis. The buff heads are disposed in the housing, each buff head rotatable on an axis substantially aligned with the horizontal axis and movable to a position substantially parallel with the horizontal axis. | 01-29-2015 |
20150024155 | ION ASSISTED DEPOSITION FOR RARE-EARTH OXIDE BASED THIN FILM COATINGS ON PROCESS RINGS - A method of manufacturing an article comprises providing a ring for an etch reactor. Ion assisted deposition (IAD) is then performed to deposit a protective layer on at least one surface of the ring, wherein the protective layer is a plasma resistant rare earth oxide film having a thickness of less than 300 μm and an average surface roughness of less than 6 micro-inches. | 01-22-2015 |
20150021324 | ION ASSISTED DEPOSITION FOR RARE-EARTH OXIDE BASED COATINGS ON LIDS AND NOZZLES - A method of manufacturing an article comprises providing a lid or nozzle for an etch reactor. Ion assisted deposition (IAD) is then performed to deposit a protective layer on at least one surface of the lid or nozzle, wherein the protective layer is a plasma resistant rare earth oxide film having a thickness of less than 300 μm and an average surface roughness of 10 micro-inches or less. | 01-22-2015 |
20150021168 | INLINE DEPOSITION CONTROL APPARATUS AND METHOD OF INLINE DEPOSITION CONTROL - An inline deposition control apparatus for a vacuum deposition apparatus having one or more deposition sources for depositing one or more deposition layers on a substrate, includes one or more light sources adapted to illuminate the substrate having the one or more deposition layers; a detection arrangement adapted for spectrally resolved detection of a measurement signal, wherein the measurement signal is selected from at least one of: light reflected at the substrate having the one or more deposition layers, and light transmitted through the substrate having the one or more deposition layers; an evaluation unit to determine the respective thicknesses of the one or more layers based on the measurement signal; and a controller connected to the evaluation unit and connectable to the deposition apparatus for feed-back control of the deposition of the one or more deposition layers based on the determined thicknesses. Furthermore, a method of inline deposition control is provided. | 01-22-2015 |
20150021166 | SPUTTERING APPARATUS AND METHOD - A deposition apparatus for depositing a layer of deposition material on a substrate is provided. The apparatus includes a substrate support adapted for holding the substrate; a target support ( | 01-22-2015 |
20150014152 | SELECTIVE SPUTTERING FOR PATTERN TRANSFER - Methods of patterning conductive layer with a mask are described. The methods include low-ion-mass sputtering of the conductive layer by accelerating (e.g. helium or hydrogen containing ions) toward a substrate which includes the patterned mask and the underlying conductive layer. The sputtering processes described herein selectively remove conductive layers while retaining mask material. | 01-15-2015 |
20140374024 | APPARATUS FOR REMOVING PARTICLES FROM A TWIN CHAMBER PROCESSING SYSTEM - Embodiments of an apparatus for removing particles from a twin chamber processing system are provided herein. In some embodiments, an apparatus for removing particles from a twin chamber processing system includes a remote plasma system; and a plurality of conduits fluidly coupling the remote plasma system to each process chamber of a twin chamber processing system to provide a plasma to an exhaust volume of each process chamber, wherein each conduit of the plurality of conduits has an outlet disposed along a boundary of the respective exhaust volumes. | 12-25-2014 |
20140370711 | NITROGEN DOPED AMORPHOUS CARBON HARDMASK - Embodiments described herein generally relate to the fabrication of integrated circuits and more particularly to nitrogen doped amorphous carbon layers and processes for depositing nitrogen doped amorphous carbon layers on a semiconductor substrate. In one embodiment, a method of forming a nitrogen doped amorphous carbon layer on a substrate is provided. The method comprises positioning a substrate in a substrate processing chamber, introducing a nitrogen containing hydrocarbon source into the processing chamber, introducing a hydrocarbon source into the processing chamber, introducing a plasma-initiating gas into the processing chamber, generating a plasma in the processing chamber, and forming a nitrogen doped amorphous carbon layer on the substrate. | 12-18-2014 |
20140367267 | ELECTROPLATING TOOL WITH FEEDBACK OF METAL THICKNESS DISTRIBUTION AND CORRECTION - An electroplating reactor includes an electro-plating solution in a bath, a ring cathode in the bath and located to contact a workpiece such that only the front side of the workpiece is immersed in the solution, plural anodes immersed in the bath below the ring cathode, and plural anode voltage sources coupled to the plural anodes; plural thickness sensors at spatially separate locations on the back side of the workpiece with feedback control to the anode voltage sources. | 12-18-2014 |
20140367266 | FAST AND CONTINUOUS EDDY-CURRENT METROLOGY OF A CONDUCTIVE FILM - A measurement tool includes a rotation stage supporting an workpiece support, a thickness sensor overlying a workpiece support surface; a translation actuator coupled to the thickness sensor for translation of the thickness sensor relative to the workpiece support surface; and a computer coupled to control the rotation actuator and the translation actuator, and coupled to receive an output of the thickness sensor. | 12-18-2014 |
20140367265 | NON-CONTACT SHEET RESISTANCE MEASUREMENT OF BARRIER AND/OR SEED LAYERS PRIOR TO ELECTROPLATING - A measurement tool for measuring an electrical parameter of a metal film deposited on a front side of a workpiece includes an electrical sensor connected to a workpiece contact point, an energy beam source with a beam impact location on the front side, a holder and a translation mechanism capable of translating the holder relative to the workpiece support, the beam source supported on the holder, and a computer programmed to sense a behavior of an electrical parameter sensed by the sensor. | 12-18-2014 |
20140367046 | ENHANCED PLASMA SOURCE FOR A PLASMA REACTOR - Embodiments of an apparatus having an improved coil antenna assembly that can provide enhanced plasma in a processing chamber is provided. The improved coil antenna assembly enhances positional control of plasma location within a plasma processing chamber, and may be utilized in etch, deposition, implant, and thermal processing systems, among other applications where the control of plasma location is desirable. In one embodiment, an electrode assembly configured to use in a semiconductor processing apparatus includes a RF conductive connector, and a conductive member having a first end electrically connected to the RF conductive connector, wherein the conductive member extends outward and vertically from the RF conductive connector. | 12-18-2014 |
20140367043 | METHOD FOR FAST AND REPEATABLE PLASMA IGNITION AND TUNING IN PLASMA CHAMBERS - Embodiments of the present invention include methods and apparatus for plasma processing in a process chamber using an RF power supply coupled to the process chamber via a matching network. In some embodiments, the method includes providing RF power to the process chamber by the RF power supply at a first frequency while the matching network is in a hold mode, adjusting the first frequency, using the RF power supply, to a second frequency during a first time period to ignite the plasma, adjusting the second frequency, using the RF power supply, to a known third frequency during a second time period while maintaining the plasma, and changing an operational mode of the matching network to an automatic tuning mode to reduce a reflected power of the RF power provided by the RF power supply. | 12-18-2014 |
20140366953 | PARTICLE REDUCTION VIA THROTTLE GATE VALVE PURGE - Methods and apparatus for particle reduction in throttle gate valves used in substrate process chambers are provided herein. In some embodiments, a gate valve for use in a process chamber includes a body having an opening disposed therethrough from a first surface to an opposing second surface of the body; a pocket extending into the body from a sidewall of the opening; a gate movably disposed within the pocket between a closed position that seals the opening and an open position that reveals the opening and disposes the gate completely within the pocket; and a plurality of gas ports disposed in the gate valve configured to direct a gas flow into a portion of the gate valve fluidly coupled to the opening. | 12-18-2014 |
20140366912 | IN SITU PLASMA CLEAN FOR REMOVAL OF RESIDUE FROM PEDESTAL SURFACE WITHOUT BREAKING VACUUM - Methods and apparatus for in-situ plasma cleaning of a deposition chamber are provided. In one embodiment a method for plasma cleaning a deposition chamber without breaking vacuum is provided. The method comprises positioning a substrate on a susceptor disposed in the chamber and circumscribed by an electrically floating deposition ring, depositing a metal film on the substrate and the deposition ring in the chamber, grounding the metal film deposited on the deposition ring without breaking vacuum, and removing contaminants from the chamber with a plasma formed in the chamber without resputtering the metal film on the grounded deposition ring and without breaking vacuum. | 12-18-2014 |
20140363934 | THIN FILM SEMICONDUCTORS MADE THROUGH LOW TEMPERATURE PROCESS - Embodiments disclosed herein relate to a TFT and methods for manufacture thereof. Specifically, the embodiments herein relate to methods for forming a semiconductor layer at a low temperature for use in a TFT. The semiconductor layer may be formed by depositing a nitride or oxynitride layer, such as zinc nitride or oxynitride, and then converting the nitride layer into an oxynitride layer with a different oxygen content. The oxynitride layer is formed by exposing the deposited nitride layer to a wet atmosphere at a temperature between about 85 degrees Celsius and about 150 degrees Celsius. The exposure temperature is lower than the typical deposition temperature used for forming the oxynitride layer directly or annealing, which may be performed at temperatures of about 400 degrees Celsius. | 12-11-2014 |
20140363707 | HIGH PERFORMANCE FLOW BATTERY - High performance flow batteries, based on alkaline zinc/ferro-ferricyanide rechargeable (“ZnFe”) and similar flow batteries, may include one or more of the following improvements. First, the battery design has a cell stack comprising a low resistance positive electrode in at least one positive half cell and a low resistance negative electrode in at least one negative half cell, where the positive electrode and negative electrode resistances are selected for uniform high current density across a region of the cell stack. Second, a flow of electrolyte, such as zinc species in the ZnFe battery, with a high level of mixing through at least one negative half cell in a Zn deposition region proximate a deposition surface where the electrolyte close to the deposition surface has sufficiently high zinc concentration for deposition rates on the deposition surface that sustain the uniform high current density. | 12-11-2014 |
20140363596 | RARE-EARTH OXIDE BASED EROSION RESISTANT COATINGS FOR SEMICONDUCTOR APPLICATION - An article includes a body that is coated with a ceramic coating. The ceramic coating may include Y | 12-11-2014 |
20140357083 | DIRECTED BLOCK COPOLYMER SELF-ASSEMBLY PATTERNS FOR ADVANCED PHOTOLITHOGRAPHY APPLICATIONS - Embodiments of methods and an apparatus for utilizing a directed self-assembly (DSA) process on block copolymers (BCPs) to form a defect-free photoresist layer for feature transfer onto a substrate are provided. In one embodiment, a method for performing a dry development process includes transferring a substrate having a layer of block copolymers disposed thereon into an etching processing chamber, wherein at least a first type and a second type of polymers comprising the block copolymers are aggregated into a first group of regions and a second group of regions on the substrate, supplying an etching gas mixture including at least a carbon containing gas into the etching processing chamber, and predominately etching the second type of the polymers disposed on the second groups of regions on the substrate in the presence of the etching gas mixture. | 12-04-2014 |
20140357065 | AMORPHOUS SILICON THICKNESS UNIFORMITY IMPROVED BY PROCESS DILUTED WITH HYDROGEN AND ARGON GAS MIXTURE - The embodiments described herein generally relate to methods for forming an amorphous silicon structure that may be used in thin film transistor devices. In embodiments disclosed herein, the amorphous silicon layer is deposited using a silicon-based gas with an activation gas comprising a high concentration of inert gas and a low concentration of hydrogen-based gas. The activation gas combination allows for a good deposition profile of the amorphous silicon layer from the edge of the shadow frame which is translated to the polycrystalline silicon layer post-annealing. | 12-04-2014 |
20140357057 | STRUCTURE FOR III-V DEVICES ON SILICON - Embodiments described herein relate to a structure for III-V devices on silicon. A Group IV substrate is provided and a III-V structure may be formed thereon. The III-V structure generally comprises one or more buffer layers and a channel layer disposed on the one or more buffer layers. The one or more buffer layers may be selected to provide optimal microelectronic device properties, such as minimal defects, reduced charge accumulation, and reduced current leakage. | 12-04-2014 |
20140355972 | METHODS AND APPARATUS FOR INCREASING ACCURACY OF RMS MEASUREMENTS OF SIGNALS WITH A HIGH CREST FACTOR - Methods and apparatus for determining the root-mean-square (RMS) voltage of an input voltage are provided herein. In some embodiments, an apparatus for determining the root-mean-square (RMS) voltage of an input voltage includes an amplifier to modify an amplitude of the input voltage signal; an amplitude detector, coupled to the amplifier, to transform the spectrum of the modified input voltage signal so that an increased portion of the signal is disposed within a desired frequency region; and a root-mean-square (RMS) converter, coupled to the amplitude detector, to determine the RMS voltage of the transformed input voltage signal, wherein a bandwidth of the RMS converter includes the desired frequency region. | 12-04-2014 |
20140349491 | METHODS AND APPARATUS FOR SELECTIVE OXIDATION OF A SUBSTRATE - Methods for improving selective oxidation of polysilicon against silicon nitride in a process chamber are provided herein. In some embodiments, a method of selectively oxidizing a substrate disposed within a process chamber includes exposing a substrate having an exposed polysilicon layer and an exposed silicon nitride layer to a hydrogen-containing gas; heating the substrate to a process temperature of at least about 850 degrees Celsius; adding an oxygen containing gas to the process chamber while maintaining the substrate at the process temperature to create a mixture of the hydrogen-containing gas and the oxygen-containing gas; and exposing the substrate to the mixture while at the process temperature to selectively form an oxide layer atop the polysilicon layer substantially without forming an oxide layer atop the silicon nitride layer. | 11-27-2014 |
20140349490 | CONFORMAL AMORPHOUS CARBON FOR SPACER AND SPACER PROTECTION APPLICATIONS - A method of forming a nitrogen-doped amorphous carbon layer on a substrate in a processing chamber is provided. The method generally includes depositing a predetermined thickness of a sacrificial dielectric layer over a substrate, forming patterned features on the substrate by removing portions of the sacrificial dielectric layer to expose an upper surface of the substrate, depositing conformally a predetermined thickness of a nitrogen-doped amorphous carbon layer on the patterned features and the exposed upper surface of the substrate, selectively removing the nitrogen-doped amorphous carbon layer from an upper surface of the patterned features and the upper surface of the substrate using an anisotropic etching process to provide the patterned features filled within sidewall spacers formed from the nitrogen-doped amorphous carbon layer, and removing the patterned features from the substrate. | 11-27-2014 |
20140349073 | AEROSOL DEPOSITION COATING FOR SEMICONDUCTOR CHAMBER COMPONENTS - A method for coating a component for use in a semiconductor chamber for plasma etching includes providing the component and loading the component in a deposition chamber. A pressure in the deposition chamber is reduced to below atmospheric pressure. A coating is deposited on the component by spraying an aerosol comprising a suspension of a first type of metal oxide nanoparticle and a second type of metal oxide nanoparticle onto the component at approximately room temperature. | 11-27-2014 |
20140345803 | METHOD AND APPARATUS FOR STABLE PLASMA PROCESSING - A method and apparatus for etching a substrate using a spatially modified plasma is provided herein. In one embodiment, the method includes providing a process chamber having a plasma stabilizer disposed above a substrate support pedestal. A substrate is placed upon the pedestal. A process gas is introduced into the process chamber and a plasma is formed from the process gas. The substrate is etched with a plasma having an ion density to radical density ratio defined by the plasma stabilizer. | 11-27-2014 |
20140345645 | COPPER RESIDUE CHAMBER CLEAN - Methods of removing copper residue from interior surfaces of an etch process chamber are described. A plasma treatment using halogen-containing precursors transforms the copper residue into halogen-copper complexes. Plasma-excited inert gases are used to desorb the halogen-copper complexes. In this way, the copper residue is removed from the interior surfaces of the etch process chamber. | 11-27-2014 |
20140345526 | COATED LINER ASSEMBLY FOR A SEMICONDUCTOR PROCESSING CHAMBER - Embodiments disclosed herein relate to coated liner assemblies for use in a semiconductor processing chamber. In one embodiment, a liner assembly for use in a semiconductor processing chamber includes a liner body having a cylindrical ring form and a coating layer coating the liner body, wherein the coating layer is opaque at one or more wavelengths between about 200 nm and about 5000 nm. In another embodiment, an apparatus for depositing a dielectric layer on a substrate includes a processing chamber having an interior volume defined in a chamber body of the processing chamber, a liner assembly disposed in the processing chamber, wherein the liner assembly further comprises a liner body having a cylindrical ring form, and a coating layer coating an outer wall of the liner body and facing the chamber body, wherein the coating layer is opaque at one or more wavelengths between about 200 nm and about 5000 nm. | 11-27-2014 |
20140345525 | COATED LINER ASSEMBLY FOR A SEMICONDUCTOR PROCESSING CHAMBER - Embodiments disclosed herein relate to coated liner assemblies for use in a semiconductor processing chamber. In one embodiment, a liner assembly for use in a semiconductor processing chamber includes a liner body having a cylindrical ring form and a coating layer coating the liner body, wherein the coating layer is opaque at one or more wavelengths between about 200 nm and about 5000 nm. In another embodiment, an apparatus for depositing a dielectric layer on a substrate includes a processing chamber having an interior volume defined in a chamber body of the processing chamber, a liner assembly disposed in the processing chamber, wherein the liner assembly further comprises a liner body having a cylindrical ring form, and a coating layer coating an outer wall of the liner body and facing the chamber body, wherein the coating layer is opaque at one or more wavelengths between about 200 nm and about 5000 nm. | 11-27-2014 |
20140342570 | ETCH PROCESS HAVING ADAPTIVE CONTROL WITH ETCH DEPTH OF PRESSURE AND POWER - The disclosure concerns a plasma-enhanced etch process in which chamber pressure and/or RF power level is ramped throughout the etch process. | 11-20-2014 |
20140342569 | NEAR SURFACE ETCH SELECTIVITY ENHANCEMENT - A method of selectively dry etching exposed substrate material on patterned heterogeneous structures is described. The method includes a plasma process prior to a remote plasma etch. The plasma process may use a biased plasma to treat an untreated substrate portion in a preferred direction to form a treated substrate portion. Subsequently, a remote plasma is formed using a fluorine-containing precursor to etch the treated substrate portion using the plasma effluents. By implementing biased plasma processes, the normally isotropic etch may be transformed into a directional (anisotropic) etch despite the remote nature of the plasma excitation during the etch process. | 11-20-2014 |
20140342532 | DELICATE DRY CLEAN - A method of selectively removing fluorocarbon layers from overlying low-k dielectric material is described. These protective plasma treatments (PPT) are delicate alternatives to traditional post-etch treatments (PET). The method includes sequential exposure to (1) a local plasma formed from a silicon-fluorine precursor followed by (2) an exposure to plasma effluents formed in a remote plasma from a fluorine-containing precursor. The remote plasma etch (2) has been found to be highly selective of the residual material following the local plasma silicon-fluorine exposure. The sequential process (1)-(2) avoids exposing the low-k dielectric material to oxygen which would undesirably increase its dielectric constant. | 11-20-2014 |
20140339536 | TFT WITH INSERT IN PASSIVATION LAYER OR ETCH STOP LAYER - Embodiments disclosed herein generally relate to thin film transistors with one or more trenches to control the threshold voltage and off-current and methods of making the same. In one embodiment, a semiconductor device can include a substrate comprising a surface with a thin film transistor formed thereon, a first passivation layer formed over the thin film transistor, a trench formed within the first passivation layer and a second passivation layer formed over the first passivation layer and within the trench. | 11-20-2014 |
20140338835 | ELECTRON BEAM PLASMA SOURCE WITH REDUCED METAL CONTAMINATION - In a plasma reactor for processing a workpiece, an electron beam is employed as the plasma source, and sputtered metal atoms are removed from the electron beam to reduce contamination. | 11-20-2014 |
20140334060 | ELECTROSTATIC CHUCK HAVING THERMALLY ISOLATED ZONES WITH MINIMAL CROSSTALK - A substrate support assembly includes a ceramic puck and a thermally conductive base having an upper surface that is bonded to a lower surface of the ceramic puck. The thermally conductive base includes a plurality of thermal zones and a plurality of thermal isolators that extend from the upper surface of the thermally conductive base towards a lower surface of the thermally conductive base, wherein each of the plurality of thermal isolators provides approximate thermal isolation between two of the plurality of thermal zones at the upper surface of the thermally conductive base. | 11-13-2014 |
20140332369 | MULTIDIRECTIONAL RACETRACK ROTARY CATHODE FOR PVD ARRAY APPLICATIONS - A cathode assembly for a sputter deposition apparatus and a method for coating a substrate is provided. The cathode assembly has a coating side for coating on a substrate. Further, the cathode assembly includes a rotary target assembly adapted for rotating a target material around a rotary axis; at least a first magnet having an inner magnet pole and at least one outer magnet poles and being adapted for generating one or more plasma regions. The cathode assembly has a first angular coordinate for a magnet pole, the magnet pole being provided for the coating side, and a second angular coordinate for a further magnet pole, the magnet pole being provided for the coating side; wherein the first angular coordinate and the second angular coordinate define an angle a larger than about 20 degrees and smaller than about 160 degrees. | 11-13-2014 |
20140330422 | APPARATUS AND METHODS FOR LOW TEMPERATURE MEASUREMENT IN A WAFER PROCESSING SYSTEM - Implementations disclosed herein relate to methods and apparatus for zoned temperature control during a film forming process. In one implementation, a substrate processing apparatus is provided. The substrate processing apparatus comprises a vacuum chamber, a plurality of power supplies coupled with the plurality of thermal laps and a controller that adjusts the power supplies based on input from radiation sensors. The chamber includes a sidewall defining a processing region. A plurality of thermal lamps is positioned external to the processing region. A window is positioned between the plurality of thermal lamps and the processing region. A radiation source is disposed within the sidewall and oriented to direct radiation toward an area proximate a substrate support. A radiation sensor is disposed on the side of the substrate support opposite the plurality of thermal lamps to receive emitted radiation from the radiation source. | 11-06-2014 |
20140329376 | STRUCTURE AND METHOD OF FORMING METAMORPHIC HETEROEPI MATERIALS AND III-V CHANNEL STRUCTURES ON SI - Embodiments described herein generally relate to a method of fabrication of a device structure comprising Group III-V elements on a substrate. A <111> surface may be formed on a substrate and a Group III-V material may be grown from the <111> surface to form a Group III-V device structure in a trench isolated between a dielectric layer. A final critical dimension of the device structure may be trimmed to achieve a suitably sized node structure. | 11-06-2014 |
20140329027 | LOW TEMPERATURE FLOWABLE CURING FOR STRESS ACCOMMODATION - Methods of forming gapfill silicon-containing layers are described. The methods may include providing or forming a silicon-and-hydrogen-containing layer on a patterned substrate. The methods include non-thermally treating the silicon-and-hydrogen-containing layer at low substrate temperature to increase the concentration of Si—Si bonds while the silicon-and-hydrogen-containing layer remains soft. The flaccid layer is able to adjust to the departure of hydrogen from the film and retain a high density without developing a stress. Film qualify is further improved by then inserting O between Si—Si bonds to expand the film in the trenches thereby converting the silicon-and-hydrogen-containing layer to a silicon-and-oxygen-containing layer. | 11-06-2014 |
20140327117 | OPTICALLY TUNED HARDMASK FOR MULTI-PATTERNING APPLICATIONS - The embodiments herein provides methods for forming a PVD silicon oxide or silicon rich oxide, or PVD SiN or silicon rich SiN, or SiC or silicon rich SiC, or combination of the preceding including a variation which includes controlled doping of hydrogen into the compounds heretofore referred to as SiO | 11-06-2014 |
20140326276 | COBALT REMOVAL FOR CHAMBER CLEAN OR PRE-CLEAN PROCESS - Implementations described herein generally relate to methods and apparatus for in-situ removal of unwanted deposition buildup from one or more interior surfaces of a semiconductor substrate processing chamber. In one implementation, a method for removing cobalt or cobalt containing deposits from one or more interior surfaces of a substrate processing chamber after processing a substrate disposed in the substrate processing chamber is provided. The method comprises forming a reactive species from the fluorine containing cleaning gas mixture, permitting the reactive species to react with the cobalt and/or the cobalt containing deposits to form cobalt fluoride in a gaseous state and purging the cobalt fluoride in gaseous state out of the substrate processing chamber. | 11-06-2014 |
20140326185 | INJECT AND EXHAUST DESIGN FOR EPI CHAMBER FLOW MANIPULATION - The embodiments described herein generally relate to a flow control in a process chamber. The process chamber can include combinations of a flow control exhaust and a broad inject. The flow control exhaust and the broad inject can provide for controlled flow of process gases, as the gases both enter and leave the chamber, as well as controlling the gases already present in the chamber. Therefore, the overall deposition profile can be maintained more uniform. | 11-06-2014 |
20140326184 | COOLING PEDESTAL WITH COATING OF DIAMOND-LIKE CARBON - A cooling pedestal for supporting a substrate, comprises a support structure having cooling conduits to flow a fluid therethrough to cool the substrate, and a contact surface comprising a coating of a diamond-like carbon. The coating comprises (i) a coefficient of friction of less than about 0.3, (ii) an average surface roughness of less than about 0.4 micrometers, and (iii) a microhardness of at least about 8 GPa. | 11-06-2014 |
20140318575 | PROTECTIVE COVER FOR ELECTROSTATIC CHUCK - A protective cover for an electrostatic chuck may include a conductive wafer and a plasma resistant ceramic layer on at least one surface of the conductive wafer. The plasma resistant ceramic layer covers a top surface of the conductive wafer, side walls of the conductive wafer and an outer perimeter of a bottom surface of the conductive wafer. Alternatively, a protective cover for an electrostatic chuck may include a plasma resistant bulk sintered ceramic wafer and a conductive layer on a portion of a bottom surface of the plasma resistant bulk sintered ceramic wafer, wherein a perimeter of the bottom surface is not covered. | 10-30-2014 |
20140311581 | PRESSURE CONTROLLER CONFIGURATION FOR SEMICONDUCTOR PROCESSING APPLICATIONS - An exemplary semiconductor processing system may include a processing chamber and a first pressure regulating device coupled with the processing chamber. A second pressure regulating device may also be coupled with the processing chamber separate from the first pressure regulating device. A first pump may be fluidly coupled with the first pressure regulating device and fluidly isolated from the second pressure regulating device. A second fluid pump may be fluidly coupled with the second pressure regulating device. | 10-23-2014 |