Advanced Technology Materials, Inc. Patent applications |
Patent application number | Title | Published |
20160130500 | COMPOSITIONS AND METHODS FOR SELECTIVELY ETCHING TITANIUM NITRIDE - Compositions useful for the selective removal of titanium nitride and/or photoresist etch residue materials relative to metal conducting, e.g., cobalt, ruthenium and copper, and insulating materials from a microelectronic device having same thereon. The removal compositions contain at least one oxidant and one etchant, may contain various corrosion inhibitors to ensure selectivity. | 05-12-2016 |
20160075971 | COPPER CLEANING AND PROTECTION FORMULATIONS - A cleaning composition and process for cleaning post-chemical mechanical polishing (CMP) residue and contaminants from a microelectronic device having said residue and contaminants thereon. The cleaning compositions include corrosion inhibitor(s) and surfactant(s). The composition achieves highly efficacious cleaning of the post-CMP residue and contaminant material from the surface of the microelectronic device without compromising the low-k dielectric material or the copper interconnect material. | 03-17-2016 |
20150162213 | FORMULATIONS FOR WET ETCHING NIPT DURING SILICIDE FABRICATION - Compositions and methods for substantially and efficiently removing NiPt (1-25%) material from microelectronic devices having same thereon. The compositions are substantially compatible with other materials present on the microelectronic device such as gate metal materials. | 06-11-2015 |
20150147824 | SILICON PRECURSORS FOR LOW TEMPERATURE ALD OF SILICON-BASED THIN-FILMS - A silicon precursor composition is described, including a silylene compound selected from among: silylene compounds of the formula: wherein each of R and R | 05-28-2015 |
20150114429 | AQUEOUS CLEAN SOLUTION WITH LOW COPPER ETCH RATE FOR ORGANIC RESIDUE REMOVAL IMPROVEMENT - A cleaning composition and process for cleaning post-chemical mechanical polishing (CMP) residue and contaminants from a microelectronic device having said residue and contaminants thereon. The cleaning compositions include at least one quaternary base, at least one amine, at least one corrosion inhibitor, and at least one solvent. The composition achieves highly efficacious cleaning of the post-CMP residue and contaminant material from the surface of the microelectronic device while being compatible with barrier layers. | 04-30-2015 |
20150037511 | ALTERNATE MATERIALS AND MIXTURES TO MINIMIZE PHOSPHORUS BUILDUP IN IMPLANT APPLICATIONS - Systems and processes for utilizing phosphorus fluoride in place of or in combination with, phosphine as a phosphorus dopant source composition, to reduce buildup of unwanted phosphorus deposits in ion implanter systems. The phosphorus fluoride may comprise PF3 and/or PF5. Phosphorus fluoride and phosphine may be co-flowed to the ion implanter, or each of such phosphorus dopant source materials can be alternatingly and sequentially flowed separately to the ion implanter, to achieve reduction in unwanted buildup of phosphorus solids in the implanter, relative to a corresponding process system utilizing only phosphine as the phosphorus dopant source material. | 02-05-2015 |
20150032253 | LIQUID HANDLING SYSTEM WITH ELECTRONIC INFORMATION STORAGE - An electronic storage device is coupled with a container capable of holding liquid for electronically storing information relating to the liquid stored in the container. The system can be configured with an antenna, for storing information to and reading information from the electronic storage device. A microprocessor-based controller, coupled with the antenna, may be employed for controlling processing of the liquid based on information read from the electronic storage device by the antenna. A connector of a secure reader system having a reader is provided to physically couple to a container having an information storing mechanism, for periodically reading information from an information storing mechanism. The connector may draw material from the container simultaneous with the reading. | 01-29-2015 |
20150027978 | COMPOSITIONS AND METHODS FOR SELECTIVELY ETCHING TITANIUM NITRIDE - Compositions useful for the selective removal of titanium nitride and/or photoresist etch residue materials relative to metal conducting, e.g., tungsten, and insulating materials from a microelectronic device having same thereon. The removal compositions contain at least one oxidant and one etchant, may contain various corrosion inhibitors to ensure selectivity. | 01-29-2015 |
20150027909 | GAS STORAGE AND DISPENSING SYSTEM WITH MONOLITHIC CARBON ADSORBENT - A pyrolyzed monolith carbon physical adsorbent that is characterized by at least one of the following characteristics: (a) a fill density measured for arsine gas at 25° C. and pressure of 650 torr that is greater than 400 grams arsine per liter of adsorbent; (b) at least 30% of overall porosity of the adsorbent including slit-shaped pores having a size in a range of from about 0.3 to about 0.72 nanometer, and at least 20% of the overall porosity including micropores of diameter<2 nanometers; and (c) having a bulk density of from about 0.80 to about 2.0 grams per cubic centimeter, preferably from 0.9 to 2.0 grams per cubic centimeter. | 01-29-2015 |
20150027202 | RECOVERY OF Xe AND OTHER HIGH VALUE COMPOUNDS - A system and method for recovering high value gas from a process stream, material or environment containing same, e.g., xenon by contacting gas from the process stream, material or environment with a carbon adsorbent effective to sorptively capture same, free of or with reduced concentration of fluid species present with the high value gas in the high value gas-containing gas in the process stream, material or environment. Other aspects of the disclosure include a radon detection method and product. | 01-29-2015 |
20140342538 | ION IMPLANTATION SYSTEM AND METHOD - An ion implantation system and method, providing cooling of dopant gas in the dopant gas feed line, to combat heating and decomposition of the dopant gas by arc chamber heat generation, e.g., using boron source materials such as B | 11-20-2014 |
20140319423 | SULFOLANE MIXTURES AS AMBIENT APROTIC POLAR SOLVENTS - An improved solvent containing sulfolane and at least one dialkyl sulfone, preferably dimethyl sulfone, wherein the improved solvent is a liquid at room temperature and can be used for reaction media and electrochemistry. | 10-30-2014 |
20140318584 | FORMULATIONS FOR THE REMOVAL OF PARTICLES GENERATED BY CERIUM-CONTAINING SOLUTIONS - Compositions and methods for removing lanthanoid-containing solids and/or species from the surface of a microelectronic device or microelectronic device fabrication hardware. Preferably, the lanthanoid-containing solids and/or species comprise cerium. The composition is preferably substantially devoid of fluoride ions. | 10-30-2014 |
20140306162 | METHOD FOR THE RECOVERY OF LITHIUM COBALT OXIDE FROM LITHIUM ION BATTERIES - A method of recovering lithium cobalt oxide from spent lithium ion batteries, wherein said method is more environmentally friendly than the methods presently known in the art. The method includes a froth flotation step using renewable and biodegradable solvents such as terpenes and formally hydrated terpenes. The method can also include a relithiation step to return the Li:Co ratio back to about 1:1 for use in second-life applications. | 10-16-2014 |
20140305079 | CLOSURE/CONNECTORS FOR LINER-BASED SHIPPING AND DISPENSING CONTAINERS AND METHODS FOR FILLING LINER-BASED SHIPPING AND DISPENSING CONTAINERS - A method for removing headspace gas from a liner-based assembly. The liner-based assembly may generally include an overpack, a liner positioned within the overpack and containing a material and headspace gas, and a closure for sealing the liner. The method may include providing a one-way valve in fluid communication with the interior of the liner and permitting flow in a direction out of the interior of the liner, and applying a vacuum to the one-way valve to evacuate headspace gas from the interior of the liner. In some embodiments, The liner-based assembly may also include a port in fluid communication with an annular space between the overpack and liner, and the method may include capping the port, for example, during application of the vacuum to the one-way valve. | 10-16-2014 |
20140298992 | ADSORBENT HAVING UTILITY FOR CO2 CAPTURE FROM GAS MIXTURES - A carbon pyrolyzate adsorbent is described that is selective for carbon dioxide in contact with gas mixtures including carbon dioxide and methane. The adsorbent has a carbon dioxide adsorbent capacity at 1 bar pressure of greater than 50 cm | 10-09-2014 |
20140295071 | PRECURSOR COMPOSITIONS FOR ATOMIC LAYER DEPOSITION AND CHEMICAL VAPOR DEPOSITION OF TITANATE, LANTHANATE, AND TANTALATE DIELECTRIC FILMS - Barium, strontium, tantalum and lanthanum precursor compositions useful for atomic layer deposition (ALD) and chemical vapor deposition (CVD) of titanate thin films. The precursors have the formula M(Cp) | 10-02-2014 |
20140234436 | SYSTEMS AND METHODS FOR CAPTURE, STORAGE, AND SUPPLYING PHOSPHINE GAS - A system and process for generating and packaging phosphine gas, in which the process includes: reacting water and aluminum phosphide to generate phosphine, and providing the phosphine in a gas mixture at a phosphine concentration below a lower explosive limit; adsorptively removing phosphine from the gas mixture; and packaging the removed phosphine in a fluid storage and dispensing vessel. | 08-21-2014 |
20140220733 | ANTIMONY AND GERMANIUM COMPLEXES USEFUL FOR CVD/ALD OF METAL THIN FILMS - Antimony, germanium and tellurium precursors useful for CVD/ALD of corresponding metal-containing thin films are described, along with compositions including such precursors, methods of making such precursors, and films and microelectronic device products manufactured using such precursors, as well as corresponding manufacturing methods. The precursors of the invention are useful for forming germanium-antimony-tellurium (GST) films and microelectronic device products, such as phase change memory devices, including such films. | 08-07-2014 |
20140213498 | PHOTORESIST REMOVAL - Disclosed herein is a composition and method for semiconductor processing. In one embodiment, a wet-cleaning composition for removal of photoresist is provided. The composition comprises a strong base; an oxidant; and a polar solvent. In another embodiment, a method for removing photoresist is provided. The method comprises the steps of applying a wet-cleaning composition comprising about 0.1 to about 30 weight percent strong base; about one to about 30 weight percent oxidant; about 20 to about 95 weight percent polar solvent; and removing the photoresist. | 07-31-2014 |
20140209627 | SUBSTANTIALLY RIGID COLLAPSIBLE CONTAINER WITH FOLD PATTERN - The present disclosure relates to a blow-molded, rigid collapsible container that can be suitable for storage and dispensing systems of practically any size. The rigid collapsible container may be a stand-alone container. The container may be blow-molded as a unitary piece that may include folds or pre-folds that allows the container to collapse into a relatively flat position. In an expanded state, the container may have a generally trapezoidal prism shape. | 07-31-2014 |
20140206588 | ANTIOXIDANTS FOR POST-CMP CLEANING FORMULATIONS - An cleaning composition and process for cleaning post-chemical mechanical polishing (CMP) residue and contaminants from a microelectronic device having said residue and contaminants thereon. The cleaning compositions include novel corrosion inhibitors. The composition achieves highly efficacious cleaning of the post-CMP residue and contaminant material from the surface of the microelectronic device without compromising the low-k dielectric material or the copper interconnect material. | 07-24-2014 |
20140206136 | ANTIMONY COMPOUNDS USEFUL FOR DEPOSITION OF ANTIMONY-CONTAINING MATERIALS - Precursors for use in depositing antimony-containing films on substrates such as wafers or other microelectronic device substrates, as well as associated processes of making and using such precursors, and source packages of such precursors. The precursors are useful for deposition of Ge | 07-24-2014 |
20140206134 | LOW TEMPERATURE DEPOSITION OF PHASE CHANGE MEMORY MATERIALS - A system and method for forming a phase change memory material on a substrate, in which the substrate is contacted with precursors for a phase change memory chalcogenide alloy under conditions producing deposition of the chalcogenide alloy on the substrate, at temperature below 350° C., with the contacting being carried out via chemical vapor deposition or atomic layer deposition. Various tellurium, germanium and germanium-tellurium precursors are described, which are useful for forming GST phase change memory films on substrates. | 07-24-2014 |
20140202975 | NESTED BLOW MOLDED LINER AND OVERPACK AND METHODS OF MAKING SAME - The present disclosure relates to an integrated liner-based system having an overpack and a liner provided within the overpack, the liner comprising a mouth and a liner wall forming an interior cavity of the liner and having a thickness such that the liner is substantially self-supporting in an expanded state, but is collapsible at a pressure of less than about 20 psi. The liner and overpack may be made by blow molding the liner and the overpack at the same time using nested preforms. | 07-24-2014 |
20140202547 | PVDF PYROLYZATE ADSORBENT AND GAS STORAGE AND DISPENSING SYSTEM UTILIZING SAME - A polyvinylidene fluoride (PVDF) pyrolyzate adsorbent is described, having utility for storing gases in an adsorbed state, and from which adsorbed gas may be desorbed to supply same for use. The PVDF pyrolyzate adsorbent can be of monolithic unitary form, or in a bead, powder, film, particulate or other finely divided form. The adsorbent is particularly suited for storage and supply of fluorine-containing gases, such as fluorine gas, nitrogen trifluoride, carbo-fluoride gases, and the like. The adsorbent may be utilized in a gas storage and dispensing system, in which the adsorbent is contained in a supply vessel, from which sorbate gas can be selectively dispensed. | 07-24-2014 |
20140191019 | APPARATUS AND METHOD FOR STRIPPING SOLDER METALS DURING THE RECYCLING OF WASTE ELECTRICAL AND ELECTRONIC EQUIPMENT - Apparatuses and processes for recycling printed wire boards, wherein electronic components, precious metals and base metals may be collected for reuse and recycling. The apparatuses generally include a mechanical solder removal module and/or a thermal module, a chemical solder removal module, and a precious metal leaching module, wherein the modules are attached for continuous passage of the e-waste from module to module. | 07-10-2014 |
20140187460 | REMOVAL OF MASKING MATERIAL - Methods for removing a masking material, for example, a photoresist, and electronic devices formed by removing a masking material are presented. For example, a method for removing a masking material includes contacting the masking material with a solution comprising cerium. The cerium may be comprised in a salt. The salt may be cerium ammonium nitrate. | 07-03-2014 |
20140134823 | HIGH-K PEROVSKITE MATERIALS AND METHODS OF MAKING AND USING THE SAME - High-k materials and devices, e.g., DRAM capacitors, and methods of making and using the same. Various methods of forming perovskite films are described, including methods in which perovskite material is deposited on the substrate by a pulsed vapor deposition process involving contacting of the substrate with perovskite material-forming metal precursors. In one such method, the process is carried out with doping or alloying of the perovskite material with a higher mobility and/or higher volatility metal species than the metal species in the perovskite material-forming metal precursors. In another method, the perovskite material is exposed to elevated temperature for sufficient time to crystallize or to enhance crystallization of the perovskite material, followed by growth of the perovskite material under pulsed vapor deposition conditions. Various perovskite compositions are described, including: (Sr, Pb)TiO | 05-15-2014 |
20140117043 | LINER-BASED DISPENSING SYSTEMS - The present disclosure relates to novel and advantageous disposable dispensers. The dispenser may include a dispense assembly comprising an outlet channel in fluid communication with a terminal apparatus, and a pressure source providing a limited supply of fluid or gas, and also includes a collapsible liner that contains a material to be dispensed, the liner detachably secured to the dispense assembly with the outlet channel in fluid communication with an interior of the liner, wherein the material in the liner is dispensed out the liner and through the outlet channel to the terminal apparatus. | 05-01-2014 |
20140106549 | LOW TEMPERATURE GST PROCESS - A deposition process to form a conformal phase change material film on the surface of a substrate to produce a memory device wafer comprises providing a substrate to a chamber of a deposition system; providing an activation region; introducing one or more precursors into the chamber upstream of the substrate; optionally introducing one or more co-reactants upstream of the substrate; activating the one or more precursors; heating the substrate; and depositing the phase change material film on the substrate from the one or more precursors by chemical vapor deposition. The deposited phase change material film comprises Ge | 04-17-2014 |
20140090598 | ISOTOPICALLY-ENRICHED BORON-CONTAINING COMPOUNDS, AND METHODS OF MAKING AND USING SAME - An isotopically-enriched, boron-containing compound comprising two or more boron atoms and at least one fluorine atom, wherein at least one of the boron atoms contains a desired isotope of boron in a concentration or ratio greater than a natural abundance concentration or ratio thereof. The compound may have a chemical formula of B | 04-03-2014 |
20140083512 | REFILLABLE AMPOULE WITH PURGE CAPABILITY - A fluid delivery system adapted to isolate an ampoule and/or process line during purge, including an inlet control valve connecting a source of pressurized gas to a refillable ampoule, an outlet control valve connecting the refillable ampoule to a location of use, a process control valve connecting a process line to the refillable ampoule, a process isolation valve, and a purge supply valve, e.g., a three-way purge supply valve, arranged between the process isolation valve and the process control valve. A method of purging a fluid delivery system is also disclosed, including closing a process isolation valve, connecting a process line to a refillable ampoule, supplying a purge gas through a purge supply valve, e.g., a three-way purge supply valve, and cycling open and close at least once a process control valve coupled to the process line. A manifold for use in refilling an ampoule and purging a fluid supply system is also described. | 03-27-2014 |
20140041440 | FLUID STORAGE AND DISPENSING SYSTEM INCLUDING DYNAMIC FLUID MONITORING OF FLUID STORAGE AND DISPENSING VESSEL - A monitoring system for monitoring fluid in a fluid supply vessel during operation including dispensing of fluid from the fluid supply vessel. The monitoring system includes (i) one or more sensors for monitoring a characteristic of the fluid supply vessel or the fluid dispensed therefrom, (ii) a data acquisition module operatively coupled to the one or more sensors to receive monitoring data therefrom and responsively generate an output correlative to the characteristic monitored by the one or more sensors, and (iii) a processor and display operatively coupled with the data acquisition module and arranged to process the output from the data acquisition module and responsively output a graphical representation of fluid in the fluid supply vessel, billing documents, usage reports, and/or resupply requests. | 02-13-2014 |
20140038420 | COMPOSITION AND PROCESS FOR SELECTIVELY ETCHING METAL NITRIDES - A removal composition and process for selectively removing a first metal gate material (e.g., titanium nitride) relative to a second metal gate material (e.g., tantalum nitride) from a microelectronic device having said material thereon. The removal composition can include fluoride or alternatively be substantially devoid of fluoride. The substrate preferably comprises a high-k/metal gate integration scheme. | 02-06-2014 |
20140034671 | GENERALLY CYLINDRICALLY-SHAPED LINER FOR USE IN PRESSURE DISPENSE SYSTEMS AND METHODS OF MANUFACTURING THE SAME - A liner having a tubular body portion with a top circumferential edge and a bottom circumferential edge, a generally circular bottom portion sealed to the tubular body portion along the bottom circumferential edge, and a generally circular top portion sealed to the tubular body portion along the top circumferential edge. The top portion may include a fitment sealed thereto. The tubular body portion may include at least one weld seam extending from the top circumferential edge to the bottom circumferential edge. In a particular embodiment, the tubular body portion may include two sheets welded together to form a tubular body, the tubular body portion thus having two weld seams extending from the top circumferential edge to the bottom circumferential edge. | 02-06-2014 |
20140027352 | CARBON ADSORBENT AND PROCESS FOR SEPARATING HIGH-OCTANE COMPONENTS FROM LOW-OCTANE COMPONENTS IN A NAPTHA RAFFINATE STREAM USING SUCH CARBON ADSORBENT - A carbon adsorbent having the characteristics of: a nitrogen micropore volume at 77° K, measured as liquid capacity, that is greater than 0.30 mL/g; a neopentane capacity measured at 273° K and 1 bar, measured as liquid capacity, that is less than 7% of the nitrogen micropore volume, measured as liquid capacity; and an access pore size in a range of from 0.50 to 0.62 nm. Such adsorbent is usefully employed for contacting with hydrocarbon mixtures to adsorb low-octane, linear and mono- or di-substituted alkanes therefrom, and thereby increase octane rating, e.g., of an isomerization naphtha raffinate. Adsorption processes and apparatus are also described, in which the carbon adsorbent can be utilized for production of higher octane rating hydrocarbon mixtures. | 01-30-2014 |
20140020419 | COMPONENT FOR SOLAR ADSORPTION REFRIGERATION SYSTEM AND METHOD OF MAKING SUCH COMPONENT - An adsorption structure is described that includes at least one adsorbent member formed of an adsorbent material and at least one porous member provided in contact with a portion of the adsorbent member to allow gas to enter and exit the portion of the adsorbent member. Such adsorption structure is usefully employed in adsorbent-based refrigeration systems. A method also is described for producing an adsorbent material, in which a first polymeric material provided having a first density and a second polymeric material is provided having a second density, in which the second polymeric material is in contact with the first polymeric material to form a structure. The structure is pyrolyzed to form a porous adsorbent material including a first region corresponding to the first polymeric material and a second region corresponding to the second polymeric material, in which at least one of the pore sizes and the pore distribution differs between the first region and the second region. | 01-23-2014 |
20140011346 | CLUSTER ION IMPLANTATION OF ARSENIC AND PHOSPHORUS - An ion implantation method, in which a dopant source composition is ionized to form dopant ions, and the dopant ions are implanted in a substrate. The dopant source composition includes cluster phosphorus or cluster arsenic compounds, for achieving P- and/or As-doping, in the production of doped articles of manufacture, e.g., silicon wafers or precursor structures for manufacturing microelectronic devices. | 01-09-2014 |
20140001205 | CONNECTORS FOR LINER-BASED DISPENSE CONTAINERS | 01-02-2014 |
20130336857 | SUSTAINABLE PROCESS FOR RECLAIMING PRECIOUS METALS AND BASE METALS FROM E-WASTE - Processes for recycling electronic components removed from printed wire boards, whereby precious metals and base metals are extracted from the electronic components using environmentally friendly compositions. At least gold, silver and copper ions can be extracted from the electronic components and reduced to their respective metals using the processes and compositions described herein. | 12-19-2013 |
20130334068 | RECTANGULAR PARALLELEPIPED FLUID STORAGE AND DISPENSING VESSEL - A fluid storage and dispensing apparatus including a fluid storage and dispensing vessel having a rectangular parallelepiped shape, and an integrated gas cabinet assembly including such fluid storage and dispensing apparatus and/or a point-of-use ventilation gas scrubber in the vented gas cabinet. By the use of physical adsorbent and chemical sorbent media, the gas cabinet can be enhanced in safety of operation, e.g., where the process gas supplied from the gas cabinet is of a toxic or otherwise hazardous character. | 12-19-2013 |
20130330917 | APPARATUS AND PROCESS FOR INTEGRATED GAS BLENDING | 12-12-2013 |
20130327792 | HIGH VISCOSITY RE-CIRCULATION PROBE - The present invention relates to apparatus and method for re-circulating high viscosity liquids. The apparatus comprises a recirculating probe coupled to a fluid storage and dispensing vessel by a connector, and the recirculating probe comprises: (a) a dip tube defining an output flow path; (b) an output port; (c) a recirculating port; and (d) a return flow path. The output flow path and the return flow path preferably have substantially equal cross-sectional areas, which reduce or eliminate the unbalance between the discharge pressure in the output line and that in the re-circulation line, and prevent premature wearing-out of the dispensing/recirculating pump. The output flow path and the return flow path can also be concentric to each other, which not only maximizes the effective flow area for both output and return flow paths within the limited cross-sectional area of the opening of the fluid vessel, but also avoids liquid turbulence and/or formation of air bubbles caused by free-fall drip introduction of the re-circulated liquid that is commonly observed in conventional recirculating probe designs. | 12-12-2013 |
20130324397 | CARBON ADSORBENT FOR HYDROGEN SULFIDE REMOVAL FROM GASES CONTAINING SAME, AND REGENERATION OF ADSORBENT - A durable carbon pyrolyzate adsorbent having reversible sorptive affinity for hydrogen sulfide, and including the following characteristics: (a) a bulk density as measured by ASTM D2854 in a range of from 0.55 g/cc adsorbent to 1.25 g/cc adsorbent; (b) an H | 12-05-2013 |
20130324390 | SCALABLE LEAD ZIRCONIUM TITANATE (PZT) THIN FILM MATERIAL AND DEPOSITION METHOD, AND FERROELECTRIC MEMORY DEVICE STRUCTURES COMPRISING SUCH THIN FILM MATERIAL - A novel lead zirconium titanate (PZT) material having unique properties and application for PZT thin film capacitors and ferroelectric capacitor structures, e.g., FeRAMs, employing such thin film material. The PZT material is scalable, being dimensionally scalable, pulse length scalable and/or E-field scalable in character, and is useful for ferroelectric capacitors over a wide range of thicknesses, e.g., from about 20 nanometers to about 150 nanometers, and a range of lateral dimensions extending to as low as 0.15 μm. Corresponding capacitor areas (i.e., lateral scaling) in a preferred embodiment are in the range of from about 10 | 12-05-2013 |
20130303420 | COMPOSITION FOR AND METHOD OF SUPPRESSING TITANIUM NITRIDE CORROSION - Cleaning compositions and processes for cleaning residue from a microelectronic device having said residue thereon. The composition comprises at least one amine, at least one oxidizing agent, water, and at least one borate species and achieves highly efficacious cleaning of the residue material, including post-ash residue, post-etch residue, post-CMP residue, particles, organic contaminants, metal ion contaminants, and combinations thereof from the microelectronic device while simultaneously not damaging the titanium nitride layers and low-k dielectric materials also present on the device. | 11-14-2013 |
20130298769 | CARBON PYROLYZATE ADSORBENT HAVING UTILITY FOR CO2 CAPTURE AND METHODS OF MAKING AND USING THE SAME - A particulate form carbon pyrolyzate adsorbent, having the following characteristics: (a) CO | 11-14-2013 |
20130296214 | AQUEOUS CLEANER FOR THE REMOVAL OF POST-ETCH RESIDUES - Cleaning compositions and processes for cleaning post-plasma etch residue from a microelectronic device having said residue thereon. The composition achieves highly efficacious cleaning of the residue material, including titanium-containing, copper-containing, tungsten-containing, and/or cobalt-containing post-etch residue from the microelectronic device while simultaneously not damaging the interlevel dielectric, metal interconnect material, and/or capping layers also present thereon. | 11-07-2013 |
20130295712 | METHODS OF TEXTURING SURFACES FOR CONTROLLED REFLECTION - Novel methods for the texturing of photovoltaic cells is described, wherein texturing minimizes reflectance losses and hence increases solar cell efficiency. In one aspect, a microstamp with the mirror inverse of the optimum surface structure is described. The photovoltaic cell substrate to be etched and the microstamp are immersed in a bath and pressed together to yield the optimum surface structure. In another aspect, micro and nanoscale structures are introduced to the surface of a photovoltaic cell by wet etching and depositing nanoparticles or introducing metal induced pitting to a substrate surface. In still another aspect, remote plasma source (RPS) or reactive ion etching (RIE), is used to etch nanoscale features into a silicon-containing substrate. | 11-07-2013 |
20130284999 | PHASE CHANGE MEMORY STRUCTURE COMPRISING PHASE CHANGE ALLOY CENTER-FILLED WITH DIELECTRIC MATERIAL - A phase change memory structure, including a substrate having a cavity extending from a surface of the substrate into an interior region thereof, wherein the cavity is bounded by side wall surface, wherein the cavity is coated on the side wall surface with a film of phase change memory material defining a core that is at least partially filled with dielectric material such as alumina. Such phase change memory structure can be fabricated in a substrate containing a cavity closed at one end thereof with a bottom electrode, by a method including: conformally coating sidewall surface of the cavity and surface of the bottom electrode closing the cavity, with a phase change memory material film, to form an open core volume bounded by the phase change memory material film; at least partially filling the open core volume with alumina or other dielectric material; and forming a top electrode at an upper portion of the cavity. | 10-31-2013 |
20130284766 | LINER-BASED DISPENSER - The present disclosure, in one embodiment, relates to a dispenser including a dispense assembly having a head assembly, and also including a collapsible liner that contains a material to be dispensed, the liner detachably secured to the dispense assembly with the head assembly in fluid communication with the liner, wherein the material in the liner is dispensed out the liner and through the head assembly. | 10-31-2013 |
20130280123 | METHOD FOR PREVENTING THE COLLAPSE OF HIGH ASPECT RATIO STRUCTURES DURING DRYING - Methods of reducing the capillary forces experienced by fragile high aspect ratio structures during drying to substantially prevent damage to said high aspect ratio structures during drying. They include modifying the surface of the high aspect ratio structures such that the forces are sufficiently minimized and as such less than 10% of the high aspect ratio features will have bent or collapsed during drying of the structure having said features thereon. | 10-24-2013 |
20130276284 | METHOD FOR RECYCLING OF OBSOLETE PRINTED CIRCUIT BOARDS - Processes for recycling printed wire boards using environmentally-friendly compositions, wherein electronic components, precious metals and base metals may be collected for reuse and recycling. | 10-24-2013 |
20130270217 | ETCHING SOLUTION FOR COPPER OR COPPER ALLOY - A solution for selectively etching copper or a copper alloy from a microelectronic device, wherein the device simultaneously includes copper or a copper alloy and nickel-containing material, the solution being an etching solution for copper or a copper alloy comprising a chelating agent having an acid group in a molecule, hydrogen peroxide, and a surfactant having an oxyethylene chain in a molecule. | 10-17-2013 |
20130251918 | STRONTIUM AND BARIUM PRECURSORS FOR USE IN CHEMICAL VAPOR DEPOSITION, ATOMIC LAYER DEPOSITION AND RAPID VAPOR DEPOSITION - Cyclopentadienyl and indenyl barium/strontium metal precursors and Lewis base adducts thereof are described. Such precursors have utility for forming Ba- and/or Sr-containing films on substrates, in the manufacture of microelectronic devices or structures. | 09-26-2013 |
20130251913 | ION IMPLANTER SYSTEM INCLUDING REMOTE DOPANT SOURCE, AND METHOD COMPRISING SAME - Dopant source gas supply arrangements and methods are described, wherein one or more dopant source gas supply vessels is contained inside an outer enclosure of an ion implantation system, e.g., in a gas box within such enclosure. In one implementation, a dopant source gas supply vessel is positioned in remote relationship to the gas box of the ion implantation system, with a dopant source gas local vessel in the gas box, and a supply line interconnecting the dopant source gas supply vessel in supply relationship to the dopant source gas local vessel, in which the supply line is adapted to flow dopant source gas from the supply vessel to the local vessel only when the ion implantation system is in a non-operational state, and to be evacuated or filled with an inert pressurized gas when the ion implantation system is in an operational state. | 09-26-2013 |
20130228476 | METHOD AND APPARATUS TO HELP PROMOTE CONTACT OF GAS WITH VAPORIZED MATERIAL - Vaporizable material is supported within a vessel to promote contact of an introduced gas with the vaporizable material, and produce a product gas including vaporized material. A heating element supplies heat to a wall of the vessel to heat vaporizable material disposed therein. The vessel may comprise an ampoule having a removable top. Multiple containers defining multiple material support surfaces may be stacked disposed within a vessel in thermal communication with the vessel. A tube may be disposed within the vessel and coupled to a gas inlet. Filters, flow meters, and level sensors may be further provided. Product gas resulting from contact of introduced gas with vaporized material may be delivered to atomic layer deposition (ALD) or similar process equipment. At least a portion of source material including a solid may be dissolved in a solvent, followed by removal of solvent to yield source material (e.g., a metal complex) disposed within the vaporizer. | 09-05-2013 |
20130213824 | LINER-BASED ASSEMBLY FOR REMOVING IMPURITIES - The present disclosure relates to a liner for storing a material, the liner including at least two layers, wherein a layer that is in contact with the material is an active layer. The active layer may be made active by incorporating a scavenger into the layer. At least one layer of the liner may comprise a polymer or a fluoropolymer. In some embodiments, the active layer may be configured for removing microbridging components in photoresists. In some embodiments, the active layer may be made active by coating the interior of the layer with an inert material, such as glass. In further embodiments, the liner may be positioned within a stainless steel canister. The present disclosure also relates to a liner-based assembly including a liner for storing a material, an overpack within which the liner is positioned, and a purifying packet positioned between the liner and the overpack. | 08-22-2013 |
20130206788 | METHOD AND APPARATUS FOR ENHANCED LIFETIME AND PERFORMANCE OF ION SOURCE IN AN ION IMPLANTATION SYSTEM - An ion implantation system and process, in which the performance and lifetime of the ion source of the ion implantation system are enhanced, by utilizing isotopically enriched dopant materials, or by utilizing dopant materials with supplemental gas(es) effective to provide such enhancement. | 08-15-2013 |
20130203643 | CLEANING AGENT FOR SEMICONDUCTOR PROVIDED WITH METAL WIRING - A cleaning agent for a microelectronic device provided with metal wiring, which has an excellent ability to remove polishing particle residues derived from a polishing agent and an excellent ability to remove metallic residues on an insulating film, and has excellent anticorrosiveness to the metal wiring. The cleaning agent is used at a step subsequent to chemical mechanical polishing in a manufacturing process of a microelectronic device in which a metal wiring, e.g., copper or tungsten, is formed. | 08-08-2013 |
20130193164 | SUBSTANTIALLY RIGID COLLAPSIBLE LINER, CONTAINER AND/OR LINER FOR REPLACING GLASS BOTTLES, AND ENHANCED FLEXIBLE LINERS - The present disclosure relates to a blow-molded, rigid collapsible liner that can be suitable particularly for smaller storage and dispensing systems. The rigid collapsible liner may be a stand-alone liner, e.g., used without an outer container, and may be dispensed from a fixed pressure dispensing can. Folds in the rigid collapsible liner may be substantially eliminated, thereby substantially reducing or eliminating the problems associated with pinholes, weld tears, and overflow. The present disclosure also relates to systems and liners, including the liners just mentioned, that may be used as alternatives to, or replacements for, simple rigid-wall containers, such as those made of glass. Such advantageous systems and liners may replace simple rigid-wall containers in a system for delivering a high purity material to a semiconductor process substantially without modification to an end user's existing pump dispense or pressure dispense systems. | 08-01-2013 |
20130168410 | LIQUID DISPENSING SYSTEMS ENCOMPASSING GAS REMOVAL - Systems are described for delivery of a wide variety of materials in which liquid and gas or vapor states are concurrently present, from a package preferably including a fluid-containing collapsible liner. Headspace gas is removed from a pressure dispensing package prior to liquid dispensation therefrom, and ingress gas is removed thereafter during dispensation operation. At least one sensor senses presence of gas or a gas-liquid interface in a reservoir or gas-liquid separation region. A gas removal system including an integral reservoir, at least one sensor, and at least one flow control elements may be included within a connector adapted to mate with a pressure dispensing package, for highly efficient removal of gas from the liquid being dispensed from the container. | 07-04-2013 |
20130137250 | BORON ION IMPLANTATION USING ALTERNATIVE FLUORINATED BORON PRECURSORS, AND FORMATION OF LARGE BORON HYDRIDES FOR IMPLANTATION - Methods of implanting boron-containing ions using fluorinated boron-containing dopant species that are more readily cleaved than boron trifluoride. A method of manufacturing a semiconductor device including implanting boron-containing ions using fluorinated boron-containing dopant species that are more readily cleaved than boron trifluoride. Also disclosed are a system for supplying a boron hydride precursor, and methods of forming a boron hydride precursor and methods for supplying a boron hydride precursor. In one implementation of the invention, the boron hydride precursors are generated for cluster boron implantation, for manufacturing semiconductor products such as integrated circuitry. | 05-30-2013 |
20130125999 | ENDPOINT DETERMINATION FOR CAPILLARY-ASSISTED FLOW CONTROL - Apparatus and method for determining endpoint of a fluid supply vessel in which fluid flow is controlled through a flow passage disposed in an interior volume of the fluid supply vessel with a static flow restricting device and a selectively actuatable valve element upon establishing fluid flow. The endpoint determination can be employed to terminate fluid supply from the fluid supply vessel and/or to switch from a fluid-depleted supply vessel to a fresh vessel for continuity or renewal of fluid supply operation. The apparatus and method are suitable for use with fluidutilizing apparatus such as ion implanters. | 05-23-2013 |
20130123159 | AQUEOUS CERIUM-CONTAINING SOLUTION HAVING AN EXTENDED BATH LIFETIME FOR REMOVING MASK MATERIAL - An aqueous solution of a cerium (IV) complex or salt having an extended lifetime is provided. In one embodiment, the extended lifetime is achieved by adding at least one booster additive to an aqueous solution of the cerium (IV) complex or salt. In another embodiment, the extended lifetime is achieved by providing an aqueous solution of a cerium (IV) complex or salt and a cerium (III) complex or salt. The cerium (III) complex or salt can be added or it can be generated in-situ by introducing a reducing agent into the aqueous solution of the cerium (IV) complex or salt. The aqueous solution can be used to remove a mask material, especially an ion implanted and patterned photoresist, from a surface of a semiconductor substrate. | 05-16-2013 |
20130122722 | DOPING OF ZrO2 FOR DRAM APPLICATIONS - A method of forming a dielectric material, comprising doping a zirconium oxide material, using a dopant precursor selected from the group consisting of Ti(NMe | 05-16-2013 |
20130112933 | GERMANIUM ANTIMONY TELLURIDE MATERIALS AND DEVICES INCORPORATING SAME - A chalcogenide alloy composition, having an atomic composition comprising from 34 to 45 Ge, from 2 to 16% Sb, from 48 to 55% Te, from 3 to 15% carbon and from 1 to 10% nitrogen, wherein all atomic percentages of all components of the film total to 100 atomic %. Material of such composition is useful to form phase change films, e.g., as conformally coated on a phase change memory device substrate to fabricate a phase change random access memory cell. | 05-09-2013 |
20130112076 | RECOVERY OF Xe AND OTHER HIGH VALUE COMPOUNDS - A system and method for recovering high value gas from a process stream, material or environment containing same, e.g., xenon by contacting gas from the process stream, material or environment with a carbon adsorbent effective to sorptively capture same, free of or with reduced concentration of fluid species present with the high value gas in the high value gas-containing gas in the process stream, material or environment. Other aspects of the disclosure include a radon detection method and product. | 05-09-2013 |
20130109605 | COMPOSITION AND PROCESS FOR POST-ETCH REMOVAL OF PHOTORESIST AND/OR SACRIFICIAL ANTI-REFLECTIVE MATERIAL DEPOSITED ON A SUBSTRATE | 05-02-2013 |
20130078790 | CARBON MATERIALS FOR CARBON IMPLANTATION - A method of implanting carbon ions into a target substrate, including: ionizing a carbon containing dopant material to produce a plasma having ions; optionally co-flowing an additional gas or series of gases with the carbon-containing dopant material; and implanting the ions into the target substrate. The carbon-containing dopant material is of the formula C | 03-28-2013 |
20130078475 | GERMANIUM ANTIMONY TELLURIDE MATERIALS AND DEVICES INCORPORATING SAME - Germanium antimony telluride materials are described, e.g., material of the formula Ge | 03-28-2013 |
20130072411 | AQUEOUS CLEANING COMPOSITION CONTAINING COPPER-SPECIFIC CORROSION INHIBITOR FOR CLEANING INORGANIC RESIDUES ON SEMICONDUCTOR SUBSTRATE - A semiconductor wafer cleaning formulation, including 1-35% wt. fluoride source, 20-60% wt. organic amine(s), 0.1-40% wt. nitrogenous component, e.g., a nitrogen-containing carboxylic acid or an imine, 20-50% wt. water, and 0-21% wt. metal chelating agent(s). The formulations are useful to remove residue from wafers following a resist plasma ashing step, such as inorganic residue from semiconductor wafers containing delicate copper interconnecting structures. | 03-21-2013 |
20130056112 | LINER-BASED LIQUID STORAGE AND DISPENSING SYSTEMS WITH EMPTY DETECTION CAPABILITY - Fluid supply systems for storage and dispensing of chemical reagents and compositions, e.g., high purity liquid reagents and chemical mechanical polishing compositions used to manufacture microelectronic device products, having capability for detection of an empty or near-empty condition when the contained liquid is at or approaching depletion during dispensing operation. Fluid delivery systems employing empty detect arrangements are described, including pressure transducer monitoring of dispensed material intermediate the supply package and a servo-hydraulic dispense pump, or monitoring of dispenser chamber replenishment times in a dispenser being replenished on a cyclic schedule to flow material from the dispenser to a downstream tool utilizing the dispensed material. | 03-07-2013 |
20130029456 | ANTIMONY AND GERMANIUM COMPLEXES USEFUL FOR CVD/ALD OF METAL THIN FILMS - Antimony, germanium and tellurium precursors useful for CVD/ALD of corresponding metal-containing thin films are described, along with compositions including such precursors, methods of making such precursors, and films and microelectronic device products manufactured using such precursors, as well as corresponding manufacturing methods. The precursors of the invention are useful for forming germanium-antimony-tellurium (GST) films and microelectronic device products, such as phase change memory devices, including such films. | 01-31-2013 |
20130026167 | CONTAINER SEALING SYSTEM - A cap for a container (e.g., container fitment) includes a neck portion and a lip portion that extends laterally outward from the neck portion. A gasket is provided between the cap and a rim of a container fitment. The neck portion is conical or frustoconical in shape, and an interior surface of a container port or fitment may be correspondingly tapered, to guide the cap into concentric alignment with the gasket and rim during insertion of the neck portion of the cap into the fitment. Such alignment promotes positive sealing engagement between the cap, gasket, and fitment. In one embodiment, the cap defines an externally accessible recess that optionally includes a handle, such as at least one segmenting wall, for grasping by a user to facilitate manipulation of the cap. The cap may be affixed to a fitment of a flexible liner. | 01-31-2013 |
20130005078 | LOW TEMPERATURE DEPOSITION OF PHASE CHANGE MEMORY MATERIALS - A system and method for forming a phase change memory material on a substrate, in which the substrate is contacted with precursors for a phase change memory chalcogenide alloy under conditions producing deposition of the chalcogenide alloy on the substrate, at temperature below 350° C., with the contacting being carried out via chemical vapor deposition or atomic layer deposition. Various tellurium, germanium and germanium-tellurium precursors are described, which are useful for forming GST phase change memory films on substrates. | 01-03-2013 |
20130001245 | PREVENTION OF LINER CHOKE-OFF IN LINER-BASED PRESSURE DISPENSATION SYSTEM - To avoid problems associated with choke-off of a collapsible liner (e.g., disposed within a rigid overpack) during pressure dispensing of fluid therefrom, a pressure dispense package includes a choke prevention element comprising any of a perforated flange within the liner, a channel-defining flange within the liner, a film defining at least one liquid channel along an inner surface of the liner, a radial stiffening element coupled to the liner, an orifice-defining hollow internal support disposed within the liner, one or more magnetic and complementary magnetically responsive elements associated with the liner and surrounding container, or differential collapse characteristics between liner panels. Methods for preventing choke-off of a collapsible liner adapted for pressure dispensing are also provided. | 01-03-2013 |
20120325850 | GAS STORAGE AND DISPENSING SYSTEM WITH MONOLITHIC CARBON ADSORBENT - A pyrolyzed monolith carbon physical adsorbent that is characterized by at least one of the following characteristics: (a) a fill density measured for arsine gas at 25° C. and pressure of 650 torr that is greater than 400 grams arsine per liter of adsorbent; (b) at least 30% of overall porosity of the adsorbent including slit-shaped pores having a size in a range of from about 0.3 to about 0.72 nanometer, and at least 20% of the overall porosity including micropores of diameter<2 nanometers; and (c) having a bulk density of from about 0.80 to about 2.0 grams per cubic centimeter, preferably from 0.9 to 2.0 grams per cubic centimeter. | 12-27-2012 |
20120315837 | VENTILATION GAS MANAGEMENT SYSTEMS AND PROCESSES - A ventilation gas management system and process for an enclosure adapted to contain fluid supply vessel(s) and through which ventilation gas is flowed to provide safe operation in the event of leakage of fluid from a vessel. Ventilation gas flow is modulated to accommodate various hazard levels associated with the deployment and operation of such enclosure containing fluid supply vessel(s), e.g., a gas box or gas cabinet in a semiconductor manufacturing facility, thereby achieving reduction in ventilation gas requirements otherwise required for such deployment and operation. | 12-13-2012 |
20120313047 | METHOD AND APPARATUS FOR ENHANCED LIFETIME AND PERFORMANCE OF ION SOURCE IN AN ION IMPLANTATION SYSTEM - An ion implantation system and process, in which the performance and lifetime of the ion source of the ion implantation system are enhanced, by utilizing isotopically enriched dopant materials, or by utilizing dopant materials with supplemental gas(es) effective to provide such enhancement. | 12-13-2012 |
20120305450 | NANOPOROUS ARTICLES AND METHODS OF MAKING SAME - A method is provided for producing an ultra-low sulfur hydrocarbon product from a hydrocarbon feedstock containing refractory sulfur compounds utilizing a carbon adsorbent. Also described is a hydrocarbon processing system configured to produce an ultra-low sulfur hydrocarbon product from hydrocarbon feedstock containing refractory sulfur compounds. The hydrocarbon processing system also utilizes a carbon adsorbent. | 12-06-2012 |
20120302483 | PHOTORESIST REMOVAL - Disclosed herein is a composition and method for semiconductor processing. In one embodiment, a wet-cleaning composition for removal of photoresist is provided. The composition comprises a strong base; an oxidant; and a polar solvent. In another embodiment, a method for removing photoresist is provided. The method comprises the steps of applying a wet-cleaning composition comprising about 0.1 to about 30 weight percent strong base; about one to about 30 weight percent oxidant; about 20 to about 95 weight percent polar solvent; and removing the photoresist. | 11-29-2012 |
20120291627 | LIQUID DISPENSING SYSTEMS WITH GAS REMOVAL AND SENSING CAPABILITIES - A dispensing assembly for a pressure dispense package includes a connector having separate and distinct liquid and extraction conduits, and having a pressurization gas conduit. A liner fitment adapter may include a longitudinal bore to receive a probe portion of a connector defining a liquid extraction conduit, and may include a lateral bore to enable removal of gas. Insertion of a connector into a dispensing assembly simultaneously makes fluidic connections between (a) a gas extraction conduit and a dispensing volume; (b) a liquid extraction conduit and the dispensing volume, and (c) a pressurization gas conduit and a space to be pressurized within a pressure dispense vessel. Presence of fluid or change in phase of flowing fluid within a fluid circuit may be sensed by comparing outputs of first and second temperature sensing elements, with one sensing element including a heater to increase temperature of the sensing element in exposure to gas but not in exposure to liquid. A system and method for fluid dispensing includes a first pressure dispense apparatus and a sensing element arranged to sense a condition correlative of approach to gas saturation of dispensed fluid, and in response to such sensed condition initiates dispensation by a second pressure dispense apparatus for combining pressure dispensed fluid flows supplied to a point of use. | 11-22-2012 |
20120283163 | COPPER CLEANING AND PROTECTION FORMULATIONS - A cleaning composition and process for cleaning post-chemical mechanical polishing (CMP) residue and contaminants from a microelectronic device having said residue and contaminants thereon. The cleaning compositions include novel corrosion inhibitors. The composition achieves highly efficacious cleaning of the post-CMP residue and contaminant material from the surface of the microelectronic device without compromising the low-k dielectric material or the copper interconnect material. | 11-08-2012 |
20120267388 | Substantially Rigid Collapsible Liner and Flexible Gusseted or Non-Gusseted Liners and Methods of Manufacturing the Same and Methods for Limiting Choke-Off in Liners - The present disclosure relates to a blow-molded, rigid collapsible liner that can be suitable particularly for smaller storage and dispensing systems. The rigid collapsible liner may be a stand-alone liner, e.g., used without an outer container, and may be dispensed from a fixed pressure dispensing can. Folds in the rigid collapsible liner may be substantially eliminated, thereby substantially reducing or eliminating the problems associated with pinholes, weld tears, and overflow. The present disclosure also relates to flexible gusseted or non-gusseted liners, which is scalable in size and may be used for storage of up to 200 L or more. The flexible gusseted liner may be foldable, such that the liner can be introduced into a dispensing can. The liner can be made of thicker materials, substantially reducing or eliminating the problems associated pinholes, and may include more robust welds, substantially reducing or eliminating the problems associated weld tears. | 10-25-2012 |
20120252195 | ION IMPLANTATION SYSTEM AND METHOD - An ion implantation system and method, providing cooling of dopant gas in the dopant gas feed line, to combat heating and decomposition of the dopant gas by arc chamber heat generation, e.g., using boron source materials such as B2F4 or other alternatives to BF3. Various arc chamber thermal management arrangements are described, as well as modification of plasma properties, specific flow arrangements, cleaning processes, power management, eqillibrium shifting, optimization of extraction optics, detection of deposits in flow passages, and source life optimization, to achieve efficient operation of the ion implantation system. | 10-04-2012 |
20120196449 | ZIRCONIUM, HAFNIUM AND TITANIUM PRECURSORS FOR ATOMIC LAYER DEPOSITION OF CORRESPONDING METAL-CONTAINING FILMS - A zirconium precursor selected from among compounds of Formulae (I), (II) and (III): | 08-02-2012 |
20120186671 | LIQUID HANDLING SYSTEM WITH ELECTRONIC INFORMATION STORAGE - An electronic storage device is coupled with a container capable of holding liquid for electronically storing information relating to the liquid stored in the container. The system can be configured with an antenna, for storing information to and reading information from the electronic storage device. A microprocessor-based controller, coupled with the antenna, may be employed for controlling processing of the liquid based on information read from the electronic storage device by the antenna. A connector of a secure reader system having a reader is provided to physically couple to a container having an information storing mechanism, for periodically reading information from an information storing mechanism. The connector may draw material from the container simultaneous with the reading. | 07-26-2012 |
20120180660 | PVDF PYROLYZATE ADSORBENT AND GAS STORAGE AND DISPENSING SYSTEM UTILIZING SAME - A polyvinylidene fluoride (PVDF) pyrolyzate adsorbent is described, having utility for storing gases in an adsorbed state, and from which adsorbed gas may be desorbed to supply same for use. The PVDF pyrolyzate adsorbent can be of monolithic unitary form, or in a bead, powder, film, particulate or other finely divided form. The adsorbent is particularly suited for storage and supply of fluorine-containing gases, such as fluorine gas, nitrogen trifluoride, carbo-fluoride gases, and the like. The adsorbent may be utilized in a gas storage and dispensing system, in which the adsorbent is contained in a supply vessel, from which sorbate gas can be selectively dispensed. | 07-19-2012 |
20120178267 | COMPOSITION AND METHOD FOR LOW TEMPERATURE DEPOSITION OF SILICON-CONTAINING FILMS SUCH AS FILMS INCLUDING SILICON, SILICON NITRIDE, SILICON DIOXIDE AND/OR SILICON-OXYNITRIDE - Silicon precursors for forming silicon-containing films in the manufacture of semiconductor devices, such as low dielectric constant (k) thin films, high k gate silicates, low temperature silicon epitaxial films, and films containing silicon nitride (Si | 07-12-2012 |
20120156894 | MONOSILANE OR DISILANE DERIVATIVES AND METHOD FOR LOW TEMPERATURE DEPOSITION OF SILICON-CONTAINING FILMS USING THE SAME - This invention relates to silicon precursor compositions for forming silicon-containing films by low temperature (e.g., <550° C.) chemical vapor deposition processes for fabrication of ULSI devices and device structures. Such silicon precursor compositions comprise at least a silane or disilane derivative that is substituted with at least one alkylhydrazine functional groups and is free of halogen substitutes. | 06-21-2012 |
20120153048 | METHOD AND APPARATUS TO HELP PROMOTE CONTACT OF GAS WITH VAPORIZED MATERIAL - Vaporizable material is supported within a vessel to promote contact of an introduced gas with the vaporizable material, and produce a product gas including vaporized material. A heating element supplies heat to a wall of the vessel to heat vaporizable material disposed therein. The vessel may comprise an ampoule having a removable top. Multiple containers defining multiple material support surfaces may be stacked disposed within a vessel in thermal communication with the vessel. A tube may be disposed within the vessel and coupled to a gas inlet. Filters, flow meters, and level sensors may be further provided. Product gas resulting from contact of introduced gas with vaporized material may be delivered to atomic layer deposition (ALD) or similar process equipment. At least a portion of source material including a solid may be dissolved in a solvent, followed by removal of solvent to yield source material (e.g., a metal complex) disposed within the vaporizer. | 06-21-2012 |
20120142174 | METHOD AND APPARATUS FOR ENHANCED LIFETIME AND PERFORMANCE OF ION SOURCE IN AN ION IMPLANTATION SYSTEM - An ion implantation system and process, in which the performance and lifetime of the ion source of the ion implantation system are enhanced, by utilizing isotopically enriched dopant materials, or by utilizing dopant materials with supplemental gas(es) effective to provide such enhancement. | 06-07-2012 |
20120141675 | PRECURSOR COMPOSITIONS FOR ATOMIC LAYER DEPOSITION AND CHEMICAL VAPOR DEPOSITION OF TITANATE, LANTHANATE, AND TANTALATE DIELECTRIC FILMS - Barium, strontium, tantalum and lanthanum precursor compositions useful for atomic layer deposition (ALD) and chemical vapor deposition (CVD) of titanate thin films. The precursors have the formula M(Cp) | 06-07-2012 |
20120138631 | FLUID PROCESSING SYSTEMS AND METHODS - Systems and methods for delivering fluid-containing feed materials to process equipment are disclosed. A liner-based pressure dispensing vessel is subjected to filling by application of vacuum between the liner and overpack. Multiple feed material flow controllers of different calibrated flow ranges may be selectively operated in parallel for a single feed material. Feed material blending and testing for scale-up may be performed with feed materials supplied by multiple liner-based pressure dispensing containers. A gravimetric system may be used to determine concentration of at least one component of a multi-component solution or mixture. | 06-07-2012 |
20120135590 | SILICON REMOVAL FROM SURFACES AND METHOD OF FORMING HIGH K METAL GATE STRUCTURES USING SAME - A method of fabricating a semiconductor device, comprising carrying out a gate last process including forming a dummy gate of polysilicon, and thereafter removing the dummy gate for replacement by a metal gate, wherein the dummy gate is removed by XeF | 05-31-2012 |
20120131990 | FLUID MONITORING APPARATUS - A fluid monitoring apparatus, including a circuitry housing containing circuitry for processing fluid sensing signals and responsively transmitting an output, with a sensor assembly adapted for mechanical and electrical coupling to the circuitry housing. The sensor assembly includes at least one sensing member arranged to respond to a fluid species of interest in the monitored fluid, for generation of an output. The apparatus includes at least one of (A) a printed circuit board adapted to engage the circuitry housing and to mechanically couple to the sensor assembly, (B) the sensor assembly including a base and sensing element removably connected to the base by press-fit coupling elements, and (C) the sensor assembly including a base and a sensing filament connected thereto, and a filament guard to protectively circumscribe the sensing filament. | 05-31-2012 |
20120127629 | DOPED ZrO2 CAPACITOR MATERIALS AND STRUCTURES - A composite dielectric material including an early transition metal or metal oxide base material and a dopant, co-deposited, alloying or layering secondary material, selected from among Nb, Ge, Ta, La, Y, Ce, Pr, Nd, Gd, Dy, Sr, Ba, Ca, and Mg, and oxides of such metals, and alumina as a dopant or alloying secondary material. Such composite dielectric material can be formed by vapor deposition processes, e.g., ALD, using suitable precursors, to form microelectronic devices such as ferroelectric high k capacitors, gate structures, DRAMs, and the like. | 05-24-2012 |
20120115315 | LOW TEMPERATURE GST PROCESS - A deposition process to form a conformal phase change material film on the surface of a substrate to produce a memory device wafer comprises providing a substrate to a chamber of a deposition system; providing an activation region; introducing one or more precursors into the chamber upstream of the substrate; optionally introducing one or more co-reactants upstream of the substrate; activating the one or more precursors; heating the substrate; and depositing the phase change material film on the substrate from the one or more precursors by chemical vapor deposition. The deposited phase change material film comprises Ge | 05-10-2012 |
20120114836 | TPIR APPARATUS FOR MONITORING TUNGSTEN HEXAFLUORIDE PROCESSING TO DETECT GAS PHASE NUCLEATION, AND METHOD AND SYSTEM UTILIZING SAME - Apparatus and method for monitoring a vapor deposition installation in which a gas mixture can undergo gas phase nucleation (GPN) and/or chemically attack the product device, under process conditions supportive of such behavior. The apparatus includes a radiation source arranged to transmit source radiation through a sample of the gas mixture, and a thermopile detector assembly arranged to receive output radiation resulting from interaction of the source radiation with the gas mixture sample, and to responsively generate an output indicative of onset of the gas phase nucleation and/or chemical attack when such onset occurs. Such monitoring apparatus and methodology is useful in tungsten CVD processing to achieve high rate tungsten film growth without GPN or chemical attack. | 05-10-2012 |
20120111374 | ION IMPLANTATION TOOL CLEANING APPARATUS AND METHOD - Apparatus and methods for cleaning ion implanters and/or components thereof are described, utilizing cleaning agents reacted with residue deposits to effect removal thereof. An endpoint detection apparatus and method are also disclosed, which may be integrated in the cleaning apparatus and methods to provide highly efficient utilization of the cleaning agent and avoidance of deleterious effects that otherwise can occur when cleaning agents are continued to be exposed to an implanter or components thereof after cleaning has been completed. | 05-10-2012 |
20120108044 | ISOTOPICALLY-ENRICHED BORON-CONTAINING COMPOUNDS, AND METHODS OF MAKING AND USING SAME - An isotopically-enriched, boron-containing compound comprising two or more boron atoms and at least one fluorine atom, wherein at least one of the boron atoms contains a desired isotope of boron in a concentration or ratio greater than a natural abundance concentration or ratio thereof. The compound may have a chemical formula of B | 05-03-2012 |
20120108038 | AMORPHOUS GE/TE DEPOSITION PROCESS - Germanium, tellurium, and/or antimony precursors are usefully employed to form germanium-, tellurium- and/or antimony-containing films, such as films of GeTe, GST, and thermoelectric germanium-containing films. Processes for using these precursors to form amorphous films are also described. Further described is the use of [{nBuC(iPrN) | 05-03-2012 |
20120064719 | METHOD AND COMPOSITION FOR DEPOSITING RUTHENIUM WITH ASSISTIVE METAL SPECIES - A method of forming a ruthenium-containing film in a vapor deposition process, including depositing ruthenium with an assistive metal species that increases the rate and extent of ruthenium deposition in relation to deposition of ruthenium in the absence of such assistive metal species. An illustrative precursor composition useful for carrying out such method includes a ruthenium precursor and a strontium precursor in a solvent medium, wherein one of the ruthenium and strontium precursors includes a pendant functionality that coordinates with the central metal atom of the other precursor, so that ruthenium and strontium co-deposit with one another. The method permits incubation time for ruthenium deposition on non- metallic substrates to be very short, thereby accommodating very rapid film formation in processes such as atomic layer deposition. | 03-15-2012 |
20120058252 | ION SOURCE CLEANING IN SEMICONDUCTOR PROCESSING SYSTEMS - Cleaning of an ion implantation system or components thereof, utilizing temperature and/or a reactive cleaning reagent enabling growth/etching of the cathode in an indirectly heated cathode for an ion implantation system by monitoring the cathode bias power and taking corrective action depending upon compared values to etch or regrow the cathode. | 03-08-2012 |
20120042898 | COMPOSITIONS AND METHOD FOR THE REMOVAL OF PHOTORESIST FOR A WAFER REWORK APPLICATION - Compositions useful in reworking microelectronic device wafers, i.e., removing photoresist from rejected wafers, without damaging underlying layers and structures such as cap layers, interlevel dielectric layers, etch stop layers and metal interconnect material. The semi-aqueous compositions include at least one alkali and/or alkaline earth metal basic salt, at least one organic solvent, water, optionally at least one quaternary ammonium basic salt, optionally at least one metal corrosion inhibitor and optionally at least one water-soluble polymer surfactant. | 02-23-2012 |
20120028870 | NON-AMINE POST-CMP COMPOSITION AND METHOD OF USE - A cleaning composition and process for cleaning post-chemical mechanical polishing (CMP) residue and contaminants from a microelectronic device having said residue and contaminants thereon. The cleaning compositions are substantially devoid of amine and ammonium-containing compounds, e.g., quaternary ammonium bases. The composition achieves highly efficacious cleaning of the post-CMP residue and contaminant material from the surface of the microelectronic device without compromising the low-k dielectric material or the copper interconnect material. | 02-02-2012 |
20120015857 | LITHOGRAPHIC TOOL IN SITU CLEAN FORMULATIONS - Compositions and methods of using said composition for removing polymeric materials from surfaces, preferably cleaning contaminant buildup from a lithography apparatus without total disassembly of said apparatus. | 01-19-2012 |
20110303558 | GAS STORAGE AND DISPENSING SYSTEM WITH MONOLITHIC CARBON ADSORBENT - A pyrolyzed monolith carbon physical adsorbent that is characterized by at least one of the following characteristics: (a) a fill density measured for arsine gas at 25° C. and pressure of 650 torr that is greater than 400 grams arsine per liter of adsorbent; (b) at least 30% of overall porosity of the adsorbent including slit-shaped pores having a size in a range of from about 0.3 to about 0.72 nanometer, and at least 20% of the overall porosity including micropores of diameter<2 nanometers; and (c) having a bulk density of from about 0.80 to about 2.0 grams per cubic centimeter, preferably from 0.9 to 2.0 grams per cubic centimeter. | 12-15-2011 |
20110284576 | FLUID STORAGE AND DISPENSING VESSELS HAVING COLORIMETRICALLY VERIFIABLE LEAK-TIGHTNESS AND METHOD OF MAKING SAME - A fluid storage and dispensing vessel having associated therewith a colorimetric member that is effective to change color in exposure to leakage of a gas contained in the vessel. The colorimetric member may be constituted by a film, e.g., of a shrink-wrap character, that contains or is otherwise associated with a colorimetric agent undergoing color change in exposure to fluid leaking from the vessel. Such shrink-wrap film may be applied to a portion of the vessel susceptible to leakage, or alternatively to the entire vessel, so that the film is colorimetrically effective to indicate the occurrence of a leakage event by visually perceptible change of color. | 11-24-2011 |
20110277846 | RECTANGULAR PARALLELEPIPED FLUID STORAGE AND DISPENSING VESSEL - A fluid storage and dispensing apparatus including a fluid storage and dispensing vessel having a rectangular parallelepiped shape, and an integrated gas cabinet assembly including such fluid storage and dispensing apparatus and/or a point-of-use ventilation gas scrubber in the vented gas cabinet. By the use of physical adsorbent and chemical sorbent media, the gas cabinet can be enhanced in safety of operation, e.g., where the process gas supplied from the gas cabinet is of a toxic or otherwise hazardous character. | 11-17-2011 |
20110275164 | COMPOSITION AND METHOD FOR RECYCLING SEMICONDUCTOR WAFERS HAVING LOW-K DIELECTRIC MATERIALS THEREON - A removal composition and process for removing low-k dielectric material, etch stop material, and/or metal stack material from a rejected microelectronic device structure having same thereon. The removal composition includes hydrofluoric acid. The composition achieves at least partial removal of the material(s) from the surface of the microelectronic device structure having same thereon, for recycling and/or reuse of said structure, without damage to the underlying polysilicon or bare silicon layer employed in the semiconductor architecture. | 11-10-2011 |
20110263100 | ANTIMONY AND GERMANIUM COMPLEXES USEFUL FOR CVD/ALD OF METAL THIN FILMS - Antimony, germanium and tellurium precursors useful for CVD/ALD of corresponding metal-containing thin films are described, along with compositions including such precursors, methods of making such precursors, and films and microelectronic device products manufactured using such precursors, as well as corresponding manufacturing methods. The precursors of the invention are useful for forming germanium-antimony-tellurium (GST) films and microelectronic device products, such as phase change memory devices, including such films. | 10-27-2011 |
20110260132 | HIGH CONCENTRATION NITROGEN-CONTAINING GERMANIUM TELLURIDE BASED MEMORY DEVICES AND PROCESSES OF MAKING - A PCM device has the composition GexTeyNzAm deposited onto a substrate, where x is about 40% to about 60%, y is about 30% to about 49%, and z is about 5% to about 20% and more preferably about 5% to about 40%. The component represented as A is optional and representative of an element of Sb, Sn, In, Ga, or Zn, and m is up to about 15%. The composition is in the form of a film, and the nitrogen allows for the substantially conformal deposition of the film onto the substrate. A CVD process for depositing the PCM comprises delivering a Ge-based precursor and a Te-based precursor in vapor form to a CVD chamber, heating and pressurizing the chamber, and depositing the film onto a substrate. In making a phase change device using this process, the film is annealed and polished. | 10-27-2011 |
20110259366 | ION SOURCE CLEANING IN SEMICONDUCTOR PROCESSING SYSTEMS - Cleaning of an ion implantation system or components thereof, utilizing a reactive cleaning reagent enabling growth/etching of the filament in an ion source of the arc chamber, by appropriate control of temperature in the arc chamber to effect the desired filament growth or alternative filament etching. Also described is the use of reactive gases such as XeFx, WFx, AsFx, PFx and TaFx, wherein x has a stoichioimetrically appropriate value or range of values, for cleaning regions of ion implanters, or components of implanters, in in situ or ex situ cleaning arrangements, under ambient temperature, elevated temperature or plasma conditions. Among specific reactive cleaning agents, BrF3 is described as useful for cleaning ion implant systems or component(s) thereof, in in situ or ex situ cleaning arrangements. Also described is a method of cleaning the forelines of an ion implant system for at least partial removal of ionization-related deposit from said forelines, comprising contacting said forelines with a cleaning gas wherein said cleaning gas is chemically reactive with said deposit. Also described is a method of improving the performance and extending the lifetime of an ion implant system, comprising contacting the cathode with a gas mixture. | 10-27-2011 |
20110252883 | FLUID STORAGE AND DISPENSING SYSTEM INCLUDING DYNAMIC FLUID MONITORING OF FLUID STORAGE AND DISPENSING VESSEL - A monitoring system for monitoring fluid in a fluid supply vessel during operation including dispensing of fluid from the fluid supply vessel. The monitoring system includes (i) one or more sensors for monitoring a characteristic of the fluid supply vessel or the fluid dispensed therefrom, (ii) a data acquisition module operatively coupled to the one or more sensors to receive monitoring data therefrom and responsively generate an output correlative to the characteristic monitored by the one or more sensors, and (iii) a processor and display operatively coupled with the data acquisition module and arranged to process the output from the data acquisition module and responsively output a graphical representation of fluid in the fluid supply vessel, billing documents, usage reports, and/or resupply requests. | 10-20-2011 |
20110232367 | FLUID STORAGE AND DISPENSING SYSTEM INCLUDING DYNAMIC FLUID MONITORING OF FLUID STORAGE AND DISPENSING VESSEL - A monitoring system for monitoring fluid in a fluid supply vessel during operation including dispensing of fluid from the fluid supply vessel. The monitoring system includes (i) one or more sensors for monitoring a characteristic of the fluid supply vessel or the fluid dispensed therefrom, (ii) a data acquisition module operatively coupled to the one or more sensors to receive monitoring data therefrom and responsively generate an output correlative to the characteristic monitored by the one or more sensors, and (iii) a processor and display operatively coupled with the data acquisition module and arranged to process the output from the data acquisition module and responsively output a graphical representation of fluid in the fluid supply vessel. | 09-29-2011 |
20110226874 | FLUID STORAGE AND DISPENSING SYSTEMS, AND FLUID SUPPLY PROCESSES COMPRISING SAME - Fluid storage and dispensing systems, and processes for supplying fluids for use thereof. Various arrangements of fluid storage and dispensing systems are described, involving permutations of the physical sorbent-containing fluid storage and dispensing vessels and internal regulator-equipped fluid storage and dispensing vessels. The systems and processes are applicable to a wide variety of end-use applications, including storage and dispensing of hazardous fluids with enhanced safety. In a specific end-use application, reagent gas is dispensed to a semiconductor manufacturing facility from a large-scale, fixedly positioned fluid storage and dispensing vessel containing physical sorbent holding gas at subatmospheric pressure, with such vessel being refillable from a safe gas source of refill gas, as disclosed herein. | 09-22-2011 |
20110226806 | FLUID STORAGE AND DISPENSING SYSTEMS AND PROCESSES - Fluid storage and dispensing systems and processes involving various structures methods for fluid storage and dispensing, including, pre-connect verification couplings that are usefully employed with fluid storage and dispensing packages to ensure proper coupling and avoid fluid contamination issues, empty detect systems (e.g., monitoring pressure of dispensed liquid medium to detect pressure droop conditions) useable with fluid storage and dispensing packages incorporating liners that are pressure-compressed in the fluid dispensing operation, ergonomically enhanced structures for facilitating removal of a dispense connector from a capped vessel, cap integrity assurance systems for preventing misuse of vessel caps, and keycoding systems for ensuring coupling of proper dispense assemblies and vessels. Fluid storage and dispensing systems achieve zero or near-zero headspace character, and prevent or ameliorate solubilization effects in liquid dispensing from liners in overpack vessels. | 09-22-2011 |
20110220518 | NANOPOROUS ARTICLES AND METHODS OF MAKING SAME - An adsorbent having porosity expanded by contact with a first agent effecting such expansion and a pressurized second agent effecting transport of the first agent into the porosity, wherein the adsorbent subsequent to removal of the first and second agents retains expanded porosity. The adsorbent can be made by an associated method in which materials such as water, ethers, alcohols, organic solvent media, or inorganic solvent media can be utilized as the first agent for swelling of the porosity, and helium, argon, krypton, xenon, neon, or other inert gases can be employed as the pressurized second agent for transport of both agents into the porosity of the adsorbent, subsequent to which the agents can be removed to yield an adsorbent of increased capacity for sorbable fluids, e.g., organometallic compounds, hydrides, halides and acid gases. Also described is a nanoporous carbon composite having porosity that is at least partially filled with material imparting to the composite an enhanced character with respect to characteristics selected from the group consisting of hardness, wear-resistance and toughness, as compared with the nanoporous carbon alone. | 09-15-2011 |
20110195188 | CHEMICAL VAPOR DEPOSITION OF HIGH CONDUCTIVITY, ADHERENT THIN FILMS OF RUTHENIUM - A multi-step method for depositing ruthenium thin films having high conductivity and superior adherence to the substrate is described. The method includes the deposition of a ruthenium nucleation layer followed by the deposition of a highly conductive ruthenium upper layer. Both layers are deposited using chemical vapor deposition (CVD) employing low deposition rates. | 08-11-2011 |
20110186086 | OXIDIZING AQUEOUS CLEANER FOR THE REMOVAL OF POST-ETCH RESIDUES - An oxidizing aqueous cleaning composition and process for cleaning post-plasma etch residue and/or hardmask material from a microelectronic device having said residue thereon. The oxidizing aqueous cleaning composition includes at least one oxidizing agent, at least one oxidizing agent stabilizer comprising an amine species selected from the group consisting of primary amines, secondary amines, tertiary amines and amine-N-oxides, optionally at least one co-solvent, optionally at least one metal-chelating agent, optionally at least one buffering species, and water. The composition achieves highly efficacious cleaning of the residue material from the microelectronic device while simultaneously not damaging the interlevel dielectric and metal interconnect material also present thereon. | 08-04-2011 |
20110183528 | COMPOSITION AND METHOD FOR LOW TEMPERATURE DEPOSITION OF SILICON-CONTAINING FILMS SUCH AS FILMS INCLUDING SILICON, SILICON NITRIDE, SILICON DIOXIDE AND/OR SILICON-OXYNITRIDE - Silicon precursors for forming silicon-containing films in the manufacture of semiconductor devices, such as low dielectric constant (k) thin films, high k gate silicates, low temperature silicon epitaxial films, and films containing silicon nitride (Si | 07-28-2011 |
20110180905 | GeSbTe MATERIAL INCLUDING SUPERFLOW LAYER(S), AND USE OF Ge TO PREVENT INTERACTION OF Te FROM SbXTeY AND GeXTeY RESULTING IN HIGH Te CONTENT AND FILM CRYSTALLINITY - A multilayer film stack containing germanium, antimony and tellurium that can be annealed to form a GST product material of homogeneous and smooth character, wherein at least one antimony-containing layer is isolated from a tellurium-containing layer by an intervening germanium layer, and the multilayer film stack comprises at least two intervening germanium layers. The multilayer film stack can be formed by vapor deposition techniques such as chemical vapor deposition or atomic layer deposition. The annealable multilayer film stack can be formed in high aspect ratio vias to form phase change memory devices of superior character with respect to the stoichiometric and morphological characteristics of the GST product material. | 07-28-2011 |
20110171382 | SOURCE REAGENT COMPOSITIONS AND METHOD FOR FORMING METAL FILMS ON A SUBSTRATE BY CHEMICAL VAPOR DEPOSITION - A metalorganic complex composition comprising a metalorganic complex selected from the group consisting of: metalorganic complexes comprising one or more metal central atoms coordinated to one or more monodentate or multidentate organic ligands, and complexed with one or more complexing monodentate or multidentate ligands containing one or more atoms independently selected from the group consisting of atoms of the elements C, N, H, S, O and F; wherein when the number of metal atoms is one and concurrently the number of complexing monodentate or multidentate ligands is one, then the complexing monodentate or multidentate ligand of the metalorganic complex is selected from the group consisting of beta-ketoiminates, beta-diiminates, C | 07-14-2011 |
20110165762 | MONOSILANE OR DISILANE DERIVATIVES AND METHOD FOR LOW TEMPERATURE DEPOSITION OF SILICON-CONTAINING FILMS USING THE SAME - This invention relates to silicon precursor compositions for forming silicon-containing films by low temperature (e.g., <550° C.) chemical vapor deposition processes for fabrication of ULSI devices and device structures. Such silicon precursor compositions comprise at least a silane or disilane derivative that is substituted with at least one alkylhydrazine functional groups and is free of halogen substitutes. | 07-07-2011 |
20110159671 | ISOTOPICALLY-ENRICHED BORON-CONTAINING COMPOUNDS, AND METHODS OF MAKING AND USING SAME - An isotopically-enriched, boron-containing compound comprising two or more boron atoms and at least one fluorine atom, wherein at least one of the boron atoms contains a desired isotope of boron in a concentration or ratio greater than a natural abundance concentration or ratio thereof. The compound may have a chemical formula of B | 06-30-2011 |
20110140181 | Removal of Masking Material - Methods for removing a masking material, for example, a photoresist, and electronic devices formed by removing a masking material are presented. For example, a method for removing a masking material includes contacting the masking material with a solution comprising cerium. The cerium may be comprised in a salt. The salt may be cerium ammonium nitrate. | 06-16-2011 |
20110136343 | COMPOSITION AND METHOD FOR LOW TEMPERATURE DEPOSITION OF SILICON-CONTAINING FILMS - This invention relates to silicon precursor compositions for forming silicon-containing films by low temperature (e.g., <300° C.) chemical vapor deposition processes for fabrication of ULSI devices and device structures. Such silicon precursor compositions comprise at least one disilane derivative compound that is fully substituted with alkylamino and/or dialkylamino functional groups. | 06-09-2011 |
20110117751 | NON-SELECTIVE OXIDE ETCH WET CLEAN COMPOSITION AND METHOD OF USE - Composition and method to remove undoped silicon-containing materials from microelectronic devices at rates greater than or equal to the removal of doped silicon-containing materials. | 05-19-2011 |
20110111556 | ANTIMONY COMPOUNDS USEFUL FOR DEPOSITION OF ANTIMONY-CONTAINING MATERIALS - Precursors for use in depositing antimony-containing films on substrates such as wafers or other microelectronic device substrates, as well as associated processes of making and using such precursors, and source packages of such precursors. The precursors are useful for deposition of A Ge | 05-12-2011 |
20110097882 | ISOTOPICALLY-ENRICHED BORON-CONTAINING COMPOUNDS, AND METHODS OF MAKING AND USING SAME - An isotopically-enriched, boron-containing compound comprising two or more boron atoms and at least one fluorine atom, wherein at least one of the boron atoms contains a desired isotope of boron in a concentration or ratio greater than a natural abundance concentration or ratio thereof. The compound may have a chemical formula of B | 04-28-2011 |
20110097478 | SCALABLE LEAD ZIRCONIUM TITANATE (PZT) THIN FILM MATERIAL AND DEPOSITION METHOD, AND FERROELECTRIC MEMORY DEVICE STRUCTURES COMPRISING SUCH THIN FILM MATERIAL - A novel lead zirconium titanate (PZT) material having unique properties and application for PZT thin film capacitors and ferroelectric capacitor structures, e.g., FeRAMs, employing such thin film material. The PZT material is scalable, being dimensionally scalable, pulse length scalable and/or E-field scalable in character, and is useful for ferroelectric capacitors over a wide range of thicknesses, e.g., from about 20 nanometers to about 150 nanometers, and a range of lateral dimensions extending to as low as 0.15 μm. Corresponding capacitor areas (i.e., lateral scaling) in a preferred embodiment are in the range of from about 10 | 04-28-2011 |
20110065268 | BORON ION IMPLANTATION USING ALTERNATIVE FLUORINATED BORON PRECURSORS, AND FORMATION OF LARGE BORON HYDRIDES FOR IMPLANTATION - Methods of implanting boron-containing ions using fluorinated boron-containing dopant species that are more readily cleaved than boron trifluoride. A method of manufacturing a semiconductor device including implanting boron-containing ions using fluorinated boron-containing dopant species that are more readily cleaved than boron trifluoride. Also disclosed are a system for supplying a boron hydride precursor, and methods of forming a boron hydride precursor and methods for supplying a boron hydride precursor. In one implementation of the invention, the boron hydride precursors are generated for cluster boron implantation, for manufacturing semiconductor products such as integrated circuitry. | 03-17-2011 |
20110060165 | METAL AMINOTROPONIMINATES, BIS-OXAZOLINATES AND GUANIDINATES - Metal aminotroponiminates, metal bis-oxazolinates and metal guanidinates are described, as well as ligand precursors of such compounds, and mixed ligand barium and strontium complexes suitable for chemical vapor deposition, atomic layer deposition, and rapid vapor deposition processes. Such metal compounds are useful in the formation of thin metal films on substrates, e.g., in chemical vapor deposition, atomic layer deposition or rapid vapor deposition processes. The substrates formed have thin film monolayers of the metals provided by the precursors. | 03-10-2011 |
20110052482 | METHOD AND APPARATUS TO HELP PROMOTE CONTACT OF GAS WITH VAPORIZED MATERIAL - Vaporizable material is supported within a vessel to promote contact of an introduced gas with the vaporizable material, and produce a product gas including vaporized material. A heating element supplies heat to a wall of the vessel to heat vaporizable material disposed therein. The vessel may comprise an amoule having a removable top. Multiple containers defining multiple material support surfaces may be stacked disposed within a vessel in thermal communication with the vessel. A tube may be disposed within the vessel and coupled to a gas inlet. Filters, flow meters, and level sensors may be further provided. Product gas resulting from contact of introduced gas with vaporized material may be delivered to atomic layer deposition (ALD) or similar process equipment. At least a portion of source material including a solid may be dissolved in a solvent, followed by removal of solvent to yield source material (e.g., a metal complex) disposed within the vaporizer. | 03-03-2011 |
20110048063 | COMPONENT FOR SOLAR ADSORPTION REFRIGERATION SYSTEM AND METHOD OF MAKING SUCH COMPONENT - An adsorption structure is described that includes at least one adsorbent member formed of an adsorbent material and at least one porous member provided in contact with a portion of the adsorbent member to allow gas to enter and exit the portion of the adsorbent member. Such adsorption structure is usefully employed in adsorbent-based refrigeration systems. A method also is described for producing an adsorbent material, in which a first polymeric material is provided having a first density and a second polymeric material is provided having a second density, in which the second polymeric material is in contact with the first polymeric material to form a structure. The structure is pyrolyzed to form a porous adsorbent material including a first region corresponding to the first polymeric material and a second region corresponding to the second polymeric material, in which at least one of the pore sizes and the pore distribution differs between the first region and the second region. | 03-03-2011 |
20110039747 | COMPOSITION AND METHOD FOR REMOVING ION-IMPLANTED PHOTORESIST - A method and mineral acid-containing compositions for removing bulk and/or hardened photoresist material from microelectronic devices have been developed. The mineral acid-containing composition includes at least one mineral acid, at least one sulfur-containing oxidizing agent, and optionally at least one metal ion-containing catalyst. The mineral acid-containing compositions effectively remove the hardened photoresist material while not damaging the underlying silicon-containing layer(s). | 02-17-2011 |
20110021011 | CARBON MATERIALS FOR CARBON IMPLANTATION - A method of implanting carbon ions into a target substrate, including: ionizing a carbon containing dopant material to produce a plasma having ions; optionally co-flowing an additional gas or series of gases with the carbon-containing dopant material; and implanting the ions into the target substrate. The carbon-containing dopant material is of the formula C | 01-27-2011 |
20110006269 | SOLVENT-FREE SYNTHESIS OF SOLUBLE NANOCRYSTALS - Methods for preparing high quality and high yields of nanocrystals, i.e., metal-oxide-based nanocrystals, using a novel solvent-free method. The nanocrystals advantageously comprise organic alkyl chain capping groups and are stable in air and in nonpolar solvents. | 01-13-2011 |
20110001107 | HOLLOW GST STRUCTURE WITH DIELECTRIC FILL - A memory cell structure, including a substrate having a via therein bound at first and second ends thereof by electrodes. The via is coated on side surfaces thereof with GST material defining a core that is hollow or at least partially filled with material, e.g., germanium or dielectric material. One or more of such memory cell structures may be integrated in a phase change memory device. The memory cell structure can be fabricated in a substrate containing a via closed at one end thereof with a bottom electrode, by conformally coating GST material on sidewall surface of the via and surface of the bottom electrode enclosing the via, to form an open core volume bounded by the GST material, optionally at least partially filling the open core volume with germanium or dielectric material, annealing the GST material film, and forming a top electrode at an upper portion of the via. | 01-06-2011 |
20100317150 | ANTIMONY AND GERMANIUM COMPLEXES USEFUL FOR CVD/ALD OF METAL THIN FILMS - Antimony, germanium and tellurium precursors useful for CVD/ALD of corresponding metal-containing thin films are described, along with compositions including such precursors, methods of making such precursors, and films and microelectronic device products manufactured using such precursors, as well as corresponding manufacturing methods. The precursors of the invention are useful for forming germanium-antimony-tellurium (GST) films and microelectronic device products, such as phase change memory devices, including such films. | 12-16-2010 |
20100316562 | APPARATUS AND METHOD FOR HYDROGEN GENERATION FROM GASEOUS HYDRIDE - An apparatus and method including storage and dispensing vessels to safely store and dispense gaseous hydrides, where the storage and dispensing vessels contain a solid-phase physical sorbent medium having a physically sorptive affinity for gaseous hydrides, and wherein the gaseous hydride is decomposed in the apparatus to generate hydrogen gas. The gaseous hydrides include, but are not limited to, silane, germane, stibine and diborane. The gaseous hydrides decompose spontaneously and/or decomposition is enhanced using surface modified adsorbents. The hydrogen generated by the apparatus may be used in a fuel cell or other hydrogen gas consuming unit. | 12-16-2010 |
20100314590 | COMPOSITION AND METHOD FOR LOW TEMPERATURE CHEMICAL VAPOR DEPOSITION OF SILICON-CONTAINING FILMS INCLUDING SILICON CARBONITRIDE AND SILICON OXYCARBONITRIDE FILMS - Silicon precursors for forming silicon-containing films in the manufacture of semiconductor devices, such as films including silicon carbonitride, silicon oxycarbonitride, and silicon nitride (Si | 12-16-2010 |
20100291299 | STRONTIUM AND BARIUM PRECURSORS FOR USE IN CHEMICAL VAPOR DEPOSITION, ATOMIC LAYER DEPOSITION AND RAPID VAPOR DEPOSITION - Cyclopentadienyl and Indenyl barium/strontium metal precursors and Lewis base adducts thereof are described. Such precursors have utility for forming Ba- and/or Sr-containing films on substrates, in the manufacture of microelectronic devices or structures. | 11-18-2010 |
20100286014 | LOW PH POST-CMP RESIDUE REMOVAL COMPOSITION AND METHOD OF USE - An acidic composition and process for cleaning post-chemical mechanical polishing (CMP) residue and contaminants from a microelectronic device having said residue and contaminants thereon. The acidic composition includes surfactant, dispersing agent, sulfonic acid-containing hydrocarbon, and water. The composition achieves highly efficacious cleaning of the post-CMP residue and contaminant material from the surface of the microelectronic device without compromising the low-k dielectric material or the copper interconnect material. | 11-11-2010 |
20100285663 | COMPOSITION AND METHOD FOR LOW TEMPERATURE DEPOSITION OF SILICON-CONTAINING FILMS SUCH AS FILMS INCLUDING SILICON, SILICON NITRIDE, SILICON DIOXIDE AND/OR SILICON-OXYNITRIDE - Silicon precursors for forming silicon-containing films in the manufacture of semiconductor devices, such as low dielectric constant (k) thin films, high k gate silicates, low temperature silicon epitaxial films, and films containing silicon nitride (Si | 11-11-2010 |
20100279011 | NOVEL BISMUTH PRECURSORS FOR CVD/ALD OF THIN FILMS - Bismuth precursors having utility for forming highly conformal bismuth-containing films by low temperature (<300° C.) vapor deposition processes such as CVD and ALD, including bismuth aminidates, bismuth guanidates, bismuth isoureates, bismuth carbamates and bismuth thiocarbamates, bismuth beta-diketonates, bismuth diketoiminates, bismuth diketiiminates, bismuth allyls, bismuth cyclopentadienyls, bismuth alkyls, bismuth alkoxides, and bismuth silyls with pendant ligands, bismuth silylamides, bismuth chelated amides, and bismuth ditelluroimidodiphosphinates. Also described are methods of making such precursors, and packaged forms of such precursors suitable for use in the manufacture of microelectronic device products. These bismuth precursors are usefully employed to form bismuth-containing films, such as films of GBT, Bi | 11-04-2010 |
20100270508 | ZIRCONIUM PRECURSORS USEFUL IN ATOMIC LAYER DEPOSITION OF ZIRCONIUM-CONTAINING FILMS - Zirconium precursors of the formulae | 10-28-2010 |
20100261632 | NON-FLUORIDE CONTAINING COMPOSITION FOR THE REMOVAL OF RESIDUE FROM A MICROELECTRONIC DEVICE - Cleaning compositions and processes for removing residue from a microelectronic device having said residue thereon. The composition, which is substantially devoid of fluoride species, amine species, and organic solvents, achieves highly efficacious cleaning of the residue material, including post-etch residue, post-ash residue and/or post-CMP residue, from the microelectronic device while simultaneously not damaging the interlevel dielectric and metal interconnect material also present thereon. | 10-14-2010 |
20100255198 | SOLID PRECURSOR-BASED DELIVERY OF FLUID UTILIZING CONTROLLED SOLIDS MORPHOLOGY - Apparatus and method for volatilizing a source reagent susceptible to particle generation or presence of particles in the corresponding source reagent vapor, in which such particle generation or presence is suppressed by structural or processing features of the vapor generation system. Such apparatus and method are applicable to liquid and solid source reagents, particularly solid source reagents such as metal halides, e.g., hafnium chloride. The source reagent in one specific implementation is constituted by a porous monolithic bulk form of the source reagent material. The apparatus and method of the invention are usefully employed to provide source reagent vapor for applications such as atomic layer deposition (ALD) and ion implantation. | 10-07-2010 |
20100248480 | CHEMICAL MECHANICAL POLISHING COMPOSITIONS FOR COPPER AND ASSOCIATED MATERIALS AND METHOD OF USING SAME - A CMP composition containing a rheology agent, e.g., in combination with oxidizing agent, chelating agent, inhibiting agent, abrasive and solvent. Such CMP composition advantageously increases the materials selectivity in the CMP process and is useful for polishing surfaces of copper elements on semiconductor substrates, without the occurrence of dishing or other adverse planarization deficiencies in the polished copper. | 09-30-2010 |
20100240918 | TANTALUM AMIDO-COMPLEXES WITH CHELATE LIGANDS USEFUL FOR CVD AND ALD OF TaN AND Ta205 THIN FILMS - Tantalum compounds of Formula I hereof are disclosed, having utility as precursors for forming tantalum-containing films such as barrier layers. The tantalum compounds of Formula I may be deposited by CVD or ALD for forming semiconductor device structures including a dielectric layer, a barrier layer on the dielectric layer, and a copper metallization on the barrier layer, wherein the barrier layer includes a Ta-containing layer and sufficient carbon so that the Ta-containing layer is amorphous. According to one embodiment, the semiconductor device structure is fabricated by depositing the Ta-containing barrier layer, via CVD or ALD, from a precursor including the tantalum compound of Formula I hereof at a temperature below about 400° C. in a reducing or inert atmosphere, e.g., a gas or plasma optionally containing a reducing agent. | 09-23-2010 |
20100224264 | APPARATUS AND PROCESS FOR INTEGRATED GAS BLENDING | 09-09-2010 |
20100221914 | COMPOSITION AND METHOD FOR LOW TEMPERATURE DEPOSITION OF SILICON-CONTAINING FILMS - This invention relates to silicon precursor compositions for forming silicon-containing films by low temperature (e.g., <300° C.) chemical vapor deposition processes for fabrication of ULSI devices and device structures. Such silicon precursor compositions comprise at least one disilane derivative compound that is fully substituted with alkylamino and/or dialkylamino functional groups. | 09-02-2010 |
20100217415 | PHOTOMETRICALLY MODULATED DELIVERY OF REAGENTS - A process system adapted for processing of or with a material therein. The process system includes: a sampling region for the material; an infrared photometric monitor constructed and arranged to transmit infrared radiation through the sampling region and to responsively generate an output signal correlative of the material in the sampling region, based on its interaction with the infrared radiation; and process control means arranged to receive the output of the infrared photometric monitor and to responsively control one or more process conditions in and/or affecting the process system. | 08-26-2010 |
20100215842 | TANTALUM AMIDE COMPLEXES FOR DEPOSITING TANTALUM-CONTAINING FILMS, AND METHOD OF MAKING SAME - Tantalum precursors useful in depositing tantalum nitride or tantalum oxides materials on substrates, by processes such as chemical vapor deposition and atomic layer deposition. The precursors are useful in forming tantalum-based diffusion barrier layers on microelectronic device structures featuring copper metallization and/or ferroelectric thin films. | 08-26-2010 |
20100213083 | Fluid Storage and Dispensing Systems, and Fluid Supply Processes Comprising Same - Fluid storage and dispensing systems, and processes for supplying fluids for use thereof. Various arrangements of fluid storage and dispensing systems are described, involving permutations of the physical sorbent-containing fluid storage and dispensing vessels and internal regulator-equipped fluid storage and dispensing vessels. The systems and processes are applicable to a wide variety of end-use applications, including storage and dispensing of hazardous fluids with enhanced safety. In a specific end-use application, reagent gas is dispensed to a semiconductor manufacturing facility from a large-scale, fixedly positioned fluid storage and dispensing vessel containing physical sorbent holding gas at subatmospheric pressure, with such vessel being refillable from a safe gas source of refill gas, as disclosed herein. | 08-26-2010 |
20100209610 | GROUP IV COMPLEXES AS CVD AND ALD PRECURSORS FOR FORMING METAL-CONTAINING THIN FILMS - A metal precursor, selected from among: (i) precursors of the formula (NR | 08-19-2010 |
20100209598 | IN SITU GENERATION OF RuO4 FOR ALD OF Ru AND Ru RELATED MATERIALS - Apparatus and method for generating ruthenium tetraoxide in situ for use in vapor deposition, e.g., atomic layer deposition (ALD), of ruthenium-containing films on microelectronic device substrates. The ruthenium tetraoxide can be generated on demand by reaction of ruthenium or ruthenium dioxide with an oxic gas such as oxygen or ozone. In one implementation, ruthenium tetraoxide thus generated is utilized with a strontium organometallic precursor for atomic layer deposition of strontium ruthenate films of extremely high smoothness and purity. | 08-19-2010 |
20100209596 | SCALABLE LEAD ZIRCONIUM TITANATE (PZT) THIN FILM MATERIAL AND DEPOSITION METHOD, AND FERROELECTRIC MEMORY DEVICE STRUCTURES COMPRISING SUCH THIN FILM MATERIAL - A novel lead zirconium titanate (PZT) material having unique properties and application for PZT thin film capacitors and ferroelectric capacitor structures, e.g., FeRAMs, employing such thin film material. The PZT material is scalable, being dimensionally scalable, pulse length scalable and/or E-field scalable in character, and is useful for ferroelectric capacitors over a wide range of thicknesses, e.g., from about 20 nanometers to about 150 nanometers, and a range of lateral dimensions extending to as low as 0.15 μm. Corresponding capacitor areas (i.e., lateral scaling) in a preferred embodiment are in the range of from about 10 | 08-19-2010 |
20100180955 | GAS DELIVERY SYSTEM WITH INTEGRATED VALVE MANIFOLD FUNCTIONALITY FOR SUB-ATMOSPHERIC AND SUPER-ATMOSPHERIC PRESSURE APPLICATIONS - A gas cabinet including an enclosure containing at least one gas supply vessel and flow circuitry coupled to the gas supply vessel(s). The flow circuitry is constructed and arranged to flow dispensed gas from an on-stream gas supply vessel to multiple sticks of the flow circuitry, with each of the multiple sticks being joined in gas flow communication to a respective gas-utilizing process unit. The flow circuitry is valved to enable sections of the flow circuitry associated with respective ones of the multiple sticks to be isolated from other sections of the flow circuitry, so that process gas can be flowed to one or more of the sticks, while other sticks are being evacuated and purged, or otherwise are closed to dispensed gas flow therethrough. | 07-22-2010 |
20100176082 | COMPOSITIONS AND METHODS FOR THE SELECTIVE REMOVAL OF SILICON NITRIDE - Compositions useful for the selective removal of silicon nitride materials relative to poly-silicon, silicon oxide materials and/or silicide materials from a microelectronic device having same thereon. The removal compositions include fluorosilicic acid, silicic acid, and at least one organic solvent. Typical process temperatures are less than about 100° C. and typical selectivity for nitride versus oxide etch is about 200:1 to about 2000:1. Under typical process conditions, nickel-based silicides as well as titanium and tantalum nitrides are largely unaffected, and polysilicon etch rates are less than about 1 Å min | 07-15-2010 |
20100164057 | PRECURSORS FOR SILICON DIOXIDE GAP FILL - A full fill trench structure comprising a microelectronic device substrate having a high aspect ratio trench therein and a full filled mass of silicon dioxide in the trench, wherein the silicon dioxide is of a substantially void-free character and has a substantially uniform density throughout its bulk mass. A corresponding method of manufacturing a semiconductor product is described, involving use of specific silicon precursor compositions for use in full filling a trench of a microelectronic device substrate, in which the silicon dioxide precursor composition is processed to conduct hydrolysis and condensation reactions for forming the substantially void-free and substantially uniform density silicon dioxide material in the trench. The fill process may be carried out with a precursor fill composition including silicon and germanium, to produce a microelectronic device structure including a GeO | 07-01-2010 |
20100163788 | LIQUID CLEANER FOR THE REMOVAL OF POST-ETCH RESIDUES - Cleaning compositions and processes for cleaning post-plasma etch residue from a microelectronic device having said residue thereon. The composition achieves highly efficacious cleaning of the residue material, including titanium-containing, copper-containing, tungsten-containing, and/or cobalt-containing post-etch residue from the microelectronic device while simultaneously not damaging the interlevel dielectric, metal interconnect material, and/or capping layers also present thereon. In addition, the composition may be useful for the removal of titanium nitride layers from a microelectronic device having same thereon. | 07-01-2010 |
20100154835 | CLEANING OF SEMICONDUCTOR PROCESSING SYSTEMS - A method and apparatus for cleaning residue from components of semiconductor processing systems used in the fabrication of microelectronic devices. To effectively remove residue, the components are contacted with a gas-phase reactive material for sufficient time and under sufficient conditions to at least partially remove the residue. When the residue and the material from which the components are constructed are different, the gas-phase reactive material is selectively reactive with the residue and minimally reactive with the materials from which the components of the ion implanter are constructed. When the residue and the material from which the components are constructed is the same, then the gas-phase reactive material may be reactive with both the residue and the component part. Particularly preferred gas-phase reactive materials utilized comprise gaseous compounds such as XeF | 06-24-2010 |
20100152891 | LIQUID HANDLING SYSTEM WITH ELECTRONIC INFORMATION STORAGE - An electronic storage device is coupled with a container capable of holding liquid for electronically storing information relating to the liquid stored in the container. The system can be configured with an antenna, for storing information to and reading information from the electronic storage device. A microprocessor-based controller, coupled with the antenna, may be employed for controlling processing of the liquid based on information read from the electronic storage device by the antenna. A connector of a secure reader system having a reader is provided to physically couple to a container having an information storing mechanism, for periodically reading information from an information storing mechanism. The connector may draw material from the container simultaneous with the reading. | 06-17-2010 |
20100139369 | FEEDBACK CONTROL SYSTEM AND METHOD FOR MAINTAINING CONSTANT RESISTANCE OPERATION OF ELECTRICALLY HEATED ELEMENTS - A system and method for controlling electrical heating of an element to maintain a constant electrical resistance, by adjusting electrical power supplied to such element according to an adaptive feedback control algorithm, in which all the parameters are (1) arbitrarily selected; (2) pre-determined by the physical properties of the controlled element; or (3) measured in real time. Unlike the conventional proportion-integral-derivative (PID) control mechanism, the system and method of the present invention do not require re-tuning of proportionality constants when used in connection with a different controlled element or under different operating conditions, and are therefore adaptive to changes in the controlled element and the operating conditions. | 06-10-2010 |
20100133689 | COPPER (I) COMPOUNDS USEFUL AS DEPOSITION PRECURSORS OF COPPER THIN FILMS - Copper (I) amidinate precursors for forming copper thin films in the manufacture of semiconductor devices, and a method of depositing the copper (I) amidinate precursors on substrates using chemical vapor deposition or atomic layer deposition processes. | 06-03-2010 |
20100133292 | LIQUID DISPENSING SYSTEMS ENCOMPASSING GAS REMOVAL - Systems are described for delivery of a wide variety of materials in which liquid and gas or vapor states are concurrently present, from a package preferably including a fluid-containing collapsible liner. Headspace gas is removed from a pressure dispensing package prior to liquid dispensation therefrom, and ingress gas is removed thereafter during dispensation operation. At least one sensor senses presence of gas or a gas-liquid interface in a reservoir or gas-liquid separation region. A gas removal system including an integral reservoir, at least one sensor, and at least one flow control elements may be included within a connector adapted to mate with a pressure dispensing package, for highly efficient removal of gas from the liquid being dispensed from the container. | 06-03-2010 |
20100128555 | SYSTEMS AND METHODS FOR MATERIAL BLENDING AND DISTRIBUTION - Systems and methods for mixing pure feed materials utilizes a first and a second compressible volume, such as defined in first and second liner-based containers adapted for pressure dispensation, wherein such materials are supplied to a mixing apparatus (e.g., reversible-flow or circulatable flow apparatus) adapted to form and maintain a mixture or suspension. Multiple redundant groups of containers may be used to enable mixing of contents of one group while contents of another group are being dispensed, to provide uninterrupted delivery of feed material to a process. Sensors adapted to generate signals indicative of flow, weight/mass, particle size distribution, concentration, and other material properties may be provided. | 05-27-2010 |
20100112815 | FLUID STORAGE AND DISPENSING SYSTEMS AND PROCESSES - Fluid storage and dispensing systems and processes involving various devices, structures and arrangements, as well as techniques and methods, for fluid storage and dispensing, including, without limitation, pre-connect verification couplings that are usefully employed in application to fluid storage and dispensing packages, to ensure proper coupling and avoid fluid contamination issues, empty detect systems that are usefully employed for fluid storage and dispensing packages incorporating liners that are pressure-compressed in the fluid dispensing operation, ergonomically enhanced structures for facilitating removal of a dispense connector from a capped vessel, cap integrity assurance systems for preventing misuse of vessel caps, and keycoding systems for ensuring coupling of proper dispense assemblies and vessels. Fluid storage and dispensing systems are described, which achieve zero or near-zero headspace character, and prevent or ameliorate solubilization effects in liquid dispensing from liners in overpack vessels. | 05-06-2010 |
20100112795 | METHOD OF FORMING ULTRA-SHALLOW JUNCTIONS FOR SEMICONDUCTOR DEVICES - A first method for producing a doped region in a semiconductor substrate includes performing a first implant step in which a carborane cluster molecule is implanted into a semiconductor substrate to form a doped region. A second method for producing a semiconductor device having a shallow junction region includes providing a first gas and a second gas in a container. The first gas includes a first dopant and the second gas includes a second dopant. The second method also includes implanting the first and second dopants into a semiconductor substrate using an ion. The ion source is not turned off between the steps of implanting the first dopant and implanting the second dopant. | 05-06-2010 |
20100112728 | METHODS FOR STRIPPING MATERIAL FOR WAFER RECLAMATION - Removal compositions and processes for removing at least one material layer from a rejected microelectronic device structure having same thereon. The removal composition includes hydrofluoric acid. The composition achieves substantial removal of the material(s) to be removed while not damaging the layers to be retained, for reclaiming, reworking, recycling and/or reuse of said structure. | 05-06-2010 |
20100112211 | ZIRCONIUM, HAFNIUM, TITANIUM, AND SILICON PRECURSORS FOR ALD/CVD - Zirconium, hafnium, titanium and silicon precursors useful for atomic layer deposition (ALD) and chemical vapor deposition (CVD) of corresponding zirconium-containing, hafnium-containing, titanium-containing and silicon-containing films, respectively. The disclosed precursors achieve highly conformal deposited films characterized by minimal carbon incorporation. | 05-06-2010 |
20100095865 | PRECURSOR COMPOSITIONS FOR ALD/CVD OF GROUP II RUTHENATE THIN FILMS - Precursor compositions useful for atomic layer deposition (ALD) and chemical vapor deposition (CVD) of strontium ruthenium oxide (SrRuO | 04-22-2010 |
20100087065 | STABILIZATION OF POLYMER-SILICA DISPERSIONS FOR CHEMICAL MECHANICAL POLISHING SLURRY APPLICATIONS - Chemical mechanical polishing (CMP) compositions and single CMP platen process for the removal of copper and barrier layer material from a microelectronic device substrate having same thereon. The process includes the in situ transformation of a copper removal CMP composition, which is used to selectively remove and planarize copper, into a barrier removal CMP composition, which is used to selectively remove barrier layer material, on a single CMP platen pad. | 04-08-2010 |
20100068894 | COMPOSITION AND METHOD FOR LOW TEMPERATURE CHEMICAL VAPOR DEPOSITION OF SILICON-CONTAINING FILMS INCLUDING SILICON CARBONITRIDE AND SILICON OXYCARBONITRIDE FILMS - Silicon precursors for forming silicon-containing films in the manufacture of semiconductor devices, such as films including silicon carbonitride, silicon oxycarbonitride, and silicon nitride (Si | 03-18-2010 |
20100065530 | COMPOSITION AND PROCESS FOR THE SELECTIVE REMOVE OF TiSiN - An aqueous removal composition and process for removing heater material, including TiSiN, from a microelectronic device having said material thereon. The aqueous removal composition includes at least one fluoride source, at least one passivating agent, and at least one oxidizing agent. The composition selectively removes TiSiN relative to oxides and nitrides that are adjacently present. | 03-18-2010 |
20100062150 | PRECURSOR COMPOSITIONS FOR ATOMIC LAYER DEPOSITION AND CHEMICAL VAPOR DEPOSITION OF TITANATE, LANTHANATE, AND TANTALATE DIELECTRIC FILMS - Barium, strontium, tantalum and lanthanum precursor compositions useful for atomic layer deposition (ALD) and chemical vapor deposition (CVD) of titanate thin films. The precursors have the formula M(Cp) | 03-11-2010 |
20100059694 | PRESSURE-BASED GAS DELIVERY SYSTEM AND METHOD FOR REDUCING RISKS ASSOCIATED WITH STORAGE AND DELIVERY OF HIGH PRESSURE GASES - Apparatus and method for dispensing a gas using a gas source coupled in selective flow relationship with a gas manifold. The gas manifold includes flow circuitry for discharging gas to a gas-using zone, and the gas source includes a pressure-regulated gas source vessel containing the gas at superatmospheric pressure. The pressure-regulated gas source vessel can be arranged with a pressure regulator at or within the vessel and a flow control valve coupled in flow relationship to the vessel, so that gas dispensed from the vessel flows through the regulator prior to flow through the flow control valve, and into the gas manifold. The apparatus and method permit an enhancement of the safety of storage and dispensing of toxic or otherwise hazardous gases used in semiconductor processes. | 03-11-2010 |
20100056410 | COMPOSITIONS AND METHODS FOR THE REMOVAL OF PHOTORESIST FOR A WAFER REWORK APPLICATION - Compositions useful in reworking microelectronic device wafers, i.e., removing photoresist from rejected wafers, without damaging underlying layers and structures such as cap layers, interlevel dielectric layers, etch stop layers and metal interconnect material. The semi-aqueous compositions include at least one alkali and/or alkaline earth metal basic salt, at least one organic solvent, water, optionally at least one quaternary ammonium basic salt, optionally at least one metal corrosion inhibitor and optionally at least one water-soluble polymer surfactant. | 03-04-2010 |
20100035785 | AQUEOUS CLEANING COMPOSITION CONTAINING COPPER-SPECIFIC CORROSION INHIBITOR FOR CLEANING INORGANIC RESIDUES ON SEMICONDUCTOR SUBSTRATE - A semiconductor wafer cleaning formulation, including 1-35% wt. fluoride source, 20-60% wt. organic amine(s), 0.1-40% wt. nitrogenous component, e.g., a nitrogen-containing carboxylic acid or an imine, 20-50% wt. water, and 0-21% wt. metal chelating agent(s). The formulations are useful to remove residue from wafers following a resist plasma ashing step, such as inorganic residue from semiconductor wafers containing delicate copper interconnecting structures. | 02-11-2010 |
20100025430 | PREVENTION OF LINER CHOKE-OFF IN LINER-BASED PRESSURE DISPENSATION SYSTEM - To avoid problems associated with choke-off of a collapsible liner (e.g., disposed within a rigid overpack) during pressure dispensing of fluid therefrom, a pressure dispense package includes a choke prevention element comprising any of a perforated flange within the liner, a channel-defining flange within the liner, a film defining at least one liquid channel along an inner surface of the liner, a radial stiffening element coupled to the liner, an orifice-defining hollow internal support disposed within the liner, one or more magnetic and complementary magnetically responsive elements associated with the liner and surrounding container, or differential collapse characteristics between liner panels. Methods for preventing choke-off of a collapsible liner adapted for pressure dispensing are also provided. | 02-04-2010 |
20100018439 | PRECURSORS FOR CVD/ALD OF METAL-CONTAINING FILMS - Precursors useful for vapor phase deposition processes, e.g., CVD/ALD, to form metal-containing films on substrates. The precursors include, in one class, a central metal atom M to which is coordinated at least one ligand of formula (I): | 01-28-2010 |
20100004772 | SYSTEMS AND METHODS FOR MANAGING MATERIAL STORAGE VESSELS HAVING INFORMATION STORAGE ELEMENTS - Material management systems and methods include material storage vessels with information (e.g., electronic information) storage. Information may be communicated from a storage device to a process tool controller and employed to set or adjust a process tool operating parameter. Material information may be determined by remote analysis and subsequently communicated to an electronic information storage device of a vessel containing such material. Location and movement of material storage vessels within a customer facility may be automatically tracked, with further transfer of material-specific information. Product information may be associatively stored with material-specific information utilized in product manufacture. | 01-07-2010 |
20090323466 | SYSTEMS AND DEVICES FOR MIXING SUBSTANCES AND METHODS OF MAKING SAME - A mixing apparatus including a kinetic energy source, a mixing tank, a pivot guide, and transfer shaft is used to drive a mixing paddle through a circular path within a tank without substantial shaft rotation. Sleeved and sleeveless mixing paddles are provided in combination with sealable mixing tanks. A volumetric compensation system responsive to tank wall deflection is used to maintain the internal volume of a mixing tank within predetermined limits. One mixing apparatus includes multiple mixing shafts and paddles coupled to at least one kinetic energy source. Methods for fabricating sleeved paddle-containing mixing apparatuses are further provided. | 12-31-2009 |
20090314798 | LINER-BASED LIQUID STORAGE AND DISPENSING SYSTEMS WITH EMPTY DETECTION CAPABILITY - Fluid supply systems for storage and dispensing of chemical reagents and compositions, e.g., high purity liquid reagents and chemical mechanical polishing compositions used to manufacture microelectronic device products, having capability for detection of an empty or near-empty condition when the contained liquid is at or approaching depletion during dispensing operation. Fluid delivery systems employing empty detect arrangements are described, including pressure transducer monitoring of dispensed material intermediate the supply package and a servo-hydraulic dispense pump, or monitoring of dispenser chamber replenishment times in a dispenser being replenished on a cyclic schedule to flow material from the dispenser to a downstream tool utilizing the dispensed material. | 12-24-2009 |
20090305458 | ANTIMONY AND GERMANIUM COMPLEXES USEFUL FOR CVD/ALD OF METAL THIN FILMS - Antimony, germanium and tellurium precursors useful for CVD/ALD of corresponding metal-containing thin films are described, along with compositions including such precursors, methods of making such precursors, and films and microelectronic device products manufactured using such precursors, as well as corresponding manufacturing methods. The precursors of the invention are useful for forming germanium-antimony-tellurium (GST) films and microelectronic device products, such as phase change memory devices, including such films. | 12-10-2009 |
20090305427 | APPARATUS AND PROCESS FOR SENSING FLUORO SPECIES IN SEMICONDUCTOR PROCESSING SYSTEMS - A gas detector and process for detecting a fluorine-containing species in a gas containing same, e.g., an effluent of a semiconductor processing tool undergoing etch cleaning with HF, NF | 12-10-2009 |
20090301996 | FORMULATIONS FOR REMOVING COOPER-CONTAINING POST-ETCH RESIDUE FROM MICROELECTRONIC DEVICES - A method and composition for removing copper-containing post-etch and/or post-ash residue from patterned microelectronic devices is described. The removal composition includes a diluent, a solvent and a copper corrosion inhibitor, wherein the diluent may be a dense fluid or a liquid solvent. The removal compositions effectively remove the copper-containing post-etch residue from the microelectronic device without damaging exposed low-k dielectric and metal interconnect materials. | 12-10-2009 |
20090297769 | BARRIER FLUOROPOLYMER FILM-BASED LINERS AND PACKAGING COMPRISING SAME - A container liner comprises at least one sheet bounded along portions thereof to form at least one peripheral seam, with the at least one sheet including a first fluoropolymer layer, a barrier film layer, and a third layer bonded along at least peripheral portions thereof. Any of such layers may be peripherally bonded to form a gap or pocket therebetween, or bonded along substantially entire major surfaces thereof. Surface modification may be employed to facilitate bonding of materials having otherwise dissimilar surface energies. The resulting liner is adapted for storing and dispensing high purity chemical reagents, e.g., by placing the liner in an overpack, and applying pressurizing gas to a space between the liner and the overpack for progressive compaction of the liner to dispense its contents. | 12-03-2009 |
20090281344 | COMPOSITION AND METHOD FOR LOW TEMPERATURE DEPOSITION OF SILICON-CONTAINING FILMS SUCH AS FILMS INCLUDING SILICON, SILICON NITRIDE, SILICON DIOXIDE AND/OR SILICON-OXYNITRIDE - Silicon precursors for forming silicon-containing films in the manufacture of semiconductor devices, such as low dielectric constant (k) thin films, high k gate silicates, low temperature silicon epitaxial films, and films containing silicon nitride (Si | 11-12-2009 |
20090281016 | LOW pH MIXTURES FOR THE REMOVAL OF HIGH DENSITY IMPLANTED RESIST - A method and low pH compositions for removing bulk and/or hardened photoresist material from microelectronic devices have been developed. The low pH compositions include at least one mineral acid and at least one oxidizing agent. The low pH compositions effectively remove the hardened photoresist material while not damaging the underlying silicon-containing layer(s). | 11-12-2009 |
20090275164 | BICYCLIC GUANIDINATES AND BRIDGING DIAMIDES AS CVD/ALD PRECURSORS - Precursors for use in depositing metal-containing films on substrates such as wafers or other microelectronic device substrates, as well as associated processes of making and using such precursors, and source packages of such precursors. The precursors are useful for depositing Ge | 11-05-2009 |
20090272272 | SEMICONDUCTOR MANUFACTURING FACILITY UTILIZING EXHAUST RECIRCULATION - A semiconductor manufacturing process facility requiring use therein of air exhaust for its operation, such facility including clean room and gray room components, with the clean room having at least one semiconductor manufacturing tool therein, and wherein air exhaust is flowed through a region of the clean room. The facility includes an air exhaust treatment apparatus arranged to (i) receive air exhaust after flow thereof through said region of said clean room, (ii) produce a treated air exhaust, and (iii) recirculate the treated air exhaust to an ambient air environment in the facility, e.g., to the gray room of the facility. | 11-05-2009 |
20090239777 | ANTIOXIDANTS FOR POST-CMP CLEANING FORMULATIONS - An cleaning composition and process for cleaning post-chemical mechanical polishing (CMP) residue and contaminants from a microelectronic device having said residue and contaminants thereon. The cleaning compositions include novel corrosion inhibitors. The composition achieves highly efficacious cleaning of the post-CMP residue and contaminant material from the surface of the microelectronic device without compromising the low-k dielectric material or the copper interconnect material. | 09-24-2009 |
20090215659 | COMPOSITION USEFUL FOR REMOVAL OF POST-ETCH PHOTORESIST AND BOTTOM ANTI-REFLECTION COATINGS - An aqueous-based composition and process for removing hardened photoresist and/or bottom anti-reflective coating (BARC) material from a microelectronic device having same thereon. The aqueous-based composition includes at least one chaotropic solute, at least one alkaline base, and deionized water. The composition achieves high-efficiency removal of hardened photoresist and/or BARC material in the manufacture of integrated circuitry without adverse effect to metal species on the substrate, such as copper, and without damage to low-k dielectric materials employed in the microelectronic device architecture. | 08-27-2009 |
20090215658 | OXIDIZING AQUEOUS CLEANER FOR THE REMOVAL OF POST-ETCH RESIDUES - An oxidizing aqueous cleaning composition and process for cleaning post-plasma etch residue and/or hardmask material from a microelectronic device having said residue thereon. The oxidizing aqueous cleaning composition includes at least one oxidizing agent, at least one oxidizing agent stabilizer comprising an amine species selected from the group consisting of primary amines, secondary amines, tertiary amines and amine-N-oxides, optionally at least one co-solvent, optionally at least one metal-chelating agent, optionally at least one buffering species, and water. The composition achieves highly efficacious cleaning of the residue material from the microelectronic device while simultaneously not damaging the interlevel dielectric and metal interconnect material also present thereon. | 08-27-2009 |