Lin, Tainan City
Cha-Hsin Lin, Tainan City TW
Patent application number | Description | Published |
---|---|---|
20090026438 | SOLID STATE ELECTROLYTE MEMORY DEVICE AND METHOD OF FABRICATING THE SAME - A method of fabricating a solid state electrolytes memory device is provided. An insulator layer is formed on a substrate. A conductive layer is formed on the insulator layer. At least two openings partially overlapped and capable of communicating with each other are formed in the conductive layer, so that the conductive layer forms at least a pair of tip electrodes. Thereafter, solid state electrolytes are filled in the openings. | 01-29-2009 |
20090114975 | SEMICONDUCTOR DEVICE - The present invention provides a semiconductor device, which includes a substrate and a sensing memory device. The substrate includes a metal-oxide-semiconductor transistor having a gate. The sensing memory device is disposed on the gate of the metal-oxide-semiconductor transistor and includes followings. The second conductive layer is covering the first conductive layer. The charge trapping layer is disposed between the first conductive layer and the second conductive layer, wherein the first conductive layer has a sensing region therein when charges stored in the charge trapping layer, and the sensing region is adjacent to the charge trapping layer. The first dielectric layer and the second dielectric layer are respectively disposed between the charge trapping layer and the first conductive layer and between the charge trapping layer and the second conductive layer, wherein a third dielectric layer is disposed between the gate and the sensing memory device. | 05-07-2009 |
20090122465 | ELECTROLYTE TRANSISTOR AND METHOD OF FABRICATING THE SAME - Electrolyte transistor including a gate structure, two sources/drains, an electrolyte layer and a buried conductive layer is provided. The gate structure including a gate dielectric layer and a gate is located above a substrate. The two sources/drains are separated from each other and located above the substrate on each side the gate structure. The electrolyte layer is located between and contacts the two sources/drains, and located between and contacts the gate structure and the substrate. The buried conductive layer is located between the electrolyte layer and the substrate. The electrolyte layer between the two sources/drains includes a channel. The conductivity of the electrolyte layer between the two sources/drains is changed by a redox reaction, so as to turn on or turn off the channel. | 05-14-2009 |
20090124483 | METAL COMPOUND DOTS DIELECTRIC PIECE - A dielectric piece includes an energy barrier layer and a plurality of crystalline metal compound dots distributed in the energy barrier layer. The material of the crystalline metal compound dots is different from that of the energy barrier layer. Due to its capability of retaining charges, the dielectric piece of the present invention meets the requirements of semiconductor devices in this and the next generation so as to be applied to complementary metal oxide semiconductors (CMOS), non-volatile memory devices, or capacitors as inter-gate dielectric layers, charge storage layers, or dielectric layers of capacitors. | 05-14-2009 |
20100163829 | CONDUCTIVE BRIDGING RANDOM ACCESS MEMORY DEVICE AND METHOD OF MANUFACTURING THE SAME - A conductive bridging random access memory (CBRAM) device and a method of manufacturing the same are provided. The CBRAM device includes a first electrode layer, a dielectric layer, a solid electrolyte layer, a second electrode layer and a metal layer. The solid electrolyte layer is located on the first electrode layer. The second electrode layer is located on the solid electrolyte layer. The metal layer is located near the solid electrolyte layer. The dielectric layer is located between the solid electrolyte layer and the metal layer. Since the metal layer is disposed near the solid electrolyte layer in the CBRAM device, it can generate a positive electric field during an erase operation, so as to accelerate a break of mutually connected metal filaments. | 07-01-2010 |
20100164062 | METHOD OF MANUFACTURING THROUGH-SILICON-VIA AND THROUGH-SILICON-VIA STRUCTURE - A method of manufacturing through-silicon-via (TSV) and a TSV structure are provided. The TSV structure includes a silicon substrate, an annular capacitor, a conductive through-via, a layer of low-k material, and a bump. The annular capacitor is within the silicon substrate and constituted of a first conductive layer, a capacitor dielectric layer, and a second conductive layer from the inside to the outside. The conductive through-via is disposed in the silicon substrate surrounded by the annular capacitor, and the layer of low-k material is between the annular capacitor and the conductive through-via. The bump is in touch with the conductive through-via for bonding other chip. | 07-01-2010 |
20120119375 | SEMICONDUCTOR STRUCTURE AND MANUFACTURING METHOD THEREOF - In a manufacturing method of a semiconductor structure, a substrate having a front surface and a back surface is provided. The front surface has a device layer thereon and conductive plugs electrically connected to the device layer. A thinning process is performed on the back surface of the substrate, such that the back surface of the substrate and surfaces of the conductive plugs have a distance therebetween. Holes are formed in the substrate from the back surface to the conductive plugs, so as to form a porous film. An oxidization process is performed, such that the porous film correspondingly is reacted to form an oxide material layer. A polishing process is performed on the oxide material layer to expose the surfaces of the conductive plugs. | 05-17-2012 |
20120127625 | TRENCH CAPACITOR STRUCTURES AND METHOD OF MANUFACTURING THE SAME - A trench capacitor structure is provided. The trench capacitor structure includes a substrate, a trench formed in the substrate, a plurality of scallops formed in the sidewalls of the trench, and at least one capacitor formed within at least one of the scallops. The disclosure also provides a method of manufacturing the trench capacitor structure. | 05-24-2012 |
20120133030 | TSV SUBSTRATE STRUCTURE AND THE STACKED ASSEMBLY THEREOF - The disclosure provides a TSV substrate structure and the stacked assembly of a plurality of the substrate structures, the TSV substrate structure including: a substrate comprising a first surface, a corresponding second surface, and a TSV communicating the first surface with the second surface through the substrate; and a conductor unit completely filling the TSV, the conductor unit comprising a conductor body which has a first and a second ends corresponding to the first and second surfaces of the substrate, respectively. | 05-31-2012 |
20120139105 | SEMICONDUCTOR STRUCTURE AND MANUFACTURING METHOD THEREOF - A manufacturing method of a semiconductor structure includes providing a substrate having an upper surface and a bottom surface. First openings are formed in the substrate. An oxidization process is performed to oxidize the substrate having the first openings therein to form an oxide-containing material layer, and the oxide-containing material layer has second openings therein. A conductive material is filled into the second openings to form conductive plugs. A first device layer is formed a first surface of the oxide-containing material layer, and is partially or fully electrically connected to the conductive plugs. A second device layer is formed on a second surface of the oxide-containing material layer, and is partially or fully electrically connected to the conductive plugs. | 06-07-2012 |
20120142184 | MANUFACTURING METHOD OF SEMICONDUCTOR STRUCTURE - A manufacturing method of a semiconductor structure includes providing a substrate having an upper surface and a bottom surface. First openings are formed in the substrate. An oxidization process is performed to oxidize the substrate having the first openings therein to form an oxide-containing material layer, and the oxide-containing material layer has second openings therein. A conductive material is filled into the second openings to form conductive plugs. A first device layer is formed a first surface of the oxide-containing material layer, and is partially or fully electrically connected to the conductive plugs. A second device layer is formed on a second surface of the oxide-containing material layer, and is partially or fully electrically connected to the conductive plugs. | 06-07-2012 |
20120322249 | MANUFACTURING METHOD OF SEMICONDUCTOR STRUCTURE - In a manufacturing method of a semiconductor structure, a substrate having a front surface and a back surface is provided. The front surface has a device layer thereon and conductive plugs electrically connected to the device layer. A thinning process is performed on the back surface of the substrate, such that the back surface of the substrate and surfaces of the conductive plugs have a distance therebetween. Holes are formed in the substrate from the back surface to the conductive plugs, so as to form a porous film. An oxidization process is performed, such that the porous film correspondingly is reacted to form an oxide material layer. A polishing process is performed on the oxide material layer to expose the surfaces of the conductive plugs. | 12-20-2012 |
20130214390 | TSV SUBSTRATE STRUCTURE AND THE STACKED ASSEMBLY THEREOF - The disclosure provides a TSV substrate structure and the stacked assembly of a plurality of the substrate structures, the TSV substrate structure including: a substrate comprising a first surface, a corresponding second surface, and a TSV communicating the first surface with the second surface through the substrate; and a conductor unit completely filling the TSV, the conductor unit comprising a conductor body which has a first and a second ends corresponding to the first and second surfaces of the substrate, respectively. | 08-22-2013 |
Chai-Chen Lin, Tainan City TW
Patent application number | Description | Published |
---|---|---|
20110121638 | Wheel spindle structure of bicycle - This invention relates to a wheel spindle structure of bicycle, in which a wheel spindle is inserted in wheel hub so as to fix wheel body. The wheel spindle, made by rigid titanium alloy, is cut to have a plurality of elastic recessed grooves provided around circumference and extended along the axial direction. By providing the elastic recessed grooves, rigidity of the wheel spindle is reduced so as to increase its elasticity. This results in high elastic force with slight elongation-contraction, whereby the wheel body can roll to move smoothly so as to remarkably increase the steering capability of bicycle. | 05-26-2011 |
Chao-Wei Lin, Tainan City TW
Patent application number | Description | Published |
---|---|---|
20090110508 | Screw Band - A screw band includes a plurality of interconnected anchoring seats allowing screws to extend respectively therethrough. Each of the anchoring seats includes a seat body having an inner hole formed therethrough, and a plurality of equidistant slots extending outwardly from the inner hole to define a plurality of clamping arms for clamping the corresponding screw thereamong. The clamping arms of each of the anchoring seats are formed pressing toward each other to define a screw clamping space thereamong. Each of the slots has a through slot portion extending outwardly from the corresponding inner hole and formed through the corresponding seat body, and a blind slot portion extending outwardly from an outer end of the through slot portion to allow the corresponding anchoring seat to be broken therealong when the corresponding screw is passed through the corresponding screw clamping space. | 04-30-2009 |
20090175702 | HIGH TORQUE TRANSMISSION FASTENER AND SOCKET THEREFOR - A fastener includes a driven head and a shank portion. The driven head has a top end, a bottom end, a plurality of flat guide surfaces connected between the top and bottom ends, a plurality of angularly spaced-apart rounded driven lobes each connected between two adjacent flat guide surfaces, and a flanged abutment section projecting outwardly and radially from the bottom end. Each flat guide surface is indented between two adjacent rounded driven lobes. The shank portion is connected to the driven head, and has a thread extending helically around an outer periphery of the shank portion. | 07-09-2009 |
Cheng-I Lin, Tainan City TW
Cheng-Pin Lin, Tainan City TW
Patent application number | Description | Published |
---|---|---|
20090116189 | Cooling System for a Computer Power Supply Unit - A cooling system for a computer power supply unit includes: a housing; a plurality of cooling fans; a temperature controller; at least one temperature sensor coupled electrically to the temperature controller; and a plurality of fan-driving circuits coupled electrically and respectively to the cooling fans and controlled by the temperature controller for activating and deactivating the cooling fans, respectively. The temperature controller is configured with different temperature settings, each corresponding to a number of the cooling fans, and controls the fan-driving circuits to activate a number of the cooling fans according to the temperature setting corresponding to a temperature detected by the temperature sensor. | 05-07-2009 |
Chieh-Wen Lin, Tainan City TW
Patent application number | Description | Published |
---|---|---|
20100288139 | FOOD SLICING DEVICE - The present invention discloses a food slicing device. In the present invention, the opening of the horizontal shell disposed horizontally is a material output port; a material-input tube is disposed on the upper part of the shell, and the material-input tube extends upward to form a material-input port; a cutter unit with the same direction to the opening disposed inside the horizontal shell; the rotating shaft protrudes out of the bottom of the shell; a protective cover is disposed on the material output port, whose ring is inserted in the material output port, and the top portion of said protective cover extends outward to form a tongue portion for covering up the opening of said material output port of the shell. So the problem that fingers of consumer are injured accidentally by rotating blade of the cutter is solved. | 11-18-2010 |
20140131493 | FOOD PROCESSOR - A food processor includes a base, a processing box and at least a cutter. The base is disposed with a motor inside, the motor is disposed with a rotating shaft; the processing box is assembled to the base and is disposed with a charging opening and a discharging opening. The cutter rotates synchronously with the rotating shaft of the motor, the cutter is assembled inside the processing box and is disposed with a cutter dish with several dropping grooves and several blades fixed on the cutter dish, the blade has several sets of cutter teeth arranged side by side, each set of cutter teeth has at least a large cutter tooth and at least a small cutter tooth. | 05-15-2014 |
Chih-Heng Lin, Tainan City TW
Patent application number | Description | Published |
---|---|---|
20090137947 | System and method of wireless physiological signal integration - A system of a wireless physiological signal integration is provided. The system includes a wireless transmission sensor chip and a drug delivering system, wherein the wireless transmission sensor chip includes a sensor sensing a physiological signal of a patient, a signal conversion module converting the physiological signal into a converted signal, and a wireless transmission module wirelessly transmitting the converted signal, and the drug delivering system determines a dose of a drug and a timing for providing the drug according to the converted signal. | 05-28-2009 |
Chin-Ching Lin, Tainan City TW
Patent application number | Description | Published |
---|---|---|
20100275826 | Method for Increasing Organic Carbon Content of Soil Employing Industrial Wastewater and Green Manure Crops - A method for increasing the organic carbon content of soil employing industrial wastewater and green manure crops comprises adding industrial wastewater containing cyclic phenolic substances or nitrogen compounds or a mixture of the above two kinds of industrial wastewater into the soil with the application of green manure crops to increase the organic carbon content of the soil and facilitate the humification thereby stabilizing the organic carbon in the soil. | 11-04-2010 |
Chin-Fu Lin, Tainan City TW
Patent application number | Description | Published |
---|---|---|
20110062524 | GATE STRUCTURES OF CMOS DEVICE AND METHOD FOR MANUFACTURING THE SAME - Gate structures of CMOS device and the method for manufacturing the same are provided. A substrate having an NMOS region, a PMOS region, and a work function modulation layer disposed on the NMOS region and the PMOS region is provided. A nitrogen doping process is performed to dope nitrogen into a portion of the work function modulation layer disposed on the PMOS region so as to form an N-rich work function modulation layer disposed on the PMOS region. A nonmetallic conductive layer is formed blanketly covering the work function modulation layer and the N-rich work function modulation layer. A portion of the nonmetallic conductive layer, the work function modulation layer, and the N-rich work function modulation layer is removed to form a first gate in the NMOS region and a second gate in the PMOS region. | 03-17-2011 |
20110127589 | SEMICONDUCTOR STRUCTURE HAIVNG A METAL GATE AND METHOD OF FORMING THE SAME - A method of forming a semiconductor structure having a metal gate. Firstly, a semiconductor substrate is provided. Subsequently, at least a gate structure is formed on the semiconductor substrate. Afterwards, a spacer structure is formed to surround the gate structure. Then, an interlayer dielectric is formed. Afterwards, a planarization process is performed for the interlayer dielectric. Then, a portion of the sacrificial layer is removed to form an initial etching depth, such that an opening is formed to expose a portion of the spacer structure. The portion of the spacer structure exposed to the opening is removed so as to broaden the opening. Afterwards, remove the sacrificial layer completely via the opening. Finally, a gate conductive layer is formed to fill the opening. | 06-02-2011 |
20110140207 | METAL GATE STRUCTURE AND METHOD OF FORMING THE SAME - The metal gate structure of the present invention can include a TiN complex, and the N/Ti proportion of the TiN complex is decreased from bottom to top. In one embodiment, the TiN complex can include a single TiN layer, which has an N/Ti proportion gradually decreasing from bottom to top. In another embodiment, the TiN complex can include a plurality of TiN layers stacking together. In such a case, the lowest TiN layer has a higher N/Ti proportion than the adjusted TiN layer. | 06-16-2011 |
20120115284 | METHOD FOR MANUFACTURING MULTI-GATE TRANSISTOR DEVICE - A method for manufacturing a multi-gate transistor device includes providing a semiconductor substrate having a first patterned semiconductor layer formed thereon, sequentially forming a gate dielectric layer and a gate layer covering a portion of the first patterned semiconductor layer on the semiconductor substrate, removing a portion of the first patterned semiconductor layer to form a second patterned semiconductor layer, and performing a selective epitaxial growth process to form an epitaxial layer on a surface of the second patterned semiconductor layer. | 05-10-2012 |
20120196410 | METHOD FOR FABRICATING FIN FIELD EFFECT TRANSISTOR - A method for fabricating a fin-FET, wherein the method comprises several steps as follows: A substrate is first provided, and a silicon fin is then formed in the substrate. Next a dielectric layer is formed on the silicon fin and the substrate. A poly silicon layer is subsequently formed on the dielectric layer, and the poly silicon layer is then planarized. Subsequently, a poly silicon gate is formed and a portion of the silicon fin is exposed by patterning the planarized poly silicon layer. A source and a drain are separately formed on two opposite sides of the exposed silicon fin adjacent to the poly silicon gate. | 08-02-2012 |
20120223397 | METAL GATE STRUCTURE AND MANUFACTURING METHOD THEREOF - A method for manufacturing a metal gate structure includes providing a substrate having a high-K gate dielectric layer and a bottom barrier layer sequentially formed thereon, forming a work function metal layer on the substrate, and performing an anneal treatment to the work function metal layer in-situ. | 09-06-2012 |
20120241863 | FIN FIELD-EFFECT TRANSISTOR STRUCTURE AND MANUFACTURING PROCESS THEREOF - A fin field-effect transistor structure includes a substrate, a fin channel and a high-k metal gate. The high-k metal gate is formed on the substrate and the fin channel. A process of manufacturing the fin field-effect transistor structure includes the following steps. Firstly, a polysilicon pseudo gate structure is formed on the substrate and a surface of the fin channel. By using the polysilicon pseudo gate structure as a mask, a source/drain region is formed in the fin channel. After the polysilicon pseudo gate structure is removed, a high-k dielectric layer and a metal gate layer are successively formed. Afterwards, a planarization process is performed on the substrate having the metal gate layer until the first dielectric layer is exposed, so that a high-k metal gate is produced. | 09-27-2012 |
20120248507 | METAL GATE STRUCTURE AND MANUFACTURING METHOD THEREOF - A manufacturing method of a metal gate structure includes providing a substrate having at least a first metal oxide layer formed thereon, and transferring the surface of the first metal oxide layer into a second metal oxide layer. The first metal oxide layer includes a metal oxide (M | 10-04-2012 |
20120256275 | METAL GATE STRUCTURE AND MANUFACTURING METHOD THEREOF - A manufacturing method of a metal gate structure includes first providing a substrate having a dummy gate formed thereon. The dummy gate includes a high-K gate dielectric layer, a bottom barrier layer, a first etch stop layer and a sacrificial layer sequentially and upwardly stacked on the substrate. Then, the sacrificial layer is removed to form a gate trench with the first etch stop layer exposed on the bottom of the gate trench. After forming the gate trench, a first work function metal layer is formed in the gate trench. | 10-11-2012 |
20120261770 | METAL GATE STRUCTURE - A metal gate structure includes a high-K gate dielectric layer, an N-containing layer, a work function metal layer, and an N-trapping layer. The N-containing layer is positioned between the work function metal layer and the high-K gate dielectric layer. The N-trapping layer is positioned between the work function metal layer and the high-K gate dielectric layer, and the N-trapping layer contains no nitrogen or low-concentration nitrogen. | 10-18-2012 |
20120264306 | Method of Forming Opening on Semiconductor Substrate - The present invention provides a method of forming an opening on a semiconductor substrate. First, a substrate is provided. Then a dielectric layer and a cap layer are formed on the substrate. A ratio of a thickness of the dielectric layer and a thickness of the cap layer is substantially between 15 and 1.5. Next, a patterned boron nitride layer is formed on the cap layer. Lastly, an etching process is performed by using the patterned hard mask as a mask to etch the cap layer and the dielectric layer so as to form an opening in the cap layer and the dielectric layer. | 10-18-2012 |
20120270389 | METHOD FOR MANUFACTURING INTERCONNECTION STRUCTURE AND OF METAL NITRIDE LAYER THEREOF - A method for manufacturing a metal nitride layer including the following steps is provided. Firstly, a substrate is provided. Then, a physical vapor deposition process is performed at a temperature between 210° C. and 390° C. to form a metal nitride layer on the substrate. Also, the physical vapor deposition process can be performed on a pressure between 21 mTorr and 91 mTorr. The method can be used in the manufacturing process of an interconnection structure for decreasing the film stress of the metal nitride layer. Therefore, the interconnection structure can be prevented from line distortion and film collapse. | 10-25-2012 |
20120273902 | GATE STACK STRUCTURE WITH ETCH STOP LAYER AND MANUFACTURING PROCESS THEREOF - A gate stack structure with an etch stop layer is provided. The gate stack structure is formed over a substrate. A spacer is formed on a sidewall of the gate stack structure. The gate stack structure includes a gate dielectric layer, a barrier layer, a repair layer and the etch stop layer. The gate dielectric layer is formed on the substrate. The barrier layer is formed on the gate dielectric layer. The barrier layer and an inner sidewall of the spacer collectively define a trench. The repair layer is formed on the barrier layer and an inner wall of the trench. The etch stop layer is formed on the repair layer. | 11-01-2012 |
20120282783 | METHOD FOR FABRICATING HIGH-K DIELECTRIC LAYER - A method for fabricating high-k dielectric layer is disclosed. The method includes the steps of: providing a substrate; and forming a plurality of high-k dielectric layers by using a plurality of reacting gases to perform a plurality of process stages on the surface of the substrate, wherein at least one of the reacting gases comprises different flow rate in the fabrication stages. | 11-08-2012 |
20120292721 | SEMICONDUCTOR DEVICE AND METHOD OF FABRICATING THE SAME - A method of fabricating a semiconductor device includes following steps. A substrate is provided, wherein a first dielectric layer having a trench therein is formed on the substrate, a source/drain region is formed in the substrate at two sides of the trench, and a second dielectric layer is formed on the substrate in the trench. A first physical vapor deposition process is performed to form a Ti-containing metal layer in the trench. A second physical vapor deposition process is performed to form an Al layer on the Ti-containing metal layer in the trench. A thermal process is performed to anneal the Ti-containing metal layer and the Al layer so as to form a work function metal layer. A metal layer is formed to fill the trench. | 11-22-2012 |
20120295437 | METHOD FOR FABRICATING THROUGH-SILICON VIA STRUCTURE - A method for fabricating through-silicon via structure is disclosed. The method includes the steps of: providing a semiconductor substrate; forming a through-silicon via in the semiconductor substrate; covering a liner in the through-silicon via; performing a baking process on the liner; forming a barrier layer on the liner; and forming a through-silicon via electrode in the through-silicon via. | 11-22-2012 |
20120305403 | Electrical Chemical Plating Process - An electrical chemical plating process is provided. A semiconductor structure is provided in an electrical plating platform. A pre-electrical-plating step is performed wherein the pre-electrical-plating step is carried out under a fixed voltage environment and lasts for 0.2 to 0.5 seconds after the current is above the threshold current of the electrical plating platform. After the pre-electrical-plating step, a first electrical plating step is performed on the semiconductor structure. | 12-06-2012 |
20120319179 | METAL GATE AND FABRICATION METHOD THEREOF - A metal gate includes a substrate, a gate dielectric layer, a work function metal layer, an aluminum nitride layer and a stop layer. The gate dielectric layer is located on the substrate. The work function metal layer is located on the gate dielectric layer. The aluminum nitride layer is located on the work function metal layer. The stop layer is located on the aluminum nitride layer. | 12-20-2012 |
20120319198 | SEMICONDUCTOR DEVICE AND FABRICATION METHOD THEREOF - A semiconductor device including a substrate, a spacer and a high-k dielectric layer having a U-shape profile is provided. The spacer located on the substrate surrounds and defines a trench. The high-k dielectric layer having a U-shape profile is located in the trench, and the high-k dielectric layer having a U-shape profile exposes an upper portion of the sidewalls of the trench. | 12-20-2012 |
20120322218 | METHOD FOR FABRICATING SEMICONDUCTOR DEVICE - A method for fabricating a semiconductor device includes the following steps. Firstly, a dummy gate structure having a dummy gate electrode layer is provided. Then, the dummy gate electrode layer is removed to form an opening in the dummy gate structure, thereby exposing an underlying layer beneath the dummy gate electrode layer. Then, an ammonium hydroxide treatment process is performed to treat the dummy gate structure. Afterwards, a metal material is filled into the opening. | 12-20-2012 |
20120322260 | THROUGH-SILICON VIA FORMING METHOD - A through-silicon via forming method includes the following steps. Firstly, a semiconductor substrate is provided. Then, a through-silicon via conductor is formed in the semiconductor substrate, and a topside of the through-silicon via conductor is allowed to be at the same level as a surface of the semiconductor substrate. Afterwards, a portion of the through-silicon via conductor is removed, and the topside of the through-silicon via conductor is allowed to be at a level lower than the surface of the semiconductor substrate, so that a recess is formed over the through-silicon via conductor. | 12-20-2012 |
20120326243 | TRANSISTOR HAVING ALUMINUM METAL GATE AND METHOD OF MAKING THE SAME - A transistor having an aluminum metal gate includes a substrate, a high-k gate dielectric layer, an aluminum metal gate and a source/drain region. The high-k gate dielectric layer is disposed on the substrate. The aluminum metal gate includes a work function tuning layer and an aluminum metal layer disposed orderly on the high-k gate dielectric layer, where the aluminum metal layer comprises a first aluminum metal layer and a second aluminum metal layer. Furthermore, the source/drain region is disposed in the substrate at each of two sides of the aluminum metal gate. | 12-27-2012 |
20130001707 | FABRICATING METHOD OF MOS TRANSISTOR, FIN FIELD-EFFECT TRANSISTOR AND FABRICATION METHOD THEREOF - A fabricating method of a MOS transistor includes the following steps. A substrate is provided. A gate dielectric layer is formed on the substrate. A nitridation process containing nitrogen plasma and helium gas is performed to nitride the gate dielectric layer. A fin field-effect transistor and fabrication method thereof are also provided. | 01-03-2013 |
20130009288 | SEMICONDUCTOR DEVICE AND METHOD FOR FABRICATING THE SAME - A method for fabricating a semiconductor device is disclosed. The method includes the steps of: providing a substrate; forming a dielectric layer on the substrate, wherein the dielectric layer comprises metal interconnects therein; forming a top metal layer on the dielectric layer; and forming a passivation layer on the top metal layer through high-density plasma chemical vapor deposition (HDPCVD) process. | 01-10-2013 |
20130037886 | SEMICONDUCTOR DEVICE AND METHOD OF MAKING THE SAME - A semiconductor device includes a semiconductor substrate, at least a first fin structure, at least a second fin structure, a first gate, a second gate, a first source/drain region and a second source/drain region. The semiconductor substrate has at least a first active region to dispose the first fin structure and at least a second active region to dispose the second fin structure. The first/second fin structure partially overlapped by the first/second gate has a first/second stress, and the first stress and the second stress are different from each other. The first/second source/drain region is disposed in the first/second fin structure at two sides of the first/second gate. | 02-14-2013 |
20130045579 | METHOD OF FORMING SEMICONDUCTOR DEVICE - A method of forming a semiconductor device includes the following steps. A semiconductor substrate having a first strained silicon layer is provided. Then, an insulating region such as a shallow trench isolation (STI) is formed, where a depth of the insulating region is substantially larger than a depth of the first strained silicon layer. Subsequently, the first strained silicon layer is removed, and a second strained silicon layer is formed to substitute the first strained silicon layer. | 02-21-2013 |
20130045595 | METHOD FOR PROCESSING METAL LAYER - The method for processing a metal layer including the following steps is illustrated. First, a semiconductor substrate is provided. Then, a metal layer is formed over the semiconductor substrate. Furthermore, a microwave energy is used to selectively heat the metal layer without affecting the underlying semiconductor substrate and other formed structures, in which the microwave energy has a predetermined frequency in accordance with a material of the metal layer, and the predetermined frequency ranges between 1 KHz to 1 MHz. | 02-21-2013 |
20130056827 | NON-PLANAR SEMICONDUCTOR STRUCTURE AND FABRICATION METHOD THEREOF - A non-planar semiconductor structure includes a substrate, at least two fin-shaped structures, at least an isolation structure, and a plurality of epitaxial layers. The fin-shaped structures are located on the substrate. The isolation structure is located between the fin-shaped structures, and the isolation structure has a nitrogen-containing layer. The epitaxial layers respectively cover a part of the fin-shaped structures and are located on the nitrogen-containing layer. A non-planar semiconductor process is also provided for forming the semiconductor structure. | 03-07-2013 |
20130078778 | SEMICONDUCTOR PROCESS - A semiconductor process is described as follows. A plurality of dummy patterns is formed on a substrate. A mask material layer is conformally formed on the substrate, so as to cover the dummy patterns. The mask material layer has an etching rate different from that of the dummy patterns. A portion of the mask material layer is removed, so as to form a mask layer on respective sidewalls of each dummy pattern. An upper surface of the mask layer and an upper surface of each dummy pattern are substantially coplanar. The dummy patterns are removed. A portion of the substrate is removed using the mask layer as a mask, so as to form a plurality of fin structures and a plurality of trenches alternately arranged in the substrate. The mask layer is removed. | 03-28-2013 |
20130078780 | SEMICONDUCTOR PROCESS - A semiconductor process includes the following steps. An interlayer is formed on a substrate. A first metallic oxide layer is formed on the interlayer. A reduction process is performed to reduce the first metallic oxide layer into a metal layer. A high temperature process is performed to transform the metal layer to a second metallic oxide layer. | 03-28-2013 |
20130087810 | FIN FIELD-EFFECT TRANSISTOR STRUCTURE - A fin field-effect transistor structure comprises a substrate, a fin channel, a source/drain region, a high-k metal gate and a plurality of slot contact structures. The fin channel is formed on the substrate. The source/drain region is formed in the fin channel. The high-k metal gate formed on the substrate and the fin channel comprises a high-k dielectric layer and a metal gate layer, wherein the high-k dielectric layer is arranged between the metal gate layer and the fin channel. The slot contact structures are disposed at both sides of the metal gate. | 04-11-2013 |
20130089957 | FIN FIELD-EFFECT TRANSISTOR STRUCTURE AND MANUFACTURING PROCESS THEREOF - A fin field-effect transistor structure includes a substrate, a fin channel and a high-k metal gate. The high-k metal gate is formed on the substrate and the fin channel. A process of manufacturing the fin field-effect transistor structure includes the following steps. Firstly, a polysilicon pseudo gate structure is formed on the substrate and a surface of the fin channel. By using the polysilicon pseudo gate structure as a mask, a source/drain region is formed in the fin channel. After the polysilicon pseudo gate structure is removed, a high-k dielectric layer and a metal gate layer are successively formed. Afterwards, a planarization process is performed on the substrate having the metal gate layer until the first dielectric layer is exposed, so that a high-k metal gate is produced. | 04-11-2013 |
20130154012 | MANUFACTURING METHOD FOR SEMICONDUCTOR DEVICE HAVING METAL GATE - A manufacturing method for semiconductor device having metal gate includes providing a substrate having a first semiconductor device and a second semiconductor device formed thereon, the first semiconductor device having a first gate trench and the second semiconductor device having a second gate trench; sequentially forming a high dielectric constant (high-k) gate dielectric layer and a multiple metal layer on the substrate; forming a first work function metal layer in the first gate trench; performing a first pull back step to remove a portion of the first work function metal layer from the first gate trench; forming a second work function metal layer in the first gate trench and the second gate trench; and performing a second pull back step to remove a portion of the second work function metal layer from the first gate trench and the second gate trench. | 06-20-2013 |
20130168744 | Semiconductor Device Having a Metal Gate and Fabricating Method Thereof - The present invention provides a method of forming a semiconductor device having a metal gate. A substrate is provided and a gate dielectric and a work function metal layer are formed thereon, wherein the work function metal layer is on the gate dielectric layer. Then, a top barrier layer is formed on the work function metal layer. The step of forming the top barrier layer includes increasing a concentration of a boundary protection material in the top barrier layer. Lastly, a metal layer is formed on the top barrier layer. The present invention further provides a semiconductor device having a metal gate. | 07-04-2013 |
20130178063 | METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE HAVING SILICON THROUGH VIA - A method of manufacturing semiconductor device having silicon through via is disclosed, and conductor can be fully filled in the silicon through via. First, a silicon substrate is provided. Then, the silicon substrate is etched to form a through silicon via (TSV), and the through silicon via extends down from a surface of the silicon substrate. Next, a barrier layer is formed on the silicon substrate and in the through silicon via. Then, a seed layer is formed on the barrier layer and in the through silicon via. Afterward, a wet treatment is performed on the seed layer over the silicon substrate and within the through silicon via. The through silicon via is then filled with a conductor. | 07-11-2013 |
20130193585 | Fabrication method and structure of through silicon via - A method of fabricating a through silicon via (TSV) structure, in which, a patterned mask is formed on a substrate, the patterned mask has an opening, a spacer-shaped structure is formed on a sidewall of the opening, and a via hole having a relatively enlarged opening is formed by etching the spacer-shaped structure and the substrate through the opening after the spacer-shaped structure is formed. A TSV structure, in which, a via hole has an opening portion and a body portion, the opening portion is a relatively enlarged opening and has a tapered shape having an opening size of an upper portion greater than an opening size of a lower portion. | 08-01-2013 |
20130207122 | METHOD FOR FABRICATING FINFETS AND SEMICONDUCTOR STRUCTURE FABRICATED USING THE METHOD - A method for fabricating FinFETs is described. A semiconductor substrate is patterned to form odd fins. Spacers are formed on the substrate and on the sidewalls of the odd fins, wherein each spacer has a substantially vertical sidewall. Even fins are then formed on the substrate between the spacers. A semiconductor structure for forming FinFETs is also described, which is fabricated using the above method. | 08-15-2013 |
20130214336 | METHOD FOR FILLING TRENCH WITH METAL LAYER AND SEMICONDUCTOR STRUCTURE FORMED BY USING THE SAME - A method for filling a trench with a metal layer is disclosed. A deposition apparatus having a plurality of supporting pins is provided. A substrate and a dielectric layer disposed thereon are provided. The dielectric layer has a trench. A first deposition process is performed immediately after the substrate is placed on the supporting pins to form a metal layer in the trench, wherein during the first deposition process a temperature of the substrate is gradually increased to reach a predetermined temperature. When the temperature of the substrate reaches the predetermined temperature, a second deposition process is performed to completely fill the trench with the metal layer. | 08-22-2013 |
20130228836 | NON-PLANAR SEMICONDUCTOR STRUCTURE - A non-planar semiconductor structure includes a substrate, at least two fin-shaped structures, at least an isolation structure, and a plurality of epitaxial layers. The fin-shaped structures are located on the substrate. The isolation structure is located between the fin-shaped structures, and the isolation structure has a nitrogen-containing layer. The epitaxial layers respectively cover a part of the fin-shaped structures and are located on the nitrogen-containing layer. Anon-planar semiconductor process is also provided for forming the semiconductor structure. | 09-05-2013 |
20130270612 | Non-Planar FET and Manufacturing Method Thereof - The present invention provides a non-planar FET which includes a substrate, a fin structure, a gate and a gate dielectric layer. The fin structure is disposed on the substrate. The fin structure includes a first portion adjacent to the substrate wherein the first portion shrinks towards a side of the substrate. The gate is disposed on the fin structure. The gate dielectric layer is disposed between the fin structure and the gate. The present invention further provides a method of manufacturing the non-planar FET. | 10-17-2013 |
20130320537 | THROUGH SILICON VIA (TSV) STRUCTURE AND PROCESS THEREOF - A through silicon via structure is located in a recess of a substrate. The through silicon via structure includes a barrier layer, a buffer layer and a conductive layer. The barrier layer covers a surface of the recess. The buffer layer covers the barrier layer. The conductive layer is located on the buffer layer and fills the recess, wherein the contact surface between the conductive layer and the buffer layer is smoother than the contact surface between the buffer layer and the barrier layer. Moreover, a through silicon via process forming said through silicon via structure is also provided. | 12-05-2013 |
20130330919 | MANUFACTURING PROCESS OF GATE STACK STRUCTURE WITH ETCH STOP LAYER - A manufacturing process of an etch stop layer is provided. The manufacturing process includes steps of providing a substrate; forming a gate stack structure over the substrate, wherein the gate stack structure at least comprises a dummy polysilicon layer and a barrier layer; removing the dummy polysilicon layer to define a trench and expose a surface of the barrier layer; forming a repair layer on the surface of the barrier layer and an inner wall of the trench; and forming an etch stop layer on the repair layer. In addition, a manufacturing process of the gate stack structure with the etch stop layer further includes of forming an N-type work function metal layer on the etch stop layer within the trench, and forming a gate layer on the N-type work function metal layer within the trench. | 12-12-2013 |
20130334690 | SEMICONDUCTOR STRUCTURE AND PROCESS THEREOF - A semiconductor structure includes a work function metal layer, a (work function) metal oxide layer and a main electrode. The work function metal layer is located on a substrate. The (work function) metal oxide layer is located on the work function metal layer. The main electrode is located on the (work function) metal oxide layer. Moreover a semiconductor process forming said semiconductor structure is also provided. | 12-19-2013 |
20140054654 | MOS TRANSISTOR AND PROCESS THEREOF - A MOS transistor includes a gate structure on a substrate, and the gate structure includes a wetting layer, a transitional layer and a low resistivity material from bottom to top, wherein the transitional layer has the properties of a work function layer, and the gate structure does not have any work function layers. Moreover, the present invention provides a MOS transistor process forming said MOS transistor. | 02-27-2014 |
20140065775 | FABRICATION METHOD FOR SEMICONDUCTOR DEVICES - A method of fabricating a semiconductor device includes the following steps. First, a semiconductor substrate is provided, which includes at least a fin structure and at least a gate semiconductor layer disposed thereon. The gate semiconductor layer covers a portion of the fin structure. Then a sacrificial layer is deposited to cover the fin structure entirely. Subsequently, a top surface of the fin structure is exposed from the sacrificial layer through an etching process. A material layer is then deposited, which covers the gate semiconductor layer, the fin structure and the sacrificial layer conformally. Finally, the material layer is etched until the top surface of the fin structure is exposed and a first spacer is concurrently formed on side surfaces of the gate semiconductor layer. | 03-06-2014 |
20140097507 | Semiconductor Device Having a Metal Gate and Fabricating Method Thereof - The present invention provides a method of forming a semiconductor device having a metal gate. A substrate is provided and a gate dielectric and a work function metal layer are formed thereon, wherein the work function metal layer is on the gate dielectric layer. Then, a top barrier layer is formed on the work function metal layer. The step of forming the top barrier layer includes increasing a concentration of a boundary protection material in the top barrier layer. Lastly, a metal layer is formed on the top barrier layer. The present invention further provides a semiconductor device having a metal gate. | 04-10-2014 |
20140106557 | MANUFACTURING METHOD FOR SEMICONDUCTOR DEVICE HAVING METAL GATE - A manufacturing method for semiconductor device having metal gate includes providing a substrate having a first semiconductor device and a second semiconductor device formed thereon, the first semiconductor device having a first gate trench and the second semiconductor device having a second gate trench; sequentially forming a high dielectric constant (high-k) gate dielectric layer and a multiple metal layer on the substrate; forming a first work function metal layer in the first gate trench; performing a first pull back step to remove a portion of the first work function metal layer from the first gate trench; forming a second work function metal layer in the first gate trench and the second gate trench; and performing a second pull back step to remove a portion of the second work function metal layer from the first gate trench and the second gate trench. | 04-17-2014 |
20140106568 | METHOD OF FORMING OPENING ON SEMICONDUCTOR SUBSTRATE - The present invention provides a method of forming an opening on a semiconductor substrate. First, a substrate is provided. Then a dielectric layer and a cap layer are formed on the substrate. A ratio of a thickness of the dielectric layer and a thickness of the cap layer is substantially between 15 and 1.5. Next, a patterned boron nitride layer is formed on the cap layer. Lastly, an etching process is performed by using the patterned hard mask as a mask to etch the cap layer and the dielectric layer so as to form an opening in the cap layer and the dielectric layer. | 04-17-2014 |
20140117455 | MULTIGATE FIELD EFFECT TRANSISTOR AND PROCESS THEREOF - A multigate field effect transistor includes two fin-shaped structures and a dielectric layer. The fin-shaped structures are located on a substrate. The dielectric layer covers the substrate and the fin-shaped structures. At least two voids are located in the dielectric layer between the two fin-shaped structures. Moreover, the present invention also provides a multigate field effect transistor process for forming said multigate field effect transistor including the following steps. Two fin-shaped structures are formed on a substrate. A dielectric layer covers the substrate and the two fin-shaped structures, wherein at least two voids are formed in the dielectric layer between the two fin-shaped structures. | 05-01-2014 |
20140213034 | METHOD FOR FORMING ISOLATION STRUCTURE - A method for forming an isolation structure includes the following steps. A hard mask layer is formed on a substrate and a trench is formed in the substrate and the hard mask layer. A protective layer is formed to cover the trench and the hard mask layer. A first isolation material is filled into the trench. An etching process is performed to etch back part of the first isolation material. | 07-31-2014 |
20140242811 | ATOMIC LAYER DEPOSITION METHOD - An ALD method includes providing a substrate in an ALD reactor, performing a pre-ALD treatment to the substrate in the ALD reactor, and performing one or more ALD cycles to form a dielectric layer on the substrate in the ALD reactor. The pre-ALD treatment includes providing a hydroxylating agent to the substrate in a first duration, and providing a precursor to the substrate in a second duration. Each of the ALD cycles includes providing the hydroxylating agent to the substrate in a third duration, and providing the precursor to the substrate in a fourth duration. The first duration is longer than the third duration. | 08-28-2014 |
20140332824 | SEMICONDUCTOR STRUCTURE WITH DIFFERENT FINS OF FINFETS - A semiconductor structure for forming FinFETs is described. The semiconductor structure includes a semiconductor substrate, a plurality of odd fins of the FinFETs on the substrate, and a plurality of even fins of the FinFETs on the substrate between the odd fins of the FinFETs. The odd fins of the FinFETs are defined from the substrate. The even fins of the FinFETs are different from the odd fins of the FinFETs in at least one of the width and the material, and may be further different from the odd fins of the FinFETs in the height. | 11-13-2014 |
20140346616 | TRANSISTOR AND SEMICONDUCTOR STRUCTURE - A semiconductor structure includes a work function metal layer, a (work function) metal oxide layer and a main electrode. The work function metal layer is located on a substrate. The (work function) metal oxide layer is located on the work function metal layer. The main electrode is located on the (work function) metal oxide layer. A semiconductor process forming said semiconductor structure is also provided. | 11-27-2014 |
20140361386 | SEMICONDUCTOR DEVICE - Provided is a semiconductor device including a substrate, a gate structure, a second dielectric layer and a source/drain region. A first dielectric layer is disposed on the substrate, and the first dielectric layer has a trench therein. The gate structure is disposed on the substrate in the trench and includes a work function metal layer and a metal layer. The work function metal layer is disposed in the trench, and includes a TiAl | 12-11-2014 |
20140367779 | SEMICONDUCTOR STRUCTURE AND PROCESS THEREOF - A semiconductor structure includes a fin-shaped structure and a gate. The fin-shaped structure is located in a substrate, wherein the fin-shaped structure has a through hole located right below a vacant part. The gate surrounds the vacant part. Moreover, the present invention also provides a semiconductor process including the following steps for forming said semiconductor structure. A substrate is provided. A fin-shaped structure is formed in the substrate, wherein the fin-shaped structure has a bottom part and a top part. A part of the bottom part is removed to form a vacant part in the corresponding top part, thereby forming the vacant part over a through hole. A gate is formed to surround the vacant part. | 12-18-2014 |
20140370701 | METHOD OF FABRICATING SEMICONDUCTOR PATTERNS - A method of fabricating semiconductor patterns includes steps as follows: Firstly, a substrate is provided and has at least a first semiconductor pattern and at least a second semiconductor pattern, wherein a line width of the first semiconductor pattern is identical to a line width of the second semiconductor pattern. Then, a barrier pattern is formed over a surface of the first semiconductor pattern, and the second semiconductor pattern is exposed. Then, a surface portion of the second semiconductor pattern is reacted to form a sacrificial structure layer. Then, the barrier pattern and the sacrificial structure layer are removed, and the line width of the second semiconductor pattern is shrunken to be less than the line width of the first semiconductor pattern. A third semiconductor pattern having a line width can be further provided. | 12-18-2014 |
20140374909 | METHOD FOR FILLING TRENCH WITH METAL LAYER AND SEMICONDUCTOR STRUCTURE FORMED BY USING THE SAME - A method for filling a trench with a metal layer is disclosed. A deposition apparatus having a plurality of supporting pins is provided. A substrate and a dielectric layer disposed thereon are provided. The dielectric layer has a trench. A first deposition process is performed immediately after the substrate is placed on the supporting pins to form a metal layer in the trench, wherein during the first deposition process a temperature of the substrate is gradually increased to reach a predetermined temperature. When the temperature of the substrate reaches the predetermined temperature, a second deposition process is performed to completely fill the trench with the metal layer. The present invention further provides a semiconductor device having an aluminum layer with a reflectivity greater than 1, wherein the semiconductor device is formed by using the method. | 12-25-2014 |
20150064896 | METHOD OF FABRICATING SEMICONDUCTOR DEVICE - Provided is a method of fabricating a semiconductor device including the following steps. A dummy gate structure is formed on a substrate, wherein the dummy gate structure includes a dummy gate and a stacked hard mask, and the stacked hard mask includes from bottom to top a first hard mask layer and a second hard mask layer. A spacer is formed on a sidewall of the dummy gate structure. A mask layer is formed on the substrate. An opening corresponding to the second hard mask layer is formed in the mask layer. The second hard mask layer is removed. The mask layer is removed. A dry etch process is performed to remove the first hard mask layer, wherein the dry etch process uses NF | 03-05-2015 |
Ching-Shun Lin, Tainan City TW
Ching-Ying Lin, Tainan City TW
Patent application number | Description | Published |
---|---|---|
20110088767 | Surface structure of crystalline silicon solar cell and manufacturing method thereof - The present invention provides a surface structure of a crystalline silicon solar cell and a manufacturing method thereof. The surface structure of the crystalline silicon solar cell comprises a main body having a front side microstructure and a back side microstructure. A surface morphology of the front side microstructure includes a plurality of cone structures, a surface morphology of the back side microstructure includes a plurality of arc structures, and a surface roughness of the front side microstructure is greater than that of the back side structure. | 04-21-2011 |
Chin-Sheng Lin, Tainan City TW
Patent application number | Description | Published |
---|---|---|
20090171048 | SOLUBLE POLYTHIOPHENE DERIVATIVES - The present invention discloses a soluble polythiophene derivative containing highly coplanar repeating units. The coplanar characteristic of the TPT (thiophene-phenylene-thiophene) units improves the degree of intramolecular conjugation and intermolecular π-π interaction. The polythiophene derivative exhibits good carrier mobility and is suitable for use in optoelectronic devices such as organic thin film transistors (OTFTs), organic light-emitting diodes (OLEDs), and organic solar cells (OSCs). | 07-02-2009 |
20090299029 | SOLUBLE POLYTHIOPHENE DERIVATIVES - The present invention discloses a soluble polythiophene derivative containing highly coplanar repeating units. The coplanar characteristic of the TPT (thiophene-phenylene-thiophene) units improves the degree of intramolecular conjugation and intermolecular π-π interaction. The polythiophene derivative exhibits good carrier mobility and is suitable for use in optoelectronic devices such as organic thin film transistors (OTFTs), organic light-emitting diodes (OLEDs), and organic solar cells (OSCs). | 12-03-2009 |
Chin-Tien Lin, Tainan City TW
Patent application number | Description | Published |
---|---|---|
20090181486 | METHOD FOR PRODUCING A TRANSISTOR-TYPE HYDROGEN SENSOR - A method for producing a transistor-type hydrogen sensor is invented. This method combines conventional semiconductor fabrication process with an electroless plating technique. The fabrication process comprises steps as follows: (a) preparing a semiconductor substrate, (b) forming a semiconductor-based material with an exposed surface on the substrate, (c) washing and then drying the semiconductor-based material, (d) separating the exposed surface of the semiconductor-based material, (e) depositing a gold-germanium alloy on the semiconductor-based material to form two Ohmic contacts, and (f) forming a Schottky contact gate metal having an affinity for hydrogen. The electroless plating technique deposits the Schottky contact gate metal, having an affinity for hydrogen, at a relatively low temperature and it thus can produce a transistor-type hydrogen sensor with excellent sensing performances. | 07-16-2009 |
20120087496 | HOME USE ACTIVE REMOTE ENCRYPTION AND SWITCHING DEVICE - A home use active remote encryption and switching device is revealed. Transmission of remote images and information as well as switching of home appliances is controlled by wireless communication. The home use active remote encryption and switching device includes at least one video mobile phone with specific permission, a signal receiving interface receiving signals from the mobile phone, a digital signal processor that decrypts and modulates signals from the mobile phone, a central processing unit that integrates and converts decrypted signals into switching signals, and a storage device storing audio/video signals. Thereby signals from the video mobile phone are transmitted to the remote signal receiving interface by wireless communication equipments. Then the signals are decrypted by the digital signal processor, converted into switching signals by the central processing unit, and sent to home appliances. Thus remote switching of home appliances is achieved under the control of the video mobile phone. | 04-12-2012 |
20120095618 | AUTOMOTIVE ACTIVE REMOTE ENCRYPTION AND SWITCHING DEVICE - An automotive active remote encryption and switching device is revealed. Remote images and information are transmitted through wireless communication for monitoring vehicle status. The device includes at least one video mobile phone with specific permission, a control mainframe for receiving signals from the video mobile phone, a remote video recorder mounted in a vehicle, a detection unit that detects conditions inside the vehicle and a receiver that receives signals from the control mainframe. Thereby the remote images showing conditions in the vehicle are detected by the detection unit and sent to users by wireless communication equipments available now. Thus users can carry out corresponding measures and the active remote control of the vehicle is achieved by the video mobile phone. | 04-19-2012 |
Chou-Ching Lin, Tainan City TW
Patent application number | Description | Published |
---|---|---|
20090248165 | Skull endosseous implant and kit containing the same - Disclosed is a skull endosseous implant adapted to be implanted in the subject's skull to provide an access channel. A variety of sensor means may be installed in the access channel for monitoring the intracranial status of the subject. The present invention further provides a kit containing the skull endosseous implant. | 10-01-2009 |
20130345599 | SKULL ENDOSSEOUS MODULE FOR ULTRASOUND PENETRATION - A skull endosseous module for ultrasound penetration is provided and includes a fixation sleeve permanently inserted and positioned in a drilled hole of a skull, a movable sleeve movably inserted in the fixation sleeve for providing a hollow ultrasound guiding channel; and an outer cover mounted on an outer opening of the fixation sleeve and covered by a scalp tissue. Thus, an ultrasound device outside the scalp tissue can generate ultrasounds to pass through the outer cover and the ultrasound guiding channel for affecting a target region of a brain tissue in the skull. | 12-26-2013 |
Chung-Hung Lin, Tainan City TW
Patent application number | Description | Published |
---|---|---|
20090119030 | FORCE FEEDBACK AND INTERACTIVE SYSTEM - A force feedback and interactive system is provided in the present invention, wherein the force feedback and interactive system utilizes a mechanism for detecting weight or center of gravity and reacted force from an operator on a multi-axis motion platform, and a main controller which is a kernel of data processing and motion simulating of the multi-axis motion platform. Besides having complete mathematical simulation model for calculating reaction force variation according to the received operating command, force status and weight of the operator and having algorithm for simulating the motion of multi-axis motion platform so as to calculate the motion and instantaneous position of the multi-axis motion platform in space, the system can also provide function of force feedback for enhancing the virtual reality while being applied in various Human-Machine Interaction simulating field. | 05-07-2009 |
20120273644 | ELECTRONIC DEVICE HOLDER - An electronic device holder is revealed. The electronic device holder includes a base, a top cover and a support member. In use, a control member of the support member is pressed so that a locking shaft is moved inwards to push a shaft part moving into a pivot hole of an assembly block of the base. And a teeth part around the shaft part is released from teeth of a locking hole. Thus the support member can be rotated to the required position. Without any force applied to the control member, the teeth part of the shaft part is engaged with the teeth of the locking hole again due to the elastic member. Thus the support member is locked, the support block is then rotated to the required position and an electronic device is leaning against the support member. Therefore users can use electronics conveniently, without holding them in hands. | 11-01-2012 |
20130295494 | METHOD FOR REPAIRING PHOTOMASK - A method for repairing a defect, such as a pinhole, on a photomask is described. In an example, a laser beam is used to form a matrix of laser burn spots in a substrate of the photomask proximate a defect, such as a pinhole, of the photomask. Each laser burn spot is formed at a focal point of the laser beam inside the substrate by melting a material of the substrate proximate to the defect. In an example, the defect is surrounded and covered by the matrix of laser burn spots. The matrix of laser burn spots can attenuate or block light from passing through the defect, such as the pinhole. The matrix of laser burn spots may repair the defect of the photomask without removing a pellicle and pellicle frame mounted on the photomask. | 11-07-2013 |
20140145752 | ANTI-DISASSEMBLING DEVICE FOR ELECTRONIC PRODUCTS - An anti-disassembling device for an electronic product includes a case, a linear movement device, a circular movement device and an optical encoder. At least one retractable transmission member is connected to the case. The circular movement device is located in the case and has an encoding disk, which has multiple slots defined therethrough and teeth are defined in the periphery thereof. The at least one retractable transmission member is engaged with the teeth to rotate the encoding disk. The optical encoder has a lighting module which emits light beams through the slots of the encoding disk and a photosensitive module receives the light beams and sends a signal to the storage unit of the electronic product. The retractable device rotates when the electronic product is disassembled. | 05-29-2014 |
20140186750 | Lithography Mask Repairing Process - A method includes performing a beam scan on a photolithography mask to repair the photolithography mask. After the beam scan, a radiation treatment is performed on the photolithography mask. The method is performed by an apparatus including a beam generator configured to generate and project a beam on the lithography mask, a radiation source configured to generate a radiation on the lithography mask, and a process gas source configured to release a process gas onto the lithography mask. The process as reacts with a surface portion of the lithography mask to repair the lithography mask. With the radiation treatment, residue process gas on the lithography mask is removed. | 07-03-2014 |
20140255826 | Endpoint Detection for Photolithography Mask Repair - A method includes scanning a lithography mask with a repair process, and measuring back-scattered electron signals of back-scattered electrons generated from the scanning. An endpoint is determined from the back-scattered electron signals. A stop point is calculated from the endpoint. The step of scanning is stopped when the calculated stop point is reached. | 09-11-2014 |
Chung-Te Lin, Tainan City TW
Patent application number | Description | Published |
---|---|---|
20080258233 | Semiconductor Device with Localized Stressor - A semiconductor device, such as a PMOS transistor, having localized stressors is provided. Recesses are formed on opposing sides of gate electrodes such that the recesses are offset from the gate electrode by dummy spacers. The recesses are filled with a stress-inducing layer. The dummy recesses are removed and lightly-doped drains are formed. Thereafter, new spacers are formed and the stress-inducing layer is recessed. One or more additional implants may be performed to complete source/drain regions. In an embodiment, the PMOS transistor may be formed on the same substrate as one or more NMOS transistors. Dual etch stop layers may also be formed over the PMOS and/or the NMOS transistors. | 10-23-2008 |
20080272410 | Self-Aligned Spacer Contact - A metal-oxide-semiconductor field-effect transistor (MOSFET) having self-aligned spacer contacts is provided. In accordance with embodiments of the present invention, a transistor, having a gate electrode and source/drain regions formed on opposing sides of the gate electrode, is covered with a first dielectric layer. A first contact opening is formed in the first dielectric layer to expose at least a portion of one of the source/drain regions. A second dielectric layer is formed over the first dielectric layer. Thereafter, an inter-layer dielectric layer is formed over the second dielectric layer and a second contact opening is formed through the inter-layer dielectric layer. In an embodiment, an etch-back process may be performed on the second dielectric layer prior to forming the inter-layer dielectric layer. | 11-06-2008 |
20100065913 | Performance-Aware Logic Operations for Generating Masks - A method for forming masks for manufacturing a circuit includes providing a design of the circuit, wherein the circuit comprises a device; performing a first logic operation to determine a first region for forming a first feature of the device; and performing a second logic operation to expand the first feature to a second region greater than the first region. The pattern of the second region may be used to form the masks. | 03-18-2010 |
20100330755 | Semiconductor Device With Localized Stressor - A semiconductor device, such as a PMOS transistor, having localized stressors is provided. Recesses are formed on opposing sides of gate electrodes such that the recesses are offset from the gate electrode by dummy spacers. The recesses are filled with a stress-inducing layer. The dummy recesses are removed and lightly-doped drains are formed. Thereafter, new spacers are formed and the stress-inducing layer is recessed. One or more additional implants may be performed to complete source/drain regions. In an embodiment, the PMOS transistor may be formed on the same substrate as one or more NMOS transistors. Dual etch stop layers may also be formed over the PMOS and/or the NMOS transistors. | 12-30-2010 |
20110230002 | Local Oxidation of Silicon Processes with Reduced Lateral Oxidation - A method of forming an integrated circuit structure includes providing a silicon substrate, and implanting a p-type impurity into the silicon substrate to form a p-type region. After the step of implanting, performing an anneal to form a silicon oxide region, with a portion of the p-type region converted to the silicon oxide region. | 09-22-2011 |
20110260223 | STRESS ENGINEERING TO REDUCE DARK CURRENT OF CMOS IMAGE SENSORS - The active pixel cell structures and methods of preparing such structures described above enable reduction of dark current and white cell counts for active pixel cells. The process of preparing active pixel cell structures introduces stress on the substrate, which could lead to increased dark current and white cell counts of active pixel cells. By depositing a stress layer as part of a pre-metal dielectric layer with a stress that counters the stress induced, both the dark current and the white cell counts can be reduced. If the transistors of the active pixel cells are NMOS, the carrier mobility can also be increased by a tensile stress layer. Raman Spectroscopy can be used to measure the stress exerted on the substrate prior to the deposition of the stress layer. | 10-27-2011 |
20120043618 | Performance-Aware Logic Operations for Generating Masks - Stress engineering for PMOS and NMOS devices is obtained with a compressive stressor layer over the PMOS device, wherein the compressive stressor layer has the shape of a polygon when viewed from a top down perspective, and wherein the polygon includes a recess defined in its periphery. The NMOS device has a tensile stress layer wherein the tensile stressor layer has the shape of a polygon when viewed from the top down perspective, wherein the polygon includes a protrusion in its periphery, the protrusion extending into the recess of the first stressor layer. Thus, stress performance for both devices can be improved without violating design rules. | 02-23-2012 |
20120248515 | STRESS ENGINEERING TO REDUCE DARK CURRENT OF CMOS IMAGE SENSORS - This disclosure relates to an active pixel cell including a shallow trench isolation (STI) structure. The active pixel cell further includes a photodiode neighboring the STI structure, where a first stress resulted from substrate processing prior to deposition of a pre-metal dielectric layer increases dark current and white cell counts of a photodiode of the active pixel cell. The active pixel cell further includes a transistor, where the transistor controls the operation of the active pixel cell. The active pixel cell further includes a stress layer over the photodiode, the STI structure, and the transistor, and the stress layer has a second stress that counters the first stress exerted on the substrate, and the second stress reduces the dark current and the white cell counts caused by the first stress. | 10-04-2012 |
20140001523 | STRESS ENGINEERING TO REDUCE DARK CURRENT OF CMOS IMAGE SENSORS | 01-02-2014 |
Chyun-Chau Lin, Tainan City TW
Patent application number | Description | Published |
---|---|---|
20090229889 | ELECTRONIC WEIGHT SCALE - An electronic weight scale includes: a main scale module including a main weight sensor disposed in a main housing and outputting an output corresponding to weight acting on the main housing and sensed thereby, a controller operable in one of folded and unfolded modes in response to a control signal from an input unit, and a display unit mounted on the main housing; and an auxiliary scale unit connected pivotally to the main scale module and including an auxiliary scale module that has an auxiliary weight sensor disposed in an auxiliary housing and outputting an output corresponding to weight acting on the auxiliary housing and sensed thereby. The controller outputs weight information, that indicates the output from the main weight sensor when in the folded mode and that indicates a sum of the outputs from the main and auxiliary weight sensors when in the unfolded mode, to the display unit. | 09-17-2009 |
Guo-Shiang Lin, Tainan City TW
Patent application number | Description | Published |
---|---|---|
20100188584 | DEPTH CALCULATING METHOD FOR TWO DIMENSIONAL VIDEO AND APPARATUS THEREOF - A depth calculating method is provided for calculating corresponding depth data in response to frame data, which includes macroblocks. The depth calculating method includes the following steps. First, a type of video is decided according to a video content. A motion vector is obtained from decompressed video information and is modified according to a shot change detection and camera motion data. Then, multiple pieces of macroblock motion parallax data respectively corresponding to the macroblocks are found according to motion vector data of the modified macroblocks. Thereafter, the depth data corresponding to the frame data is calculated according to the pieces of macroblock motion parallax data, variance data, contrast data and texture gradient data. | 07-29-2010 |
Heng-Ju Lin, Tainan City TW
Patent application number | Description | Published |
---|---|---|
20100090299 | FLEXIBLE ELECTRONICS FOR PRESSURE DEVICE AND FABRICATION METHOD THEREOF - A pressure device of flexible electronics capable for sensing a large area includes flexible films, electrodes, sensing blocks, and bumps. The flexible films are disposed with intervals and define two spaces. The electrodes and the sensing blocks are disposed on the flexible films and are in a space. The bumps are disposed on the flexible films and are in another space. The air in the spaces maintains a buffer distance of each two adjacent flexible films with the electrodes and the sensing blocks. When the pressure device of flexible electronics is deformed, it is capable of avoiding erroneous signals caused by contact of the sensing block and the electrode or the two sensing blocks disposed on the different flexible films respectively. | 04-15-2010 |
20100175486 | FLEXIBLE FORCE SENSOR WITH COUPLING TYPE AND MULTI-DIRECTIONAL RECOGNITIONS - A force sensor includes a substrate, a support layer, a film, a plurality of sensing portions, a plurality of electrodes, a plurality of sensing materials, and a filler. The support layer is disposed on the substrate. The film is supported by the support layer. The sensing portions extend from the film. The electrodes are correspondingly disposed on the sensing portions. The sensing materials are correspondingly disposed on the electrodes. The support layer, the film, the sensing portions, the electrodes, and the sensing materials encapsulated by the filler. | 07-15-2010 |
20110226536 | FLEXIBLE SLIDE-TOUCH CONTROLLING DEVICE AND THE RELATED POSITION DETERMINATION METHOD - A flexible slide-touch controlling device is disclosed. The device includes first and second flexible substrates, and a spacer is interposed therebetween to form a gap between the first and second flexible substrates. A first electrode layer covers an inner surface of the first flexible substrate in the gap. A plurality of second electrode layers and a plurality of third electrode layers are respectively disposed on inner and outer surfaces of the second flexible substrate and correspond to each other. Each third electrode layer is electrically connected to a corresponding second electrode layer. A sensing material layer is disposed on the outer surface of the second flexible substrate and covers the plurality of third electrode layers to form a series of sensing segments. A position determination method for the flexible slide-touch controlling device is also disclosed. | 09-22-2011 |
20120222948 | TOUCH SENSING UNIT AND METHOD FOR MANUFACTURING THE SAME - A touch sensing unit and a method for manufacturing the same are provided. The touch sensing unit includes a first substrate, a first electrode, a second substrate, a second electrode, a supporting layer, a third electrode and a sensing layer. The first electrode is disposed on the first substrate. The second substrate is disposed opposite to the first substrate. The first electrode is disposed between the first substrate and the second substrate. The second electrode is disposed on the second substrate, and the first electrode contacts the second electrode through a pressing motion. The supporting layer is disposed between the first substrate and the second substrate. The third electrode is disposed on the second substrate. The sensing layer has constant impedance and is disposed on the second substrate between the second electrode and the third electrode to electrically connect the second electrode and the third electrode. | 09-06-2012 |
Hsin-Feng Lin, Tainan City TW
Patent application number | Description | Published |
---|---|---|
20100033674 | Temples for eyeglasses - This invention relates to temples for eyeglasses each comprising a main temple body and an accessory part. The accessory part at each side has a side plate provided with an embracing portion turning at the rear end thereof and further extending forward. An inserting portion is provided on the side plate near the embracing section. The main temple body at each side has an engaging section at its end, and an engaging slot which is carved to be hollow is provided at a place near the engaging section of the main temple body. In this manner, the side plate of the accessory part and the embracing section embrace the engaging section of the temple body, and the inserting portion of the accessory-part is inserted and interlinked within the engaging slot such that a stable assembling state is accomplished between the main temple body and the accessory part. In addition, the rear end of the main temple body is entirely embraced by the accessory part so as to raise the snugness of wearing, furthernmore to facilitate and smoothen the assembling between the temple body and the accessory-part. Besides, replacement for new accessory parts can be conducted according to practical demands in a more convenient manner. | 02-11-2010 |
20110273661 | EYEGLASSES - The pair of eyeglasses includes at least a frame, at least a pair of catching elements and at least a pair of temples. The frame is provided with at least a pair of extension portions respectively disposed in the left and right opposite ends, and each extension portion is provided with at least a pair of fixing pillars. One end of each catching element is coupled to each extension portion of the lens for each pair of fixing pillars in each extension portion, and an opposite end thereof is connected with one end of each temple such that the lens, frame and temples to be assembled in a simpler and fast way. | 11-10-2011 |
20140325795 | EYEGLASS FILLET FASTENER - Disclosure is an eyeglass fillet fastener detachably assembled to an eyeglass having a connecting hole or a frame of goggle without temple. The eyeglass fillet fastener includes a connecting member and a sliding member, wherein one side of the connecting member has a fastening portion for fastening with the connecting hole, and the other side of the connecting member has a flexible positioning member and a pivoting portion, and the sliding member is pivoted in the pivoting portion by the flexible positioning portion, so that a user is able to move the position of the sliding member. | 11-06-2014 |
Huang-Yi Lin, Tainan City TW
Patent application number | Description | Published |
---|---|---|
20110076823 | METHOD FOR FABRICATING A SEMICONDUCTOR DEVICE - A method for making a semiconductor MOS device is provided. A gate structure is formed on a substrate. A source and a drain are formed in the substrate on both sides of the gate structure. The substrate is then subjected to a pre-amorphization implant (PAI) process. A transitional stress layer is then formed on the substrate. Thereafter, a laser anneal with a first temperature is performed. After the laser anneal, a rapid thermal process is performed with a second temperature that is lower than the first temperature. Subsequently, the transitional stress layer is removed. | 03-31-2011 |
Hui-Feng Lin, Tainan City TW
Hung-Chih Lin, Tainan City TW
Patent application number | Description | Published |
---|---|---|
20110116001 | LIQUID CRYSTAL DISPLAY AND ASSEMBLY METHOD OF THE SAME - A liquid crystal display is provided. The liquid crystal display includes a rear bezel, a signal controlling board module, a light source module, a clipping frame, a display panel and a front frame. The rear bezel has a main portion, a receptacle and a plurality of carrying stages. The carrying stages surround the receptacle. The receptacle protrudes from the main body along a direction while the carrying stages protrude from the main portion toward the reverse of the direction. The main body, the receptacle and the carrying stages are formed in one piece. The signal controlling board module is fixed in the receptacle. The carrying stages carry the light source module. The clipping frame and the rear bezel clip the light source module together. The display panel is disposed on the clipping frame. The front frame and the clipping frame clip the display panel together. | 05-19-2011 |
I-Hsuan Lin, Tainan City TW
Patent application number | Description | Published |
---|---|---|
20110124741 | RADIOSENSITIZER COMPOSITIONS COMPRISING SCHISANDRA CHINENSIS(TURCZ.)BAILL AND METHODS FOR USE - The present invention provides a method of potentiating radiation therapy for treatment of a cancer or tumor comprising administrating to a subject in need thereof a therapeutically effective amount of a radiosensitizer in combination of a radiation therapy to a locus of the cancer or tumor, wherein the radiosensitizer is an extract of | 05-26-2011 |
Jin-Sheng Lin, Tainan City TW
Patent application number | Description | Published |
---|---|---|
20100019658 | ORGANIC COMPOUND AND ORGANIC ELECTROLUMINESCENCE DEVICE EMPLOYING THE SAME - Organic compounds and organic electroluminescence devices employing the same are provided. The organic compound has a chemical structure represented as follows: | 01-28-2010 |
20110285275 | ORGANOMETALLIC COMPOUND, ORGANIC ELECTROLUMINESCENCE DEVICE AND COMPOSITION EMPLOYING THE SAME - Organometallic compounds and organic electroluminescence devices and compositions employing the same are provided. The organic metal compound has a chemical structure represented by formula (I) or formula (II): | 11-24-2011 |
20120001537 | ORGANIC COMPOUND AND ORGANIC ELECTROLUMINESCENCE DEVICE EMPLOYING THE SAME - Organic compounds and organic electroluminescence devices employing the same are provided. The organic compound has a chemical structure represented as follows: | 01-05-2012 |
20130105767 | CARBAZOLE DERIVATIVES AND ORGANIC LIGHT EMITTING DIODES COMPRISING THE SAME | 05-02-2013 |
Kun-Chang Lin, Tainan City TW
Patent application number | Description | Published |
---|---|---|
20080269362 | Recycled thermosetting flour composites and method for preparing the same - The recycled thermosetting flour composites and method for preparing the same are disclosed. The silane coupling agent is used as a coincidental bridge between recycled thermosetting flour and plastic materials, to apparently promote the mechanical properties of composites by linking with each other. As a result, the recycled polyolefin and recycled thermosetting flour are applied through interfacial modification, coupling, modification, mixing and granulating process to generate a composite with better mechanical properties and recycle the resource. | 10-30-2008 |
20080300364 | Carbon nanotube/polyolefin composite by water-crosslinking reaction and method thereof - A method for preparing a carbon nanotube (CNT)/polyolefin composite by a water-crosslinking reaction and its preparation method are disclosed. Taking an embodiment for example, a carbon nanotube, an organic unsaturated silane and a plastic material are provided, and then the carbon nanotube and the organic unsaturated silane are mixed uniformly by a dispersant. The mixture is bonding with the plastic material by the silicon of organic unsaturated silane. A composite provided with a better combination of carbon nanotube and plastic material is generated by the method of the present invention. | 12-04-2008 |
20090082500 | MODIFIED THERMALLY EXPANDABLE GRAPHITE AND MATERIAL CONTAINING THE SAME - A modified thermally expandable graphite includes a reaction product of an expandable graphite that contains an intercalation compound intercalated among lattice layers of the expandable graphite, and a silicon-containing organic compound that has at least one alkoxyl group and a reactive group subjected to reaction with the intercalation compound. A sol-gel reaction product of the modified thermally expandable graphite and a modified thermosetting polymeric precursor is also disclosed. | 03-26-2009 |
20090123750 | Method for modifying carbon nanotube - A method for modifying CNT is disclosed. The modified CNT by the above-mentioned method mixed with a resin is provided with an enhanced diffusibility; therefore the electronic property and mechanical property of resin are enhanced obviously with lower quantity of CNT. | 05-14-2009 |
20090274838 | Method for modifying carbon nanotube - A method for modifying CNT is disclosed. The modified CNT by the above-mentioned method mixed with a resin is provided with an enhanced diffusibility; therefore the electronic property and mechanical property of resin are enhanced obviously with lower quantity of CNT. | 11-05-2009 |
20110294963 | METHOD OF TOUGHENING EPOXY RESIN AND TOUGHENED EPOXY RESIN COMPOSITE - The present invention discloses a novel toughener selected from the group of polyurea, polyurethane and poly(urea-urethane) using a facile synthesis method. The toughener forms thick-interface particles, and creates an effective toughness improvement for epoxy resin. Different from the conventional epoxy/rubber composite or epoxy/thermoplastic composite, the epoxy/polyurea, epoxy/polyurethane, or epoxy/poly(urea-urethane) composite shows Newtonian rheological behaviour, a convenient property for processing. The unique feature of the toughener according to the present invention is that toughness can be significantly improved at low toughener content without losing other desirable properties. | 12-01-2011 |
Kun Yeh Lin, Tainan City TW
Patent application number | Description | Published |
---|---|---|
20100097818 | SIDE DIRECTIONAL SIGNAL FOR VEHICLE - A side directional signal includes a base and a cover that together define a compartment receiving a circuit board on which first and second groups of light-emitting diodes (LEDs) are mounted. Mounted between the LEDs and the cover is a light-guiding plate including an inner face with a plurality of semispheres aligned with the LEDs. The light-guiding plate further includes an outer face with grooves. A portion of the semispheres concentrates the light beams emitted from the first group of LEDs to provide enhanced brightness. The concentrated light beams transmit through the outer face and then the cover after being reflected by sidewalls of the grooves. A reflective plate is mounted between the first and second groups of LEDs. The light beams emitted from the second group of LEDs are concentrated by a remaining portion of the semispheres, reflected by the reflective plate, and transmitted through the cover. | 04-22-2010 |
Li-Hua Lin, Tainan City TW
Patent application number | Description | Published |
---|---|---|
20090205410 | APPARATUS FOR MEASURING SURFACE TENSION - An apparatus for measuring surface tension includes: a U-shaped communicating tube having a base section that defines a horizontal line, and first and second sections that extend from the base section, and that respectively have first and second top open ends distal from the base section; and a capillary connected to the second top open, and having a top open end. The U-shaped communicating tube has a diameter greater than that of the capillary such that the U-shaped communicating tube does not exhibit capillary property when a liquid is filled therein. The first top open end has a height relative to the horizontal line that is greater than that of the top open end of the capillary such that the height difference therebetween is greater than that between the liquid level at the first section and a liquid drop formed on the top open end of the capillary. | 08-20-2009 |
20100243011 | WALKING AID COMBINED WITH A TOILET SEAT - A walking aid includes a support, a toilet seat, and a fixing member. The support has left and right frames, and a front frame interconnecting the left and right frames. The toilet seat is mounted pivotally on the support and has a seat plate defining a seat hole. The toilet seat is changeable between a stored position in which the seat plate is entirely supported by the front frame, and a use position in which the seat plate is supported by the left, right and front frames. The fixing member fixes the toilet seat to the support. | 09-30-2010 |
Liu-Yang Lin, Tainan City TW
Patent application number | Description | Published |
---|---|---|
20090212908 | METHODS FOR INITIATING OPERATING VOLTAGES FOR SUBSCRIBER IDENTITY CARDS AND SYSTEMS UTILIZING THE SAME - A system for initiating operating voltages for subscriber identity cards is provided. The system includes a first subscriber identity card, a second subscriber identity card, an RF module, a controller and a Baseband unit. The controller initiates a first operating voltage of the first subscriber identity card and initiates a second operating voltage of the second subscriber identity, respectively. The Baseband unit cooperates with the first subscriber identity card to camp on a first cell and cooperates with the second subscriber identity card to camp on a second cell via the RF module. | 08-27-2009 |
20120115546 | METHODS FOR INITIATING OPERATING VOLTAGES FOR SUBSCRIBER IDENTITY CARDS AND SYSTEMS UTILIZING THE SAME - A system for operating at least two subscriber identity cards has a subscriber identity module (SIM) controller generating a first voltage variable signal with a first voltage level to a first subscriber identity card during a first time period, generating the first voltage variable signal at a second voltage level to the first subscriber identity card during a second time period, receiving a first code indicating that generated voltage matches from the first subscriber identity card during the first or second time period, generating a first operating voltage with the first voltage level to the first subscriber identity card when receiving the first code in the first time period, generating the first operating voltage with the second voltage level when receiving the first code in the second time period. | 05-10-2012 |
Meng-Yu Lin, Tainan City TW
Patent application number | Description | Published |
---|---|---|
20090205410 | APPARATUS FOR MEASURING SURFACE TENSION - An apparatus for measuring surface tension includes: a U-shaped communicating tube having a base section that defines a horizontal line, and first and second sections that extend from the base section, and that respectively have first and second top open ends distal from the base section; and a capillary connected to the second top open, and having a top open end. The U-shaped communicating tube has a diameter greater than that of the capillary such that the U-shaped communicating tube does not exhibit capillary property when a liquid is filled therein. The first top open end has a height relative to the horizontal line that is greater than that of the top open end of the capillary such that the height difference therebetween is greater than that between the liquid level at the first section and a liquid drop formed on the top open end of the capillary. | 08-20-2009 |
Ming-Yu Lin, Tainan City TW
Patent application number | Description | Published |
---|---|---|
20090010569 | IMAGE DATA PROCESSING METHOD AND IMAGE DISPLAY APPARATUS - An image data processing method is provided. In this method, a plurality of original pixel values of an image is input. An interpolation position of a target pixel in the image is determined. Whether the interpolation position is in a central region of an object or in a marginal region of an object is determined. A pixel value interpolation with respect to the interpolation position is performed. When the interpolation position is in the central region of an object, the pixel value interpolation is performed in a first calculation mode, and when the interpolation position is in the marginal region of an object, the pixel value interpolation is performed in a second calculation mode, wherein the first calculation mode may be a low pass filtering interpolation mode, and the second calculation mode may be a linear interpolation mode. | 01-08-2009 |
20130147385 | COMPENSATING LED CURRENT BY LED CHARACTERISTICS FOR LED DIMMING CONTROL - LED dimming control circuit and method compensate LED current or LED average current by LED characteristics to improve dimming efficiency and performance. LED characteristic related look-up tables are stored to provide compensation values, and input LED current setting information is compensated by the compensation values to generate corrected LED current setting information for determining LED brightness. | 06-13-2013 |
Mou Li Lin, Tainan City TW
Patent application number | Description | Published |
---|---|---|
20110072708 | Rodent Trap Having A Pivoting Platform - A trap with a housing having a first wall, an entrance, and a base; a latch mechanism coupled with the base; a pivoting platform pivotally coupled with the housing adjacent a juncture of the base and first wall and adapted to releasably engage with the latch mechanism; and a trigger plate assembly including a first trigger plate and a second trigger plate that are pivotally coupled with the pivoting platform. The first trigger plate is operably coupled with the latch mechanism and the second trigger plate is operably coupled with the first trigger plate. When the trap is triggered by an animal, the pivoting platform disengages from the latch mechanism and the pivoting platform and trigger plate assembly pivot toward the first wall of the housing, moving the rodent toward the first wall of the housing and trapping the rodent between the trigger plate assembly and the first wall of the housing. | 03-31-2011 |
20120011764 | Animal Trap with Collapsible Arm - An animal trap has a base, an upper jaw pivotally coupled with the base so that it is movable between a closed position and an open position, and a set arm that is pivotally coupled with the upper jaw and moveable between a collapsed position and an open position. In its open position, the set arm provides a lever whereby application of a force to the set arm moves the upper jaw toward its open position. A method for trapping an animal includes moving a trap between a first position, where the upper jaw is closed, and the set arm is collapsed, and a second position, where the upper jaw is closed and the set arm is open. The method further includes the step of moving the trap between a second position and a third position in which the upper jaw is open, and the set arm is open. | 01-19-2012 |
Pao-Yen Lin, Tainan City TW
Patent application number | Description | Published |
---|---|---|
20080300447 | Dual-Pulsation Bi-Ventricular Assist Device - A ventricular assist device is disclosed which comprises a sac for wrapping around a portion of a heart, the sac having one or more inflatable chambers for compressing the heart when the chambers being inflated and a blood outlet made to an aorta, the blood outlet being the sole opening in the human blood path in the vicinity of heart, wherein during a systolic phase the inflatable chambers inflate while blood flows out of the aorta through the blood outlet, and during a diastolic phase the inflatable chambers deflate while blood flows into the aorta through the blood outlet. | 12-04-2008 |
20080306329 | Ventricular Assist Device - A manifold for accessing blood from a human blood vessel is disclosed which comprises a first and a second pathway intersecting with each other at an angle, the first pathway being configured to be completed embedded in the human blood vessel with the second pathway leading toward outside of the human blood vessel wherein the manifold is substantially retained by the human blood vessel alone. | 12-11-2008 |
Ping-Chen Lin, Tainan City TW
Patent application number | Description | Published |
---|---|---|
20100182906 | CALL ADMISSION CONTROLLER AND METHOD THEREOF AND MULTI-HOP WIRELESS BACKHAUL NETWORK SYSTEM USING THE SAME - A method for managing a call admission controller in a multi-hop wireless backhaul network is illustrated. The method provides a call access control mechanism in a multi-hop wireless backhaul network system by calculating at least one service quality parameter in the network and determining if service data transmitted from relay nodes is allowed to enter a gateway of the network according to the at least one service quality parameter. In exemplary embodiments of present invention, the service quality parameter includes a throughput, an average delay of packets, a number of remote devices, a packet loss rate, a number of the relay nodes, and an amount of service data of a specific class traffic in the network. A topology of the network may be a ring, a chain, or a tree topology, and a ripple protocol is utilized as media access control protocol in the network. | 07-22-2010 |
Sam Lin, Tainan City TW
Patent application number | Description | Published |
---|---|---|
20080218189 | Method and System for Automatically Managing Probe Mark Shifts - Disclosed is a method and a system for automatically managing probe mark shifts. A determination is made from test data as to whether a die on a wafer is defective. A probe mark check on the wafer is made to determine whether a probe mark is shifted. Necessary recovery action is performed in response to the probe mark being shifted. In the probe mark check, a plurality of probe mark positions are selected from the test data. A determination is then made as to whether at least one of the plurality of probe mark positions violates an engineering rule. | 09-11-2008 |
Shih-Te Lin, Tainan City TW
Patent application number | Description | Published |
---|---|---|
20110080030 | ARMREST AND METHODS FOR MAUFACTURING SAME - A method for manufacturing an armrest includes making a plurality of core sections. Each core section is formed by bonding a plurality of waste pieces of high-quality wood together. Each of two adjacent ends respectively of two adjacent core sections is machined to provide a serrated coupling face having a plurality of grooves and a plurality of protrusions. The core sections are bonded together to form a core having a desired length by applying adhesive to the serrated coupling faces. A plurality of upper boards made of the same material as the core is bonded to a top side of the core. A plurality of lateral boards made of the same material as the core is bonded to each of two lateral sides of the core. | 04-07-2011 |
Shih-Ting Lin, Tainan City TW
Patent application number | Description | Published |
---|---|---|
20090285528 | CLADDING GRATING AND FIBER SIDE-COUPLING APPARATUS USING THE SAME - A fiber side-coupling apparatus can be spliced with active fiber as a fiber-based side-coupler in series at both sides for distributively-pumped monolithic fiber lasers. This side-coupling apparatus includes a large-mode-area double-clad passive optical fiber. A cladding grating, formed on the cladding surface of the passive fiber, comprises a plurality of grating members and a reflection layer formed thereon. A laser diode bar array is disposed on one side of the optical fiber opposite the cladding grating. A collimation device, placed between the optical fiber and the laser diode bar array, is used to collect the pump beam to the cladding grating as much as possible in fast axis and collimate the pump beam to be incident to the cladding grating in slow axis as normally as possible. The collimated pump beams emitted from a laser diode bar array are normally incident to the cladding grating within the alignment tolerance of ±2 to ±4 degrees. Without the reentrance loss effect, the pump beams diffracted and reflected by the cladding grating propagates in the inner cladding of the passive fiber due to total internal reflection. In one embodiment, the grating member can be a binary or blazed cross section. | 11-19-2009 |
20100137851 | LASER SURGICAL APPARATUS - A laser surgical apparatus is provided. The laser surgical apparatus includes a laser generator, a laser delivery module for delivering a laser beam to biological tissues, a fluid source, a fluid delivery module for delivering a fluid to the biological tissues, and a control module for controlling all the above units. | 06-03-2010 |
20100142563 | FIBER LASER DEVICE - A fiber laser device includes a laser pump for irradiating a laser beam, an optical component, an optical fiber and an optical sensor. The optical component has a first and a second output end, wherein a portion of the laser beam is output from the first output end and another portion of the laser beam is output from the second output end. The optical fiber including a core and a cladding layer is optically coupled between the laser pump and the optical component. A inclined angle θ of the first output end satisfies the following relations that θ | 06-10-2010 |
20100142564 | ALL-FIBER COLOR LASER AND LIGHT-ILLUMINATING METHOD THEREOF - An all-fiber color laser and a light-illuminating method thereof are disclosed. The steps of the light-illuminating method include: providing a fiber color laser having a pump light source and an optical fiber with a multi-level wavelength gain medium, a first grating assembly and a second grating assembly; radiating a laser via the pump light source; generating a plurality of laser beams with various wavelengths via the multi-level wavelength gain medium; adjusting the deformation of the second grating assembly to control output of the laser beams with various wavelengths; and executing periodical modulation to generate a periodical lengthwise deformation of the second grating assembly for mixing color. | 06-10-2010 |
20100166027 | LASER APPARATUS WITH ALL OPTICAL-FIBER - A laser apparatus with all optical-fiber includes a plurality of pumping light sources in different wave bands and an optical-fiber laser system. The optical-fiber laser system includes an optical fiber at least doped with erbium (Er) element and doped with or not doped with ytterbium (Yb) element according to a need. The optical-fiber laser system outputs a laser light through the pumping light source. | 07-01-2010 |
20110026892 | OPTICAL FIBER STRUCTURE WITH FILTERING THIN FILM - An optical fiber with filtering thin film includes a first ferrule having a first through hole and a first contact surface. A first fiber is disposed into the first through hole, extending to the first contact surface. A first interface coupling material is between the first ferrule and the first fiber. A second ferrule has a second through hole and a second contact surface. A second fiber is disposed into the second through hole, extending to the second contact surface. A second interface coupling material is between the second ferrule and the second fiber. The first contact surface and the second contact surface are parallel and have an included tilt angle from a perpendicular transverse plane of first fiber. An optical filtering film is disposed between the first contact surface and the second contact surface. The first fiber and the second fiber are aligned. | 02-03-2011 |
20120069859 | LOOP OPTICAL SYSTEM AND ALL-FIBER Q-SWITCHED LASER USING THE SAME - An all-fiber Q-switched laser including a laser resonant cavity and a loop optical system is provided. The loop optical system is disposed inside the laser resonant cavity, and the all-fiber Q-switched laser generates a pulsed laser through the loop optical system. The loop optical system includes a plurality of wavelength-division elements and a saturable absorber. One of the wavelength-division elements is coupled with another one of the wavelength-division elements through corresponding first connecting fibers. Two ends of the saturable absorber are respectively coupled to second connecting fibers of the wavelength-division elements, wherein the saturable absorber and the two wavelength-division elements form a loop such that an auxiliary unsaturated light source can be transmitted in the loop. | 03-22-2012 |
20120147909 | FIBER LASER SYSTEM - A fiber laser system including a laser pumping source, first and second wavelength reflectors, first and second gain fibers, and first and second long wavelength reflectors is provided. The laser pumping source is adapted to emit a pumping beam. The first wavelength reflector is coupled to the laser pumping source. The first gain fiber is coupled between the first and the second wavelength reflectors. The first long wavelength reflector is coupled between the first gain fiber and the second wavelength reflector. The second long wavelength reflector is coupled between the first long wavelength reflector and the second wavelength reflector. The second gain fiber is coupled between the first and the second long wavelength reflectors. The diameter of the core of the first gain fiber is greater than the diameter of the core of the second gain fiber. | 06-14-2012 |
20120148182 | POLARIZATION MODULATION DEVICE AND LASER SYSTEM FOR WIDEBAND LASER - A polarization modulation device for wideband laser comprises a first polarization maintaining optical fiber, a second polarization maintaining optical fiber, and a non-polarization maintaining optical fiber. The non-polarization maintaining optical fiber includes a first polarization controller coupled with the first polarization maintaining optical fiber, and a second polarization controller coupled with the second polarization maintaining optical fiber. | 06-14-2012 |
20120155499 | MODE LOCKED FIBER LASER SYSTEM - A mode-locked laser system comprises a stimulating laser pump, a pulse-modulating laser pump, and an optical oscillator. The stimulating laser pump and the pulse-modulating laser pump emit a stimulating laser light and a pulse-modulating laser light. The optical oscillator further includes a gain medium, a saturable absorber, a first terminal, and a second terminal. The stimulating laser light and the pulse-modulating laser light are emitted into the gain medium to generate a gain laser light. When the gain laser light is emitted into the saturable absorber, an ultra-short pulse laser light is generated. | 06-21-2012 |
20130107898 | LASER APPARATUS | 05-02-2013 |
20130141781 | ULTRAFAST LASER GENERATING SYSTEM AND METHOD THEREOF - An ultrafast laser generating system comprises a laser signal generator, a laser signal amplifier and a beam splitting element. The laser signal generator is configured to generate a first nanosecond pulse laser. The laser amplifier is configured to amplify the first nanosecond pulse laser from the laser signal generator so as to generate a second nanosecond pulse laser, which includes a picosecond pulse laser. The beam splitting element is configured to receive the second nanosecond pulse laser and split the picosecond pulse laser from the second nanosecond pulse laser. | 06-06-2013 |
20130329756 | LASER APPARATUS - A laser apparatus includes an optical fiber component and a pump light source coupled to the optical fiber component. The optical fiber component includes a first fiber segment, a second fiber segment and a connecting segment that connects the first and second fiber segments. The first fiber segment includes a fiber core having a first diameter, and the second fiber segment includes a fiber core having a second diameter. The first diameter may be greater than the second diameter, and the connecting segment may have a periodically varying refractive index. | 12-12-2013 |
20140133513 | LASER DEVICE AND METHOD FOR GENERATING LASER LIGHT - A laser device including a laser crystal, a first lens, an induced light source, a third light source and a second lens and a method for generating a laser light are disclosed. The laser crystal includes a gain medium, a first cross section and a second cross section. The first lens is located on the first cross section of the laser crystal. The induced light source is adapted to generate an induced light entering into the laser crystal through the first lens. The third light source is adapted to generate a third light which is adapted for emitting the laser crystal. The third light and the induced light are adapted to induce the liquid crystal to make the liquid crystal generate a first light and a second light. | 05-15-2014 |
20140153594 | APPARATUS FOR GENERATING PULSE TRAIN WITH ADJUSTABLE TIME INTERVAL - An apparatus for generating a pulse train with an adjustable time interval is provided. The apparatus, being an annular optical cavity structure, includes a seed source receiving end, a pump source receiving end, an optical coupler, an optical combiner, a gain fiber, an optical path time regulator and a beam splitter. Thus, the apparatus is capable of generating a pulse train with an adjustable time interval to increase material processing quality and speed. | 06-05-2014 |
20140153597 | APPARATUS FOR GENERATING SHORT-PULSE LASER USING TEMPORALLY MODULATED SIDEBAND GAIN - An apparatus for generating a short-pulse laser using a temporally modulated sideband gain is provided. The apparatus includes a laser diode and an external reflector. By use of a time difference resulted by a nanosecond laser pulse signal at the external reflector, a sideband gain is obtained for generating a short-pulse picosecond laser output. | 06-05-2014 |
Shin-Cheng Lin, Tainan City TW
Patent application number | Description | Published |
---|---|---|
20100207174 | SEMINCONDUCTOR STRUCTURE AND FABRICATION METHOD THEREOF - The invention provides a method for forming a semiconductor structure. A plurality of first type well regions is formed in the first type substrate. A plurality of second type well regions and a plurality of second type bar doped regions are formed in the first type substrate by a doping process using a mask. The second type bar doped regions are diffused to form a second type continuous region by annealing. The second type continuous region is adjoined with the first type well regions. A second type dopant concentration of the second type continuous region is smaller than a second type dopant concentration of the second type bar doped regions. A second type source/drain region is formed in the second type well region. | 08-19-2010 |
20120190169 | METHOD FOR FABRICATING DEEP TRENCH ISOLATION - The invention provides a method for fabricating a deep trench isolation including: providing a substrate; forming a first trench in the substrate; conformally forming a first liner layer on the sidewall and bottom of the first trench; forming a first filler layer on the first liner layer and filling the first trench; forming an epitaxial layer on the substrate and the first trench; forming a second trench through the epitaxial layer and over the first trench; conformally forming a second liner layer on the sidewall and bottom of the second trench; and forming a second filler layer on the second liner layer and filling the second trench. | 07-26-2012 |
20140124856 | SEMICONDUCTOR DEVICE AND FABRICATING METHOD THEREOF - A semiconductor device including a semiconductor substrate of a first conductivity type and an epitaxial structure of the first conductivity type disposed thereon is disclosed. A well region of a second conductivity type is formed in the epitaxial structure and the semiconductor substrate. A drain region and a source region are respectively formed in the epitaxial structure inside and outside of the well region. At least one set of the first and second heavily doped regions is formed in the well region between the drain region and the source region, wherein the first and second heavily doped regions of the first and second conductivity type, respectively, are stacked vertically from bottom to top and have a doping concentration which is larger than that of the well region. A gate structure is disposed on the epitaxial structure. A method for fabricating a semiconductor device is also disclosed. | 05-08-2014 |
20140124858 | SEMICONDUCTOR DEVICE AND FABRICATING METHOD THEREOF - A semiconductor device is provided. The device includes a semiconductor substrate and a gate structure thereon. A well region is formed in the semiconductor substrate. A drain region and a source region are respectively formed in the semiconductor substrate inside and outside of the well region. At least one set of the first and second heavily doped regions is formed in the well region between the drain region and the source region, wherein the first and second heavily doped regions are stacked vertically from bottom to top and have a doping concentration which is larger than that of the well region. The semiconductor substrate and the first heavily doped region have a first conductivity type and the well region and the second heavily doped region have a second conductivity type. A method for fabricating a semiconductor device is also disclosed. | 05-08-2014 |
Shin-In Lin, Tainan City TW
Patent application number | Description | Published |
---|---|---|
20100154513 | GAS MIXING APPARATUS - A gas mixing apparatus including a chamber, a filter, a gas transmitting unit and a porous layer is provided. The chamber includes a gas inlet and a gas outlet. The filter, which has at least one opening, is disposed at the gas outlet of the chamber. An environmental gas outside the chamber is filtered and becomes a clean gas after flowing through the filter into the chamber. The gas transmitting unit is connected to the opening of the filter to transmit a gas to be mixed into the chamber through the opening. The porous layer is disposed at the gas outlet. The gas to be mixed is mixed with the clean gas and leaves the chamber through the porous layer. | 06-24-2010 |
Shueei-Muh Lin, Tainan City TW
Patent application number | Description | Published |
---|---|---|
20100051373 | Driving mechanism for the motorized bicycle - A driving mechanism for motorized bicycle is provided. The driving mechanism comprises a motor driving transmission device, a front driving sprocket and a pedal driving transmission device. The motor driving transmission device inwardly includes a motor shell, a magnet stator, an electromagnetic wire-coiled rotor and a cylindrical hollow rotatable shaft. The front driving sprocket has a transmission rotatable sleeve disposed therein for insertion of the hollow rotatable shaft there between. The pedal driving transmission device includes a pair of pedal cranks and a pedal driving shaft passing through the transmission rotatable sleeve and hollow rotatable shaft. First and Second single direction irreversible transmission devices are arranged such that either the motor driving transmission device or pedal driving transmission device may drive the transmission rotatable sleeve and front driving sprocket in proper rotational direction. | 03-04-2010 |
20140127015 | AUTOMATIC ADJUSTMENT DEVICE FOR ADJUSTING INCLINATION OF BLADES OF WIND TURBINES - An automatic adjustment device for adjusting inclination of blades of wind turbines includes a first housing having multiple blades connected thereto and each blade has a first connection portion which is inserted into the firs housing. A transmission unit is connected to the first housing. A pump unit and a speed-changing member are mechanically connected to the transmission unit. The pump unit is mechanically connected to the speed-changing member. The pump unit has a driving unit which is connected with a transmission disk which is connected to the connection portions of the blades. The pump unit is activated by speed difference between the speed-changing member and the transmission unit so as to rotate the transmission disk via the driving unit, and adjust the inclination angle of the blades to protect the blades and increase the efficiency of the wind turbine. | 05-08-2014 |
Shu Fan Lin, Tainan City TW
Patent application number | Description | Published |
---|---|---|
20090185466 | RECORDING POWER CALIBRATING METHOD FOR IMPROVING SEEKING STABILITY ON RECORDING POWER CALIBRATION AREA - In an optimal recording power calibration method for improving seeking stability on a recording power calibration area, a specific area serves as a data recording area during an optimal recording power calibration, wherein a length of the specific area is such that a plurality of times of optimal recording power calibrations can be performed. The method includes: an optimal recording power calibration step of recording, with different recording power, a first length of calibration data in the specific area, and calibrating optimal recording power, wherein a data sector recorded in this step is defined as a calibration recording sector; and a data recording step of recording, with data recording power, a second length of information having a logical address beside the calibration recording sector of the specific area, wherein a data sector recorded in the step is defined as an information recording sector. | 07-23-2009 |
Sung Chun Lin, Tainan City TW
Patent application number | Description | Published |
---|---|---|
20100073065 | INTEGRATED GATE DRIVER CIRCUIT AND DRIVING METHOD THEREFORE - An integrated gate driver circuit receives a plurality of clocks and includes a plurality of driving units cascaded in series. Each driving unit is for driving a load and includes an input terminal, an output terminal, a first switch and a second switch. The first switch has a first terminal coupled to the input terminal, a second terminal coupled to a first node, and a control terminal receiving a first clock, and the first switch is turned on when the first clock is at high level. The second switch has a first terminal receiving a second clock, a second terminal coupled to the output terminal, and a control terminal coupled to the first node, wherein the second clock charges and discharges the load through the second switch when the first node is at high level; wherein the output terminal of each driving unit is coupled to the input terminal of the immediately succeeding driving unit. | 03-25-2010 |
20100207902 | IN-CELL TOUCH-SENSITIVE PANEL - An in-cell touch-sensitive panel includes TFT and CF substrates. The TFT substrate includes a net-shaped readout circuit and conductive pads arranged in array manner. The net-shaped readout circuit includes widthwise and lengthwise readout lines. The widthwise readout lines are electrically connected to the lengthwise readout lines. The conductive pads are electrically connected to the net-shaped readout circuit. Spacers are adapted to keep a first gap between the TFT and CF substrates. Protrudent portions are arranged to be corresponding to the conductive pads, and there is a second gap between the protrudent portion and the conductive pad. A transparent electrode covers the spacers and the protrudent portion. | 08-19-2010 |
20100225840 | GROUNDING STRUCTURE - A grounding structure for a display device is provided. The grounding structure includes a substrate, a first connecting pad, a second connecting pad, a connecting structure and a grounding line. The first and second connecting pads are disposed on the substrate. The connecting structure electrically connects the first and second connecting pads. The first grounding line is disposed on the substrate, and has a length larger than two thirds of the projected length of the connecting structure on the surface of the substrate. | 09-09-2010 |
20100225867 | TFT ARRAY SUBSTRATE AND TWISTED NEMATIC LIQUID CRYSTAL DISPLAY PANEL - A TFT array substrate includes a plurality of gate lines, common lines, data lines and pixel electrodes. Each common line includes a common electrode which is perpendicular to the gate line and has a first width. The data line has a second width and is perpendicular to the gate line, wherein two adjacent gate lines and two adjacent data lines define a pixel. The pixel electrodes are located in the pixels respectively, wherein the common electrode is overlapped with the data line and a part of the pixel electrode, and the common electrode completely shelters a gap between the pixel electrode and the data line. | 09-09-2010 |
20100328277 | LIQUID CRYSTAL DISPLAY AND PIXEL ARRANGEMENT METHOD THEREOF - A pixel arrangement method for a liquid crystal display includes the steps of: inputting data signals with different driving polarities to odd data lines and even data lines respectively; and changing connections between a gate of thin film transistor and gate lines and connections between a source of thin film transistor and data lines in every pixel area whereby the driving polarity is inverted every two pixel areas in a transverse direction and is inverted every pixel area in a longitudinal direction. The present invention further provides a liquid crystal display. | 12-30-2010 |
20110248943 | Touch Panel - A touch panel is provided. The touch panel having a pixel area and a sensing area includes a first substrate and an opposite second substrate. A press sensing spacer is disposed on the sensing area of the first substrate. A press sensing stage is disposed on the sensing area of the second substrate, corresponding to the press sensing spacer. An alignment layer is disposed over the second substrate, covering the press sensing stage and the pixel area of the second substrate. In an embodiment, the height of the press sensing stage is greater than the height from the surface of the second substrate at the pixel area to the bottom of the alignment layer by at least 0.05 μm. | 10-13-2011 |
20110310036 | TOUCH PANEL AND PIXEL ARAY THEREOF - A pixel array includes a plurality of pixels, data lines, readout lines and gate lines, wherein a data line and a readout line are alternatively disposed between every two adjacent pixels; two pixels adjacent to each data line are coupled to the same data line but coupled to different gate lines; and at least a part of the readout lines are coupled to one of the two pixels adjacent thereto. The present invention further provides a touch panel including the above pixel array. | 12-22-2011 |
20120021663 | REPAIR STRUCTURE AND METHOD FOR LIQUID CRYSTAL DISPLAY - A data line repair structure for a liquid crystal display panel is disclosed. The data line repair structure includes a first repair line parallel to the scan line and crossing a first end of the data line; a fourth repair line formed in an oblique line area of the liquid crystal panel, coupled to the first repair line; a second repair line parallel to the data line, coupled to the gate driving chip and the fourth repair line; a third repair line parallel to the scan line, coupled to the second repair line and separated from a second end of the data line; and a floating line connected between the third repair line and the second end of the data line when the data line has a broken point. | 01-26-2012 |
20120127121 | IN CELL TOUCH PANEL - Embodiments of the present invention employ resistance compensation to broaden voltage reading range of readout lines connected to a processing chip of an in cell touch panel. The resolution of the in cell touch panel is increased under the condition that the number of pins of the processing chip is not increased or is unchanged. | 05-24-2012 |
20130009886 | TOUCH PANEL - An embodiment of this invention provides a touch panel, which comprises a touch screen and a display. The touch screen comprises a patterned, transparent first conductive layer comprising a sensing pattern and a shielding pattern. The touch panel features in that the touch screen employs the sensing pattern as a sensing layer, and the display employs the shielding pattern as an electrostatic protection layer. | 01-10-2013 |
20130098664 | Resolution-Adjustable Touch Panel and Manufacturing Method Thereof - A resolution-adjustable touch panel and a manufacturing method thereof. The resolution-adjustable touch panel includes a substrate, a plurality of first, second, third and fourth sensor strings, and a flexible printed circuit. The flexible printed circuit board includes a plurality of first, second, third and fourth pins. The first and third pins are respectively and electrically connected to the first and third sensor strings, and the second and fourth pins are respectively and electrically connected to the second and fourth sensor strings by dummy pins. From this, a different-resolution touch panel can be made from the substrate with the same sensor string. Thus, the cost for masks can be reduced. | 04-25-2013 |
20130154961 | TOUCH PANEL AND TOUCH DISPLAY DEVICE - A touch panel and a touch display device are disclosed, in which the touch panel includes a substrate, a touch component layer and a shielding-electrode film. The substrate has a surface. The touch component layer is disposed on the surface of the substrate and includes a metallic layer, an electrode layer, a protection layer and a hard coat layer. The protection layer is disposed between the metallic layer and the electrode layer. The hard coat layer at least covers the metallic layer and the electrode layer. The shielding-electrode film is disposed on the hard coat layer of the touch component layer, wherein the touch component layer is located between the substrate and the shielding-electrode film, and a resistance of the shielding-electrode film is greater than a resistance of the electrode layer. | 06-20-2013 |
20130329153 | LIQUID CRYSTAL DISPLAY PANEL AND PIXEL ARRAY SUBSTRATE THEREOF - A liquid crystal display (LCD) panel and pixel array substrate thereof is related to the pixel array substrate including a first substrate, gate lines, data lines, thin film transistors, a first insulation layer, a transparent conductive layer, a second insulation layer, contact holes, and pixel electrodes. The gate lines, the data lines, and the thin film transistors are disposed on the first substrate, and the first insulation layer covers thereon. The transparent conductive layer is disposed on the first insulation layer and has a common voltage level. The second insulation layer is disposed between the transparent conductive layer and the pixel electrodes, and insulates the transparent conductive layer from the pixel electrodes. The pixel electrodes are coupled electrically to third terminals of the corresponding thin film transistors through the corresponding contact holes. | 12-12-2013 |
20130329154 | DISPLAY DEVICE - The display device includes a metal layer, a common electrode, a pixel electrode, a liquid crystal layer and a protective layer. The common electrode is disposed above the metal layer. The pixel electrode is disposed above the common electrode. The liquid crystal layer is disposed above the pixel electrode and the common electrode. The protective layer is disposed between the metal layer and the common electrode, and includes one of a color translucent material and a transparent polymer material. | 12-12-2013 |
20140043257 | TOUCH MODULE - A touch module includes a display module, a touch sensing layer and a protecting substrate. The touch sensing layer includes a shielding part, a hardening layer and a flat layer. The hardening layer has an open slot near the shielding part for separating a portion from another portion of the hardening layer. The touch module will not have uneven surface occurred at stacking and bending positions of the edge of the touch module and can avoid the occurrence of mura phenomenon, so as to enhance the display effect of the touch module. In addition, the flat layer is covered onto the hardening layer and the open slot, so that pattern of the touch sensing layer is mild visually, so as to improve the visual effect of the display of the touch module. | 02-13-2014 |
20140071035 | LIQUID CRYSTAL DISPLAY AND SHIFT REGISTER DEVICE THEREOF - A liquid crystal display and a shift register device thereof are provided. The shift register device includes a plurality of shift registers connected in series, where an i | 03-13-2014 |
20140159086 | ACTIVE DEVICE ARRAY SUBSTRATE AND DISPLAY PANEL - An active device array substrate and a display panel are provided. The active device array substrate includes a substrate, a first conductor layer, a gate dielectric layer, a second conductor layer, an overcoat layer, a transparent electrode, a capacitive layer and pixel electrodes. The first conductor layer includes gate lines and light-shielding patterns. The gate dielectric layer covers the first conductor layer. The second conductor layer includes data lines and drain electrodes. Each of the data lines correspondingly overlaps one of the light-shielding patterns. The transparent electrode covers the overcoat layer. The pixel electrode is disposed on the capacitive layer and covers a portion of the shielding pattern. Each of the light-shielding patterns has a width greater than that of the overlapping data line. The gap between the edge of the light-shielding pattern and that of the overlapping data line is not greater than 2.5 microns. | 06-12-2014 |
20140198277 | LIQUID CRYSTAL DISPLAY - A liquid crystal display includes a bottom substrate, at least one thin film transistor, an overcoat layer, a bottom common electrode, at least one pixel electrode and a liquid crystal layer. The thin film transistor is disposed on the bottom substrate, including a gate electrode, a source electrode and a drain electrode. The overcoat layer is disposed above the thin film transistor. The bottom common electrode is disposed on the overcoat layer. The pixel electrode is disposed above the bottom common electrode and electrically connected to the drain electrode. The liquid crystal layer is disposed above the pixel electrode. | 07-17-2014 |
20140252381 | ACTIVE DEVICE ARRAY SUBSTRATE - An active device array substrate for saving material cost includes a substrate, scan lines, data lines, a thin film transistor, a color filter layer, a transparent conductive layer, an insulating layer and a pixel electrode. The color filter layer covers and contacts the scan lines, data lines and the thin film transistor. The transparent conductive layer is disposed on the color filter layer and electrically isolated from the scan lines, the data lines and the thin film transistor by the color filter layer. The insulating layer covers the transparent conductive layer. The pixel electrode is disposed on the insulating layer and connected to the thin film transistor. | 09-11-2014 |
20140253424 | SHIFT REGISTER, BIDIRECTIONAL SHIFT REGISTER APPARATUS, AND LIQUID CRYSTAL DISPLAY PANEL USING THE SAME - A shift register, a bidirectional shift register apparatus and a liquid crystal display panel using the same are provided. The shift register includes a precharge unit, a pull up unit, and a pull down unit. The precharge unit receives outputs of a previous two-stage of shift register and a next two-stage of shift register both corresponding to the shift register to thereby generate a precharge signal. The pull up unit is coupled to the precharge unit, and receives the precharge signal and a first input clock signal to thereby output a scan signal. The pull down unit is coupled to the precharge unit and the pull up unit, and receives the precharge signal, the first input clock signal and a second input clock signal to control a voltage level of the scan signal, where the first input clock signal and the second input clock signal are inverted in phase. | 09-11-2014 |
20140285743 | LIQUID CRYSTAL DISPLAY - A liquid crystal display includes a first substrate, a second substrate, and a liquid crystal layer, wherein the first substrate is disposed below the second substrate and the liquid crystal layer is disposed between the first substrate and the second substrates. The liquid crystal display further includes at least one thin film transistor, a common electrode, a first insulating layer, and at least one pixel electrode disposed on the upper surface of the first substrate in order. A plurality of bumps are disposed on the lower surface of the second substrate. The thin film transistor includes a gate, a source, and a drain. | 09-25-2014 |
Tingjui Lin, Tainan City TW
Patent application number | Description | Published |
---|---|---|
20100058625 | STEAM IRONING MACHINE - A steam ironing machine, at least comprises a base, a telescopic shaft and an ironing device, wherein the base is provided with a steam generator and an outer case surrounding it. The telescopic shaft with a support seat mounted on its top end is disposed on the base and the support seat can move a distance relative to the base. The ironing device can detachedly be mounted on the support seat, wherein the steam generator in the base is attached to the ironing device. | 03-11-2010 |
Ting-Wei Lin, Tainan City TW
Patent application number | Description | Published |
---|---|---|
20100212379 | Bicycle lock - A bicycle lock includes a first part and a second part located close to bicycle wheels by two bolts and two respective protection members are connected to the first part and the second part respectively. The protection members cover the heads of the bolts so that the unauthorized person cannot access the bolts to detach the bicycle lock from the bicycle. A lock rod is connected to the first part which is pivotable about the bolt corresponding thereto so as to be inserted into the second part to lock the wheel. | 08-26-2010 |
20130032425 | BICYCLE TRANSMISSION DEVICE - The bicycle transmission device includes a hollow tube extending through the bottom bracket and two caps are connected to two ends of the hollow tube. A motor unit has two extensions and multiple fixing assemblies, wherein the extensions are positioned by the caps. The fixing assemblies fix the motor unit to the underside of the bicycle. A shaft extends through the hollow tube and a first one-way transmission device is connected to one end of the shaft. A chainwheel and a first passive wheel are connected to the other end of the transmission shaft. Two cranks are connected to two ends of the transmission shaft. A motor located in the motor unit and has a second passive wheel. The first and second passive wheels transmit energy via the belt. The present invention reduces the space required for the motor and balances the weight of the bicycle. | 02-07-2013 |
Tsung-Yen Lin, Tainan City TW
Patent application number | Description | Published |
---|---|---|
20090289541 | DISPLAY DEVICE AND METHOD OF FORMING THE SAME, AND ELECTRONIC DEVICE HAVING THE SAME - A method of forming a display device is provided. The method includes the following steps: providing a substrate which includes a driving circuit region and a pixel region; forming a first island and a second island in the driving circuit region on the substrate with a semiconductor material; performing a first ion implantation process to dope ions into both of the first island and the second island; forming a first patterned mask on the substrate to cover the second island and expose a part of the first island; performing a second ion implantation process by using the first patterned mask as a mask to form a first source/drain region in the first island; removing the first patterned mask; forming a first gate and a second gate on the first island and the second island respectively; forming a second patterned mask on the substrate to cover the first island and expose a part of the second island; and performing a third ion implantation process by using both of the second patterned mask and the second gate as a mask to form a second source/drain region in the second island. The first island, the first source/drain region, and the first gate form a NMOS device, and the second island, the second source/drain region, and the second gate form a PMOS device. | 11-26-2009 |
20110306154 | METHOD OF FORMING A DISPLAY DEVICE BY USING SEPARATE MASKS IN FORMING SOURCE AND DRAIN REGIONS OF MOS TRANSISTORS - A method of forming a display device is provided. The method includes the following steps: providing a substrate which includes a driving circuit region and a pixel region; forming a first island and a second island in the driving circuit region on the substrate with a semiconductor material; performing a first ion implantation process to dope ions into both of the first island and the second island; forming a first patterned mask on the substrate to cover the second island and expose a part of the first island; performing a second ion implantation process by using the first patterned mask as a mask to form a first source/drain region in the first island; removing the first patterned mask; forming a first gate and a second gate on the first island and the second island respectively; forming a second patterned mask on the substrate to cover the first island and expose a part of the second island; and performing a third ion implantation process by using both of the second patterned mask and the second gate as a mask to form a second source/drain region in the second island. The first island, the first source/drain region, and the first gate form a NMOS device, and the second island, the second source/drain region, and the second gate form a PMOS device. | 12-15-2011 |
Tung-Ho Lin, Tainan City TW
Patent application number | Description | Published |
---|---|---|
20080279956 | Method for collecting a live placenta cord stem cell - The present invention discloses a method for collecting a live placenta cord stem cell, in which the live placenta cord stem cells are required to be healthy and plenty of endocrine. The cord is first picked with a proper length, then dipped in the sodium citrate solution of a specific concentration as an anticoagulant and then preserved in a refrigerator to maintain natural activity thereof. The collected stem cells can be implanted into human bodies without synthetic chemicals, side effects and rejection, and therefore are suitable for treating many diseases. | 11-13-2008 |
Tzu-Pin Lin, Tainan City TW
Patent application number | Description | Published |
---|---|---|
20090322986 | Color light guide panel and liquid crystal display - A color light guide panel, suitable for differentiating an incident light into multiple color lights is provided. The color light guide panel includes a substrate and a color light output structure. The substrate has multiple pixel regions, and the color light output structure is disposed in each of the pixel regions. The color light output structure includes a first nano-pattern, a second nano-pattern and a third nano-pattern. The incident light is scattered by the first nano-pattern for producing a first color light, scattered by the second nano-pattern for producing a second color light, and scattered by the third nano-pattern for producing a third color light. The color light guide panel can output uniform and high luminous first, second and third color light. Moreover, a liquid crystal display device having the above color light output structure is also provided. | 12-31-2009 |
20110141764 | BACKLIGHT MODULE - A backlight module is provided. The backlight module has a reflective chamber and a light source. The reflective chamber includes a bottom reflector, a top reflector, at least a light entrance, and a plurality of light emitting openings disposed on the top reflector. The light source is disposed corresponding to the light entrance. The number of the light emitting openings per unit area in a region near the light source is less than the number of the light emitting openings per unit area in another region far from the light source. | 06-16-2011 |
20120200807 | LIQUID CRYSTAL DISPLAY WITH COLOR LIGHT GUIDE PANEL - An LCD including a backlight module and an LCD panel is provided. The LCD panel includes a color light guide panel, suitable for differentiating an incident light into multiple color lights. The color light guide panel includes a substrate and a color light output structure. The substrate has multiple pixel regions, and the color light output structure is disposed in each of the pixel regions. The color light output structure includes first˜fourth nano-patterns. The incident light is scattered by the first nano-pattern for producing a first color light, scattered by the second nano-pattern for producing a second color light, scattered by the third nano-pattern for producing a third color light, and scattered by the fourth nano-pattern for producing a fourth color light. The color light guide panel can output uniform and high luminous first˜fourth color light, and the LCD can display high quality image. | 08-09-2012 |
20130271501 | ORGANIC LIGHT EMITTING DIODE DISPLAY AND OPERATING METHOD THEREOF - An organic light emitting diode (OLED) display and an operating method thereof are provided. The organic light emitting diode display includes an organic light emitting diode display panel and a driving circuit. The organic light emitting diode display panel has a plurality of pixels. The driving circuit is coupled to the organic light emitting display panel and receives a primitive display frame. The driving circuit generates a first frame and a second frame corresponding to the primitive display frame by a polarity inverting means, and outputs a zero gray-level pixel voltage to the corresponding pixels of the organic light emitting diode display panel corresponding to a negative polarity data of the first frame and the second frame, wherein the second frame is adjacent to the first frame. | 10-17-2013 |
20130302560 | GLASS SUBSTRATE STACKING STRUCTURE, DEVICE AND METHOD FOR FILM COATING PROCESS - The present invention provides a glass substrate stacking structure, a glass substrate stacking device, and a method for forming the glass substrate stacking structure, which are particularly suitable for a film coating process to a glass substrate of a TFT-LCD panel. The glass substrate stacking structure includes a first glass substrate and a second glass substrate. The second glass substrate is disposed under the first glass substrate and has air holes. By pumping and blowing air through the air holes, the first glass substrate and the second glass substrate can be adhered to and separated from each other. The present invention also provides a method and a device for stacking the glass substrate stacking structure mentioned above. | 11-14-2013 |
Wei-Guang Lin, Tainan City TW
Patent application number | Description | Published |
---|---|---|
20090052534 | DIRECTION DETECTION ALGORITHMS FOR H.264/AVC INTRA PREDICTION - A block intra prediction direction detection algorithm comprises acts of dividing a block, finding directions from edge assent rules, determining a main edge of the block, selecting prediction modes from the main edge, choosing base prediction modes and using all unique selected and base prediction modes in intra prediction. The algorithms comprise a 4×4 block intra prediction direction detection algorithm, a 16×16 luminance block intra prediction direction detection algorithm and an 8×8 chrominance block intra prediction direction detection algorithm. | 02-26-2009 |
Wei-Teng Lin, Tainan City TW
Patent application number | Description | Published |
---|---|---|
20090326326 | Endoscope Head Apparatus - The present invention discloses an endoscope head apparatus comprising a head mechanism, an elastic element, a support portion, a first wire, a second wire and a bilaterally flexible body. The elastic element is connected to the head mechanism and has a first accommodating space therein. The support portion is connected to the elastic element and has a second accommodating space therein. The first wire and the second wire are connected to head mechanism. The bilaterally flexible body is located at the first accommodating space, and connected to the head mechanism and the support portion respectively, and has a first bendable surface and a second bendable surface. The bilaterally flexible body can swing toward the first surface or the second surface by pulling the first wire or the second wire. | 12-31-2009 |
20100036202 | FOUR-DIRECTIONAL TIP DEFLECTION DEVICE FOR ENDOSCOPE - The present invention discloses a four-directional tip deflection device for endoscope including a tip deflecting mechanism, an elastic element, a support portion, a four-directionally flexible body, and first, second, third and fourth wires. The tip deflecting mechanism includes an endoscope lens, and first, second, third and fourth connecting portions facing the endoscope lens. The elastic element is sheathed to the tip deflecting mechanism. The support portion is sheathed to the elastic element. The four-directionally flexible body disposed in a first accommodating space has an end connected to the tip deflecting mechanism and another end connected to the support portion. The first, second, third and fourth wires are connected to the first, second, third and fourth connecting portions respectively. If the first, second, third or fourth wire is pulled, the four-directionally flexible body will be bent into an angle corresponding with a first, second, third or fourth wire direction respectively. | 02-11-2010 |
20100141745 | Miniature photographic apparatus - The present invention discloses a miniature photographic apparatus applicable to an endoscopic device, and including a casing, at least one light source device and at least one viewing lens device. The casing is disposed at a front end of the endoscopic device. The casing includes an accommodating space. The light source device is disposed in the accommodating space, and emits at least one light and includes a first protecting layer at a front end of the light source device. The viewing lens device is disposed in the accommodating space and includes a second protecting layer at a front end of the viewing lens device. The second protecting layer is separated from the first protecting layer to prevent lights reflected by the second protecting layer from entering into the viewing lens device. | 06-10-2010 |
Wen-Chieh Lin, Tainan City TW
Patent application number | Description | Published |
---|---|---|
20090139429 | CHROME-FREE CORROSION INHIBITOR COMPOSITION - A chrome-free corrosion inhibitor composition includes: titanium chloride; a stabilizer including a mixture of hydrogen peroxide and at least a compound selected from nitric acid, persulfate, nitrate, and chlorate; and a film-forming enhancer selected from monosaccharide, oligosaccharide, polysaccharide, derivatives of saccharide, and combinations thereof. | 06-04-2009 |
Xi-Zhang Lin, Tainan City TW
Patent application number | Description | Published |
---|---|---|
20100249770 | ELECTROMAGNETIC THERMOTHERAPEUTIC APPARATUS AND SYSTEM - An electromagnetic thermotherapeutic system is adapted to treat a biological tissue and includes an electromagnetic thermotherapeutic apparatus and a high frequency induction heating circuit unit. The apparatus includes a needle assembly and a temperature monitor. | 09-30-2010 |
20110054455 | Electromagnetic Thermotherapeutic Apparatus - An electromagnetic thermotherapeutic apparatus includes a tubular needle and an inner needle. The tubular needle has an electromagnetic inductive portion that is made from a material capable of generating heat when subjected to an induction magnetic field, and that has a hollow tip, and a non-electromagnetic inductive portion that is connected to the electromagnetic inductive portion oppositely of the hollow tip. The inner needle is removably insertable into the tubular needle from the non-electromagnetic inductive portion to the hollow tip. | 03-03-2011 |
20120022515 | HEMOSTATIC APPLICATOR AND HEMOSTATIC MODULE - A hemostatic applicator adapted to stop a bleeding site from bleeding is provided. The hemostatic applicator includes a magnetic part, an anti-adhesion layer and a non-magnetic part. The magnetic part is suitable to be heated to a temperature with a high frequency electromagnetic field. The anti-adhesion layer is formed on a surface of the magnetic part, and the magnetic part contacts the bleeding site through the anti-adhesion layer. The non-magnetic part is connected with the magnetic part. A hemostatic module is also provided. | 01-26-2012 |
20120156128 | CONTROLLABLE RELEASE COMPOSITION AND METHOD FOR PREPARING SAME - A controllable release composition is provided, including a polymer substrate and an active ingredient, in which the polymer substrate is a polymer blend including a biodegradable polyester, polyanhydride, and/or polyether, and the active ingredient includes a radioactive agent or a chemotherapeutic agent. The controllable release composition is useful for cancer therapy, particularly for solid cancer treatment. | 06-21-2012 |
20120157749 | HEAT THERAPY - A heat therapy for thermally treating a target tissue within a living body by using a treating apparatus including a treating device with a magnetic part is provided. The magnetic part is heated to a first temperature by a high frequency electromagnetic field with a heating rate ranging from 1 to 5° C./sec, wherein the magnetic part is contacted the target tissue. Temperature controlling steps are performed to the magnetic part having the first temperature, and therefore the magnetic part has a final temperature higher than the first temperature, and a treatment is performed to the target tissue under the final temperature. Each of the temperature controlling steps is a heating step, a cooling step or a temperature conservation step, and the magnetic part is heated or cooled by the high frequency electromagnetic field with a heating rate or a cooling rate ranging from 1 to 5° C./sec. | 06-21-2012 |
20120209053 | Electromagnetic Thermotherapeutic Apparatus - An electromagnetic thermotherapeutic apparatus includes: a plurality of needle units respectively having head portions and needle portions; a base unit having a base plate that is formed with a plurality of first through holes, and a base pad that is formed with a plurality of second through holes, the needle portions of the needle units removably extending through the second and first through holes, the head portions of the needle units abutting against the base pad; a temperature monitor disposed between the base plate and the base pad; an upper unit disposed above the base pad and abutting against the head portions; and a clamp unit clamping and pressing the base unit against the upper unit. | 08-16-2012 |
20130287697 | Pharmaceutical microsphere for embolization - A pharmaceutical microparticle for embolization is disclosed, which includes: a thermoresponsive polymer, an enhancer, a contrast agent, and a solvent. The particle size of pharmaceutical microparticle for embolization is 100-750 μm. The pharmaceutical microparticle for embolization of the present invention is an effective drug carrier, and has biodegradable and X-ray imaging properties. | 10-31-2013 |
20140046314 | ELECTROMAGNETIC THERMOTHERAPY NEEDLE - An electromagnetic thermotherapy needle includes a needle body, a covering element, and a holding portion. The material of the needle body includes a magnetically susceptible material. The needle body is formed integrally as one piece and includes a front portion and a rear portion. The front portion has a tip end, and a radial width of the front portion is larger than that of the rear portion. The covering element covers the rear portion, and includes a magnetically non-susceptible material. The holding portion is connected with the covering element or the needle body. | 02-13-2014 |
20140081069 | DEEP MAGNETIC FIELD GENERATING APPARATUS - A deep magnetic field generating apparatus includes a first coil unit and a second coil unit. The second coil unit is connected with the first coil unit, and disposed around the first coil unit horizontally. Accordingly, the deep magnetic field generating apparatus can generate a desired deep magnetic field. | 03-20-2014 |
20140350538 | COIL-INTEGRATED PAD ASSEMBLY AND AN ELECTROMAGNETIC HYPERTHERMIA SYSTEM INCLUDING THE SAME - A coil-integrated pad assembly for inductively heating a hyperthermia needle device includes a barrier pad and an electromagnetic unit. The barrier pad is adapted to be inserted into a patient's body and to be placed between the hyperthermia needle device and a non-target tissue of the patient's body when the hyperthermia needle device penetrates a target tissue. The electromagnetic unit has a coil that is at least partially disposed in the barrier pad and that is electrifiable to generate a magnetic field sufficient to inductively heat the hyperthermia needle device. | 11-27-2014 |
20140350647 | ELECTROMAGNETIC HYPERTHERMIA ASSEMBLY - An electromagnetic hyperthermia assembly includes: a substrate; a plurality of hyperthermia needles each having a needle portion that extends through and downwardly from the substrate and that has a needle tip distal from the substrate; and a guiding plate having a plurality of through holes. The needle portions of the hyperthermia needles respectively and removably extend through the through holes such that the guiding plate is movable along the needle portions and between the substrate and the needle tips of the needle portions. The through holes keep the needle tips spaced apart from each other at predetermined positions. | 11-27-2014 |
Yen-Heng Lin, Tainan City TW
Patent application number | Description | Published |
---|---|---|
20100255555 | Optically induced cell lysis biochip - The present invention provides an optically induced cell lysis biochip, which comprises: an upper substrate made of a transparent, electrically conductive material; a lower substrate made of a transparent, electrically conductive material; a photoconductive layer formed under the lower surface of the upper substrate or on the upper surface of the lower substrate; and a chamber formed between the upper substrate and the lower substrate. When there is an electric potential difference between the upper and lower substrates, a light spot illuminated on the photoconductive layer can cause the impedance of the illuminated area to decrease, thereby causing the electric current to center on the illuminated area of the photoconductive layer. Further, the electric current flowing through the illuminated area can induce the cell lysis process of a target cell. | 10-07-2010 |
20140342348 | OPTICALLY INDUCED CELL LYSIS BIOCHIP - The present invention provides an optically induced cell lysis biochip, which comprises: an upper substrate made of a transparent, electrically conductive material; a lower substrate made of a transparent, electrically conductive material; a photoconductive layer formed under the lower surface of the upper substrate or on the upper surface of the lower substrate; and a chamber formed between the upper substrate and the lower substrate. When there is an electric potential difference between the upper and lower substrates, a light spot illuminated on the photoconductive layer can cause the impedance of the illuminated area to decrease, thereby causing the electric current to center on the illuminated area of the photoconductive layer. Further, the electric current flowing through the illuminated area can induce the cell lysis process of a target cell. | 11-20-2014 |
Yi-Hsiung Lin, Tainan City TW
Patent application number | Description | Published |
---|---|---|
20090071182 | AUTO-CLEANING DEVICE FOR AN INDOOR AIR CONDITIONER - An auto-cleaning device for an indoor air conditioner includes an indoor air conditioner, a cleaning box and a detergent tank. The indoor air conditioner includes a main base having an air entry and an air exit. A heat exchanger and at least one fan are set under the main base. A filter is put in the air entry. The cleaning box assembled under the indoor air conditioner has an overflow exit, a water exit, and a fan motor to drive the at least one fan. The detergent tank is connected with the indoor air conditioner, containing a detergent solution. In using, let the detergent solution flow into the cleaning box and then, start the fan motor to turn around the at least one fan to make the solution swirled to automatically carry out washing, quickly diminishing bacteria, dirt and smell. The waste solution is finally drained from the water exit. | 03-19-2009 |
20090188651 | COOLER - A cooler includes a main body provided with a water tank for storing cooling water. The water tank is disposed therein with a water pump connected with a water pipe having its rear end provided with a spray pipe. At least one air-cooling radiating device is assembled in the main body, provided with cooling fins. A fan is fixed at an outer side of the main body. At least one wind intake is disposed at a side of the main body. At least one cooling pipe has its upper bent portion passing through the cooling fins and its lower bent portion positioned above the water tank. At least one water-blocking device abutting the air-cooling radiating device is provided with sloping plates, having air current passageways formed between sloping plates. At least one water-cooling radiating device is provided with cooling material and at least one dripping plate with many water holes. | 07-30-2009 |
20090266517 | COOLER - A cooler includes a body, a water tank installed in the body for storing cooling water, a pump fixed in the water tank, a water pipe connected to the pump and extending upward, a spray pipe connected to the water pipe, at least one gas cooling device located above the spray pipe, at least one fan fixed on top of the body, at least one wind hole formed in a side of the body, at least one cooling pipe uprightly installed in the body and having an upper portion passing through heat-dispersing chips of the gas cooling device and a lower portion passing through heat-dispersing chips of the water cooling device, and at least one water-heat exchanger below the spray pipe and having a heat-dispersing element. The cooler has two stages of cooling by air and water, having a high effect of swift cooling high temperature to low temperature. | 10-29-2009 |
20110315345 | INDOOR AIR CONDITIONER ABLE TO CARRY OUT WASHING AND CLEANING AUTOMATICALLY - An indoor air conditioner able to carry out washing and cleaning automatically includes a housing having a separating plate bored with wind outlets and through holes. Two fans are respectively received in two fan-accommodating grooves of the housing; a motor is installed in a motor-accommodating groove, having two rotary shafts respectively connected with the fan and covered with a waterproof bushing. Two filter screens are respectively assembled in the fan-accommodating groove; a heat exchanger is received in a heat exchanger-accommodating groove. The housing has one sidewall bored with a water intake, a water exhaust port and an overflow port. An upper cover is provided with a wind intake and a wind outlet, having a wind intake guide plate installed with disinfecting lamps, and further bored with a filling port and an exhaust port for refrigerant, ice water or hot water, a detergent filling pipe and an external air duct. | 12-29-2011 |
Yin-Chen Lin, Tainan City TW
Patent application number | Description | Published |
---|---|---|
20090101715 | Electronic-card reader structure - An electronic-card reader structure includes a first plate, and a second plate. A printed circuit board is disposed between the first and the second plates. Two ends of the covering are respectively connected with the first plate and the second plate. The position of the covering is correspondingly to the printed circuit board. The first plate, the second plate and the covering are constructed as a rectangle casing with a dimension of a floppy disk. The built-in electronic-card reader structure has better mechanical and structural strength and the material for manufacturing the electronic-card reader structure is further reduced. | 04-23-2009 |
Ying-Chih Lin, Tainan City TW
Patent application number | Description | Published |
---|---|---|
20090107954 | METHOD FOR CONTROLLING ADI-AEI CD DIFFERENCE RATIO OF OPENINGS HAVING DIFFERENT SIZES - A method for controlling ADI-AEI CD difference ratios of openings having different sizes is provided. First, a first etching step using a patterned photoresist layer as a mask is performed to form a patterned Si-containing material layer and a polymer layer on sidewalls thereof. Next, a second etching step is performed with the patterned photoresist layer, the patterned Si-containing material layer and the polymer layer as masks to at least remove an exposed portion of a etching resistive layer to form a patterned etching resistive layer. A portion of a target material layer is removed by using the patterned etching resistive layer as an etching mask to form a first and a second openings in the target material layer. The method is characterized by controlling etching parameters of the first and second etching steps to obtain predetermined ADI-AEI CD difference ratios. | 04-30-2009 |
20090145877 | METHOD FOR CONTROLLING ADI-AEI CD DIFFERENCE RATIO OF OPENINGS HAVING DIFFERENT SIZES - A method for controlling an ADI-AEI CD difference ratio of openings having different sizes is described. The openings are formed through a silicon-containing material layer, an etching resistive layer and a target material layer in turn. Before the opening etching steps, at least one of the opening patterns in the photoresist mask is altered in size through photoresist trimming or deposition of a substantially conformal polymer layer. A first etching step forming thicker polymer on the sidewall of the wider opening pattern is performed to form a patterned Si-containing material layer. A second etching step is performed to remove exposed portions of the etching resistive layer and the target material layer. At least one parameter among the parameters of the photoresist trimming or polymer layer deposition step and the etching parameters of the first etching step is controlled to obtain a predetermined ADI-AEI CD difference ratio. | 06-11-2009 |
20100317195 | METHOD FOR FABRICATING AN APERTURE - A method for fabricating an aperture is disclosed. The method includes the steps of: depositing a dielectric layer and a hard mask on surface of a semiconductor substrate; patterning the hard mask by forming an aperture in the hard mask; utilizing a gas containing C | 12-16-2010 |
20110006437 | OPENING STRUCTURE - An opening structure includes a semiconductor substrate, at least one dielectric layer disposed on the semiconductor substrate, wherein the dielectric layer has a plurality of openings exposing the semiconductor substrate, and each of the openings has a sidewall, a dielectric thin film covering at least a portion of the sidewall of each of the openings, and a metal layer filled in the openings. | 01-13-2011 |
20110174774 | METHOD OF DESCUMMING PATTERNED PHOTORESIST - A method of descumming a patterned photoresist is provided. First a material layer to be etched is provided. The material layer is covered by a patterned photoresist. Then a descum process is preformed to descum the edge of the patterned photoresist by nitrogen. Finally, the descummed patterned photoresist is used as a mask for etching the material layer. | 07-21-2011 |
20110223768 | Method for Forming Contact Opening - A method for forming contact openings is provided. First, a semiconductor device is formed on a substrate. Next, an etching stop layer, a first dielectric layer and a patterned photoresist layer are sequentially formed on the substrate. Next a portion of the first dielectric layer and a portion of the etching stop layer are removed to form an opening, wherein the portion of the first dielectric layer and the portion of the etching stop layer are not covered by the patterned photoresist layer. Next, the patterned photoresist layer is removed. Next, an over etching process is performed to remove the etching stop layer at a bottom of the opening and expose the semiconductor device in a nitrogen-free environment. The reactant gas of the over etching process includes fluorine-containing hydrocarbons, hydrogen gas and argon gas. | 09-15-2011 |
20120001338 | OPENING STRUCTURE - An opening structure is disclosed. The opening structure includes: a semiconductor substrate; at least one dielectric layer disposed on the semiconductor substrate, wherein the dielectric layer has a plurality of openings exposing the semiconductor substrate, and each of the openings has a sidewall; a dielectric thin film covering at least a portion of the sidewall of each of the openings; an etch stop layer disposed between the semiconductor substrate and the dielectric layer and extending partially into the openings to isolate the dielectric thin film from the semiconductor substrate; and a metal layer filled in the openings. | 01-05-2012 |
20120270403 | METHOD OF FABRICATING OPENINGS - A method of fabricating openings is disclosed. First, a semiconductor substrate having a salicide region thereon is provided. An etch stop layer and at least a dielectric layer are disposed on the semiconductor substrate from bottom to top. Second, the dielectric layer and the etching stop layer are patterned to form a plurality of openings in the dielectric layer and in the etching stop layer so that the openings expose the salicide region. Then, a dielectric thin film covering the dielectric layer, sidewalls of the openings and the salicide region is formed. Later, the dielectric thin film disposed on the dielectric layer and on the salicide region is removed. | 10-25-2012 |
20130093062 | SEMICONDUCTOR STRUCTURE AND PROCESS THEREOF - A semiconductor structure includes a substrate, a recess and a material. The recess is located in the substrate, wherein the recess has an upper part and a lower part. The minimum width of the upper part is larger than the maximum width of the lower part. The material is located in the recess. | 04-18-2013 |
20140035066 | Non-Planar FET and Manufacturing Method Thereof - The present invention provides a non-planar FET which includes a substrate, a fin structure, a sub spacer, a gate, a dielectric layer and a source/drain region. The fin structure is disposed on the substrate. The sub spacer is disposed only on a middle sidewall of the fin structure. The gate is disposed on the fin structure. The dielectric layer is disposed between the fin structure and the gate. The source/drain region is disposed in the fin structure. The present invention further provides a method of forming the same. | 02-06-2014 |
20140038417 | SEMICONDUCTOR STRUCTURE AND PROCESS THEREOF - A semiconductor structure includes a substrate, a recess and a material. The recess is located in the substrate, wherein the recess has an upper part and a lower part. The minimum width of the upper part is larger than the maximum width of the lower part. The material is located in the recess. | 02-06-2014 |
Yi-Te Lin, Tainan City TW
Patent application number | Description | Published |
---|---|---|
20110067291 | ROLLER ASSEMBLY FOR FISHING ROD ROLLER GUIDE - A roller assembly for a fishing rod roller guide includes a roller having two receiving portions each receiving a bearing. A side cover is mounted to each of two ends of the roller and includes an inner side having a lip received in a first annular groove in the end of the roller. Each lip includes a second annular groove aligned with a peripheral flange on one of the ends of the roller. A ring made of soft material is partially received in each second annular groove. A gap is formed between each ring and a peripheral flange on one of the ends of the roller. A spacing portion is formed between the inner side of each side cover and one of the ends of the roller. Grease is received in the gaps and seals a portion of each bearing aligned with an end edge of one of the receiving portions. | 03-24-2011 |
You-Kuo Lin, Tainan City TW
Patent application number | Description | Published |
---|---|---|
20100125689 | ELECTRONIC APPARATUS CAPABLE OF RECEIVING DIFFERENT TYPES OF MEMORY CARDS - The invention provides an electronic apparatus capable of receiving a first-type memory card or a second-type memory card. In one embodiment, the electronic apparatus comprises a socket, a controller circuit, and an interface circuit. The socket is coupled to the first-type memory card through a set of first pins and is coupled to the second-type memory card with a set of second pins. The controller circuit accesses the first-type memory card or the second-type memory card via a plurality of input/output (IO) pins, and determines which of the first-type memory card and the second-type memory card is inserted into the socket according to the voltage of a target IO pin selected from the IO pins. The interface circuit sets the voltage of the target pin to different values according to whether the first-type memory card or the second-type memory card is inserted into the socket. | 05-20-2010 |
Yu-Hung Lin, Tainan City TW
Patent application number | Description | Published |
---|---|---|
20100104816 | Optical film - An optical film includes a substrate unit and a light collecting layer. The substrate unit includes a substrate, and a light diffusing layer that is formed on the substrate, and that has a matrix body with a bottom surface contacting the substrate and a plurality of diffusing particles distributed in the matrix body. The percentage of an area of the diffusing particles projected onto a projection plane based on 100 percent of an area of the bottom surface of the matrix body projected onto the projection plane is greater than 25%. The light collecting layer is formed on the light diffusing layer. | 04-29-2010 |
Yu-Ku Lin, Tainan City TW
Patent application number | Description | Published |
---|---|---|
20100311252 | OXYGEN PLASMA REDUCTION TO ELIMINATE PRECURSOR OVERFLOW IN BPTEOS FILM DEPOSITION - A method including providing a semiconductor substrate in a reaction chamber; flowing a first reactant including silicon and oxygen, a boron dopant and a phosphorus dopant into the reaction chamber so that a layer of BPTEOS is deposited on the semiconductor substrate; stopping the flow of the first reactant, boron dopant and phosphorus dopant into the reaction chamber and so that a phosphorus dopant and boron dopant rich film is deposited over the layer of BPTEOS; and reducing the film comprising exposing the film to an O | 12-09-2010 |
20130126950 | Semiconductor Device and Method of Formation - A system and method for forming a semiconductor device is provided. An embodiment comprises forming a silicide region on a substrate along with a transition region between the silicide region and the substrate. The thickness of the silicide precursor material layer along with the annealing conditions are controlled such that there is a larger ratio of one atomic species within the transition region than another atomic species, thereby increasing the hole mobility within the transition region. | 05-23-2013 |
20130175653 | SENSING PRODUCT AND METHOD OF MAKING - This description relates to a sensing product formed using a substrate with a plurality of epi-layers. At least a first epi-layer has a different composition than the composition of a second epi-layer. The sensing product optionally includes at least one radiation sensing element in the second epi-layer and optionally an interconnect structure over the second epi-layer. The sensing product is formed by removing the substrate and all epi-layers other than the second epi-layer. A light incident surface of the second epi-layer has a total thickness variation of less than about 0.15 μm. | 07-11-2013 |
20130264615 | Semiconductor Device and Method of Formation - A system and method for forming a semiconductor device is provided. An embodiment comprises forming a silicide region on a substrate along with a transition region between the silicide region and the substrate. The thickness of the silicide precursor material layer along with the annealing conditions are controlled such that there is a larger ratio of one atomic species within the transition region than another atomic species, thereby increasing the hole mobility within the transition region. | 10-10-2013 |
20140235008 | BACK SIDE ILLUMINATION (BSI) SENSORS, MANUFACTURING METHODS THEREOF, AND SEMICONDUCTOR DEVICE MANUFACTURING METHODS - Back side illumination (BSI) sensors, manufacturing methods thereof, and semiconductor device manufacturing methods are disclosed. In some embodiments, a method of manufacturing a semiconductor device includes providing a workpiece having a front side and a back side opposite the front side. An integrated circuit is formed on the workpiece, and a first insulating material is formed on the back side of the workpiece. A second insulating material is formed over the first insulating material. The second insulating material is patterned to form a grid on the back side of the workpiece. | 08-21-2014 |
20140263956 | High-K Dielectric Grid Structure for Semiconductor Device - The present disclosure provides an image sensor device and a method for manufacturing the image sensor device. An exemplary image sensor device includes a substrate having a front surface and a back surface, a plurality of sensor elements disposed at the front surface of the substrate. Each of the plurality of sensor elements is operable to sense radiation projected towards the back surface of the substrate. The image sensor also includes a high-k dielectric grid disposed over the back surface of the substrate. The high-k dielectric grid has a high-k dielectric trench and sidewalls. The image sensor also includes a color filter and a microlens disposed over the high-k dielectric grid. | 09-18-2014 |
Yu-Mao Lin, Tainan City TW
Patent application number | Description | Published |
---|---|---|
20100128172 | Apparatus and method for motion adaptive deinterlacing - An apparatus and method for motion adaptive deinterlacing is provided. The apparatus includes a motion average unit, a check area defining unit, and a combing check unit. The motion average unit is configured to obtain a weighted mean motion of a target pixel by performing weighted mean for motions of the target pixel and a plurality of neighboring pixels according to similarity of the target pixel and the neighboring pixels. The check area defining unit is configured to search for a specific check area according to the weighted mean motion. The combing check unit is configured to check combing of the specific check area for a deinterlacing format determining unit to determine a deinterlacing method for the pixels within the specific check area. | 05-27-2010 |
20100128173 | APPARATUS AND METHOD FOR MOTION ADAPTIVE DEINTERLACING - An apparatus and method for motion adaptive deinterlacing are provided. According to the method, a mean motion of a target pixel is obtained according to the target pixel and multiple neighboring pixels. A specific check area is obtained by setting the target pixel as a center and extending toward both sides of a first direction when the mean motion is higher than a first threshold value. The specific check area stops extending in reaching a pixel with a motion lower than a second threshold value. The second threshold value is lower than the first threshold value. The specific check area stops extending on a tail side of the first direction by reaching a first specific number of pixels from the target pixel. Combing of the specific check area is checked to determine a deinterlacing method for pixels within the specific check area. | 05-27-2010 |
20100271460 | Method for Improving Image Quality and Color Compensating Device and Image Processing Device - A method for improving image quality in an image processing device comprises receiving an image signal comprising a plurality of field signals corresponding to a plurality of fields, each field signal comprising a plurality of pixel signals corresponding to a plurality of pixels, and each pixel signal comprising an original chrominance value and a compensating chrominance value; replacing the compensating chrominance value of a pixel signal in every field signal by the original chrominance value of another pixel signal of a same position in another field signal, and generating a primary compensating result corresponding to every pixel signal; calculating a primary weighted sum of a pixel signal corresponding to every pixel and a primary compensating result corresponding to the pixel signal according to a degree of variation corresponding to every pixel of every field; and outputting the primary weighted sum corresponding to every pixel, to output primary compensating field signals. | 10-28-2010 |
20100277642 | Text Protection Device and Related Motion Adaptive De-interlacing Device - A text protection device for de-interlacing operation includes a pixel value difference calculation unit, a text detection unit and a vertical interpolation unit. The pixel value difference calculation unit is utilized for calculating pixel value differences of a plurality of pixels of a field according to a position of a target pixel being inserted into the field. The plurality of pixels forms a detection area corresponding to the target pixel. The text detection unit is utilized for determining whether the detection area includes a text stroke and determining whether the target pixel is located within a range of the text stroke to generate a text detection signal. The vertical interpolation unit performs a vertical interpolation operation to generate a pixel value of the target pixel according to the text detection signal. | 11-04-2010 |
20110235938 | Method and Device for Adaptively Removing Noise from an Image - An image processing method for adaptively removing noise from an image is disclosed. The image processing method includes computing a plurality of gradients for one of a plurality of pixels of the image, determining an edge level and an edge direction of the pixel according to the plurality of gradients, selecting a plurality of nearby pixels from the plurality of pixels according to the edge level and the edge direction, computing a plurality of likelihoods between the pixel and the plurality of nearby pixels, generating a plurality of weights according to the plurality of likelihoods, and applying weighted low-pass filtering to the plurality of nearby pixels and the pixel according to the plurality of weights to generate an output pixel. | 09-29-2011 |
20110243441 | Image Transient Improvement Apparatus - An image transient improvement apparatus for suppressing aliasing patterns in an image is disclosed. The image transient improvement apparatus includes a limit detector for detecting a maximum gray level and a minimum gray level of a plurality of pixels of a sub-zone of the image, a filter for acquiring a frequency component of the plurality of pixels at a specific frequency, a weighted second-order derivative detector for calculating a plurality of second-order derivatives of the plurality of pixels and accordingly generating a gain, a multiplier for multiplying the frequency component by the gain to generate an amplified frequency component, an adder for adding the amplified frequency component to the plurality of pixels to generate an adding result, and a limiter for converting the adding result to a transient improved sub-zone according to the maximum gray level and the minimum gray level. | 10-06-2011 |
20120195472 | MOTION ADAPTIVE DE-INTERLACING APPARATUS AND METHOD - A motion adaptive de-interlacing apparatus includes a motion detection unit, an adaptation unit and a motion recursion unit. The motion detection unit is for calculating multiple current motions of multiple pixels of an image block. The adaptation unit analyzes at least one image characteristic of the image block to accordingly adjust and determine an adaptive factor. The motion recursion unit blends the current motions and multiple previous motions corresponding to the pixels according to the adjusted adaptive factor to obtain multiple blending motions. | 08-02-2012 |
Yu-Sheng Lin, Tainan City TW
Patent application number | Description | Published |
---|---|---|
20110003983 | Method of obtaining conformational polymorph of sucrose - A new sucrose is obtained through the present invention. The new sucrose has a lower solubility and a lower melting point. Thus, the new sucrose has a higher stability. The new sucrose obtained through the present invention can be used as an added functionality excipient of drug in pharmaceutical industry. And the new sucrose can also be used in sugar and food industries. | 01-06-2011 |
Yu-Yuan Lin, Tainan City TW
Patent application number | Description | Published |
---|---|---|
20110084057 | Electric Grill - An electric grill includes a base having a compartment in an upper side thereof. A control device is mounted to the base and includes a first electrical coupling mechanism adapted to be electrically connected to an external power source by a power cable. A grill plate is mounted above the compartment of the base and removable from the base. An electric heating device is mounted to the grill plate. The electric heating device includes an electric heating tube and a second electrical coupling mechanism electrically connected to the electric heating tube. The electric heating tube is non-conductive when the grill plate is removed from the base. When the grill plate is mounted on the base, the second electrical coupling mechanism is in electrical connection with the first electrical coupling mechanism, and the electric heating tube is controllable by the control device to be conductive. | 04-14-2011 |
20120007260 | MILK FROTH MACHINE - A milk froth machine includes a base and a top cover. A heating element is electrically connected to a controller mounted in the base. First and second electric connectors are respectively mounted to the base and top cover and electrically connected to the controller. The first and second electric connectors are coupled together when an agitator on the top cover is aligned with a supporting top face of the base. A motor is mounted in the top cover and electrically connected to the second electric connector. A container can be placed on the supporting top face with the agitator extending into the container and with the first and second electric connectors electrically coupled together. The controller controls the motor to drive the agitator to agitate milk in the container to form milk froth or controls the heating element to heat the milk. | 01-12-2012 |
20120181908 | Sheet Assembly For Cabinet - A sheet assembly for a cabinet includes a plurality of sheets having a plurality of horizontal sheets and a plurality of vertical sheets. Each horizontal sheet includes a first insertion/coupling section. Each vertical sheet includes a second insertion/coupling section engageable with one of the first insertion/coupling sections. One of a pair of the first and second insertion/coupling sections includes an insertion groove. The other of the pair of the first and second insertion/coupling sections includes a buckling slot. The sheet assembly further includes a plurality of buckle devices each having a fixed seat, a lever, and a buckle. The fixed seat is fixed to one of the first and second insertion/coupling sections having the insertion groove. The lever is pivotably connected to the fixed seat. The buckle includes an end pivotably connected to the lever. The buckle further includes a hooked portion releasably engaged with one the buckling slots. | 07-19-2012 |
20130229099 | Sheet Assembly For Cabinet - A sheet assembly for a cabinet includes sheets having a plurality of horizontal sheets and a plurality of vertical sheets. Each horizontal sheet includes a first insertion/coupling section. Each vertical sheet includes a second insertion/coupling section engaging one of the first insertion/coupling sections. One of a pair of the first and second insertion/coupling sections includes an insertion groove. The other of the pair of the first and second insertion/coupling sections includes a buckling slot. The sheet assembly includes a plurality of buckle devices each having a fixed seat, a lever, and a buckle. The fixed seat is fixed to one of the first and second insertion/coupling sections having the insertion groove. | 09-05-2013 |
20140175086 | ELECTRIC GRILL PAN DEVICE WITH A SEPARATE POWER CONNECTION - An electric grill pan device includes a heating pan detachably mounted above a base. A socket is mounted in the base and includes a seat and an upper cover. A compartment is defined between the upper cover and the seat. The upper cover includes a plurality of insertion holes aligned with terminals on the seat. The upper cover further includes a notch aligned with an abutment peg on the heating pan. A shield is pivotably mounted in the compartment and includes a guiding portion aligned with the abutment peg. The shield is biased to a position covering the insertion holes. When the heating pan is mounted to the base, the abutment peg presses against the guiding portion and pivots the shield to another position not covering the insertion holes, and conductive ends of a heating element on the heating pan is in electrical contact with the terminals. | 06-26-2014 |