Patent application number | Description | Published |
20130019078 | ACTIVE-ACTIVE REMOTE CONFIGURATION OF A STORAGE SYSTEM - A method for data storage, including configuring a first logical volume on a first storage system and a second logical volume on a second storage system. The second logical volume is configured as a mirror of the first logical volume, so that the first and second logical volumes form a single logical mirrored volume. The method also includes receiving at the second storage system a command submitted by a host to write data to the logical mirrored volume, and transferring the command from the second storage system to the first storage system without writing the data to the second logical volume. On receipt of the command at the first storage system, the data is written to the first logical volume. Subsequent to writing the data to the first logical volume, the data is mirrored on the second logical volume. | 01-17-2013 |
20130019466 | IN-SITU ANNEALING OF A TMR SENSOR - A method in one embodiment includes applying a current to a lead of a tunneling magnetoresistance sensor for inducing joule heating of the lead or a heating layer, the level of joule heating being sufficient to anneal a magnetic layer of the sensor; and maintaining the current at the level for an amount of time sufficient to anneal the tunneling magnetoresistive (TMR) sensor. Additional systems and methods are also presented. | 01-24-2013 |
20130020642 | FINFET SPACER FORMATION BY ORIENTED IMPLANTATION - A FinFET having spacers with a substantially uniform profile along the length of a gate stack which covers a portion of a fin of semiconductor material formed on a substrate is provided by depositing spacer material conformally on both the fins and gate stack and performing an angled ion impurity implant approximately parallel to the gate stack to selectively cause damage to only spacer material deposited on the fin. Due to the damage caused by the angled implant, the spacer material on the fins can be etched with high selectivity to the spacer material on the gate stack. | 01-24-2013 |
20130021907 | METHOD AND SYSTEM FOR COMMUNICATION SESSIONS - A method and computer program product includes calculating a score for one or more communication sessions on a communication device, associating the score with the one or more communication sessions, and measuring a performance metric on the communication device. The performance metric may be indicative of a load on the communication device, and may be caused, at least in part, by the one or more communication sessions. If the performance metric on the communication device falls outside an acceptable value, a number of participants in at least one of the one or more communication sessions may be reduced based on the score associated with the at least one of the one or more communication sessions. | 01-24-2013 |
20130022930 | Method for Reversing Tone of Patterns on Integrated Circuit and Patterning Sub-Lithography Trenches - A method for reversing the tone of a lithographic image on a substrate comprises depositing a modifiable material on a substrate; applying a photolithographic material on the modifiable material; defining a removable patterned area in the photolithopgraphic material by photolithograpic means; removing the patterned area to produce an exposed region in the modifiable material that substantially conforms to the patterned area; producing a reacted modifiable material by increasing the etch resistance of the modifable material substantially throughout the exposed region so that the etch resistance of the exposed region comprises a region that substantially conforms to the exposed region; and removing the photoresist and the modifiable material to leave the reacted modifiable material and substrate. | 01-24-2013 |
20130023093 | RECESSED CONTACT FOR MULTI-GATE FET OPTIMIZING SERIES RESISTANCE - A method to fabricate a transistor including forming at least one electrically conductive channel structure over a substrate, the channel having a length, a width and a first height (h | 01-24-2013 |
20130024401 | Apparatus and Method to Store Information - A data storage facility comprising a plurality of data storage media, an automated data storage library comprising a first plurality of storage cells, one or more data storage devices in communication with the controller, and a controller in communication with one or more host computers, a vault comprising a second plurality of storage cells, wherein the vault does not comprise any data storage devices, and a robotic accessor in communication with the controller, wherein the accessor comprises a gripper mechanism, and wherein the robotic accessor can bidirectionally transport each of the plurality of portable data storage media between the first plurality of storage cells and the one or more data storage devices. | 01-24-2013 |
20130024406 | Scalable Ontology Extraction - Techniques for facilitating learning of one or more ontological rules of a resource description framework database are provided. The techniques include obtaining ontology vocabulary from a resource description framework database, generating a rule hypothesis by incrementally building upon a previously learnt rule from the database by adding one or more predicates to the previously learnt rule, performing a constraint check on the generated rule hypothesis by determining compatibility with each previously learnt rule to ensure that a complete rule set including each previously learnt rule and the generated rule hypothesis is consistent, validating the rule hypothesis as a rule using one or more association rule mining techniques to determine validity of the rule hypothesis against the database, and applying the rule to the database to infer one or more facts from the database to facilitate learning of one or more additional ontological rules. | 01-24-2013 |
20130024502 | COMPUTER DATA COMMUNICATIONS IN A HIGH SPEED, LOW LATENCY DATA COMMUNICATIONS ENVIRONMENT - A method includes issuing a request, from an application of a contributing client device to a stream administration server, to contribute messages from the application to a feed adapter within a data communication environment via a message stream which bypasses the stream administration server. The feed adapter is coupled to a feed source via a feed adapter input stream and to at least one subscribing device via a feed adapter output stream. The feed source is separate from the contributing client device. The feed adapter input stream is separate from the message stream. The method includes receiving, in response to the request and an authentication of the contributing client device. A set of constraints on messages is to be transmitted from the contributing client device to the feed adapter. | 01-24-2013 |
20130024534 | SHARING EMAIL - A trust relationship may be established between a first email user and at least a second email user. At least one email message received by the second user is automatically propagated to the first user based upon, at least in part, the trust relationship. | 01-24-2013 |
20130024586 | VERIFICATION OF HARDWARE CONFIGURATION - A method for verifying an input/output (I/O) hardware configuration is provided. Data from an input/output data set (IOCDS) is extracted for building a verification command. The IOCDS contains hardware requirements that define at least software devices associated with a logical control unit (LCU). The verification command is processed. The verification command includes a software device address range associated with a logical control unit (LCU) of the I/O hardware. The LCU utilizes a first logical path. The software device address range utilizing the first logical path is compared with an existing software device address range utilizing at least one additional logical path. The verification command is accepted if the software device address range and the existing software device address range match. | 01-24-2013 |
20130024659 | Executing An Instruction for Performing a Configuration Virtual Topology Change - In a logically partitioned host computer system comprising host processors (host CPUs) partitioned into a plurality of guest processors (guest CPUs) of a guest configuration, a perform topology function instruction is executed by a guest processor specifying a topology change of the guest configuration. The topology change preferably changes the polarization of guest CPUs, the polarization related to the amount of a host CPU resource is provided to a guest CPU. | 01-24-2013 |
20130030823 | ELECTRONIC RECEIPT MANAGEMENT - A receipt management system, method and article of manufacture. The article of manufacture can include a storage medium configured to store receipt data from multiple disparate vendors, communications logic for establishing a communicative link between the system and receipt data processing logic disposed within individual ones of the multiple disparate vendors, and a receipt management processor programmed to moderate access to the storage medium. Importantly, the storage medium can be a portable mass storage device. Notably, each of the storage medium, communications logic and receipt management processor can be disposed in a pervasive device, or in a personal article. | 01-31-2013 |
20130031068 | CONTROLLING AND RECOVERING LONG-LIVED TRANSACTIONS - Some embodiments of the inventive subject matter are directed to performing sub-transactions from a long-lived transaction using an identifier, wherein the sub-transactions are required to be completed in a sequence for the long-lived transaction. In some embodiments, the method is further directed to determining that the sub-transactions require a write of a data value to a database, writing in a log, using the identifier, an entry that indicates the data value, detecting an interruption in performing of the write of the data value to the database, and initiating re-performance of the sub-transactions in order of the sequence. Some embodiments are further directed to, during re-performance of the sub-transactions, detecting the data value in the entry of the log using the identifier, and using the data value indicated in the entry of the log to write the data value to the database. | 01-31-2013 |
20130031558 | Scheduling Mapreduce Jobs in the Presence of Priority Classes - Techniques for scheduling one or more MapReduce jobs in a presence of one or more priority classes are provided. The techniques include obtaining a preferred ordering for one or more MapReduce jobs, wherein the preferred ordering comprises one or more priority classes, prioritizing the one or more priority classes subject to one or more dynamic minimum slot guarantees for each priority class, and iteratively employing a MapReduce scheduler, once per priority class, in priority class order, to optimize performance of the one or more MapReduce jobs. | 01-31-2013 |
20130031561 | Scheduling Flows in a Multi-Platform Cluster Environment - Techniques for scheduling multiple flows in a multi-platform cluster environment are provided. The techniques include partitioning a cluster into one or more platform containers associated with one or more platforms in the cluster, scheduling one or more flows in each of the one or more platform containers, wherein the one or more flows are created as one or more flow containers, scheduling one or more individual jobs into the one or more flow containers to create a moldable schedule of one or more jobs, flows and platforms, and automatically converting the moldable schedule into a malleable schedule. | 01-31-2013 |
20130031622 | STATIC ANALYSIS FOR VERIFICATION OF SOFTWARE PROGRAM ACCESS TO SECURE RESOURCES FOR COMPUTER SYSTEMS - Computer program products and apparatus are disclosed. Using a static analysis, a software program is analyzed to determine whether the software program accesses a secure resource for a computer system without verification that the secure resource can be accessed by the software program. In response to an access by the software program to the secure resource without verification that the secure resource can be accessed by the software program, a result is output indicative of the analyzing. An apparatus is disclosed that includes a user interface providing a security report to a user, the security report indicating a result of an analysis of whether or not a software program accesses a secure resource for a computer system without verification that the secure resource can be accessed by the software program. | 01-31-2013 |
20130032894 | METHODS FOR NORMALIZING STRAIN IN SEMICONDCUTOR DEVICES AND STRAIN NORMALIZED SEMICONDUCTOR DEVICES - A method of normalizing strain in semiconductor devices and normalized strain semiconductor devices. The method includes: forming first and second field effect transistors of an integrated circuit; forming a stress layer over the first and second field effect transistors, the stress layer inducing strain in channel regions of the first and second field effect transistors; and selectively thinning the stress layer over at least a portion of the second field effect transistor. | 02-07-2013 |
20130033333 | SELF CALIBRATED, BROADBAND, TUNABLE, ACTIVE OSCILLATOR WITH UNITY GAIN CELLS FOR MULTI-STANDARD AND/OR MULTIBAND CHANNEL SELECTION - An oscillator includes N greater than unity gain amplifiers, N being at least two. Each of the N greater than unity gain amplifiers has a pair of differential input terminals and a pair of differential output terminals. The oscillator further includes a first pair of variable resistances, N−1 pairs of variable resistances, N−1 pairs of variable capacitances, and a variable capacitance. The pairs of variable resistances couple differential output terminals of the N greater than unity gain amplifiers. The pairs of variable capacitances couple differential input terminals of the N greater than unity gain amplifiers. Each of the N greater than unity gain amplifiers includes a linearized operational transconductance amplifier stage coupled to a corresponding pair of the differential input terminals, and a unity gain buffer with feedback interconnected between the linearized operational transconductance amplifier stage and a corresponding pair of the differential output terminals. | 02-07-2013 |
20130033365 | Maintaining RFID Information For Virtual Machines - Methods, apparatus, and products for maintaining RFID information for virtual machines are disclosed that include establishing a virtual machine on a host computer, the host computer capable of supporting a plurality of virtual machines, the host computer comprising one or more RFID transponders; determining RFID information for the virtual machine; storing, in a specified one of the RFID transponders, the determined RFID information for the virtual machine; and upon request from an RFID reader, transmitting the stored RFID information as output from the specified RFID transponder to the requesting RFID reader. | 02-07-2013 |
20130033820 | COOLING A MULTI-CHIP ELECTRONIC MODULE - A method of cooling a multi-chip electronic module includes receiving in an inlet of the multi-chip module an amount of fluid, and passing the amount of fluid along a plurality of substantially parallel flow paths that extends between a heat spreader member and a printed circuit board supporting a plurality of electronic components. The plurality of electronic components is in thermal contact with an internal surface of the heat spreader member. A heat exchange is facilitated between the plurality of electronic components and the amount of fluid passing along the flow path. | 02-07-2013 |
20130034228 | SECURE KEY CREATION - Creating a secure key includes creating a token and populating a key control information section of the token with a value to indicate a minimum number of key parts used to form a key. Creating the secure key also includes populating a payload section of the token with a first key part, binding the key control information section to the payload section, adding a second key part to the first key part and iterating the value and binding the key control information section to the payload section after the second key part has been added. Creating the secure key further includes indicating the key is complete, wherein the key comprises a combination of the first and second key parts. | 02-07-2013 |
20130034940 | Low Threshold Voltage And Inversion Oxide thickness Scaling For A High-K Metal Gate P-Type MOSFET - A method of forming a semiconductor structure. The semiconductor structure has a semiconductor substrate and an nFET and a pFET disposed upon the substrate. The pFET has a semiconductor SiGe channel region formed upon or within a surface of the semiconductor substrate and a gate dielectric having an oxide layer overlying the channel region and a high-k dielectric layer overlying the oxide layer. A gate electrode overlies the gate dielectric and has a lower metal layer abutting the high-k layer, a scavenging metal layer abutting the lower metal layer, and an upper metal layer abutting the scavenging metal layer. The metal layer scavenges oxygen from the substrate (nFET) and SiGe (pFET) interface with the oxide layer resulting in an effective reduction in T | 02-07-2013 |
20130035411 | THERMAL EXPANSION CONTROL EMPLOYING PLATELET FILLERS - Bilayer platelet fillers are employed to compensate for a positive coefficient of thermal expansion (CTE) of an embedding polymeric material, or even to provide a composite structure having a negative CTE to eliminate or alleviate thermomechanical stress and/or delamination during thermal cycling. A bilayer platelet includes two joined layers having different CTEs. The CTE mismatch induces bending of the bilayer platelets, thereby causing cavities at temperatures lower than the joining temperature at which the bilayers are joined. The decrease in the volume of the polymeric material and the bilayer platelets at low temperatures is compensated by an accompanying increase in the volume of the cavities so that the composite structure has a temperature independent volume, a low net CTE, or even a negative CTE. | 02-07-2013 |
20130035860 | Meteorological Parameter Forecasting - A method, an apparatus and an article of manufacture for forecasting a meteorological parameter. The method includes analyzing geographically distributed sensor network data to assess spatial and temporal variation of a meteorological parameter in real-time, correlating at least two portions of data from the sensor network to identify a temporal and spatial evolution of the meteorological parameter, and forecasting the meteorological parameter based on the temporal and spatial evolution of the meteorological parameter. | 02-07-2013 |
20130036172 | SHARING FORM TRAINING RESULT UTILIZING A SOCIAL NETWORK - A mechanism is provided for providing trustworthiness for users of a social network for web sites. The computer listens to web browsing activities of a user, and receives feedback from the user when web browsing by monitoring user actions. monitoring user actions includes monitoring the user searching a peer-to-peer environment and search results obtained, and in response to the user selecting a first link for the search results in the peer-to-peer environment, determining a score for the first link based on the user selecting a second link for the search results. The score for the first link is provided to the users of the social network. | 02-07-2013 |
20130036281 | MANAGING SNAPSHOT STORAGE POOLS - Various embodiments of the invention relate to an apparatus and a method of managing a snapshot storage pool (SSP) associated with a storage unit of a distributed data storage system. One apparatus includes a logic module and a processor. The logic module is adapted to provide a threshold corresponding to a ratio between a current amount of storage resources used for storing snapshots in the SSP and a total storage capacity defined for the SSP. The processor is adapted to trigger an action that may be effective for managing the SSP in response to the amount of storage resources used for storing snapshots in the SSP crossing the threshold. | 02-07-2013 |
20130038451 | SYSTEM AND METHOD FOR SAFEGUARDING WAFERS AND PHOTOMASKS - A system and a method for safeguarding wafers and photomasks. The system includes a container for storing an article, the article being a wafer or a photomask; a flashing unit for flashing light with a pre-determined light pattern; an anti-theft unit capable of performing an anti-theft function, the anti-theft unit being attached to the container; and a trigger unit electrically connected to the anti-theft unit for triggering the anti-theft function of the anti-theft unit, in response to detecting the pre-determined light pattern of the flashing unit. The method includes providing a container having an anti-theft unit capable of performing an anti-theft function; storing an article in the container, the article being a wafer or a photomask; providing a flashing light with a pre-determined light pattern; detecting the pre-determined light pattern; and performing the anti-theft function by the anti-theft unit, in response to detecting the pre-determined light pattern. | 02-14-2013 |
20130039137 | SEMICONDUCTOR MEMORY DEVICE - A Static Random Access Memory (SRAM) includes word lines WL, bit lines BL, address decoders that select one of the word lines WL in response to an address signal AD, a sense amplifier that is activated in response to a sense amplifier enable signal SAE, and a sense amplifier control circuit that generates the sense amplifier enable signal SAE. In this device, the more distant the word line WL is from the sense amplifier, the longer the sense amplifier control circuit sets the delay time of the sense amplifier enable signal SAE so that the more distant the word line WL is from the sense amplifier, the later the sense amplifier is activated. | 02-14-2013 |
20130039494 | SECURE KEY MANAGEMENT - According to one embodiment, a method for implementing secure key management is provided. The method includes populating a section of information associated with a key, the section being populated with information relating to how the key was created. The method also includes populating the section with information relating to how the key was acquired by a secure module; and binding the section to the key, wherein the key is encrypted. | 02-14-2013 |
20130039495 | SECURE KEY MANAGEMENT - According to one embodiment, a method for implementing computer security is provided. The method includes creating a token and populating a payload section of the token with key material and selecting a wrapping method that specifies how the key material is securely bound to key control information, wherein a structure of the key control information in the token is independent of the wrapping method. The method also includes wrapping the key material and binding key control information to the key material in the token, wherein the key control information includes information relating to usage and management of the key material. | 02-14-2013 |
20130039598 | CORRECTING PAGE CURL IN SCANNED BOOKS - A computer implemented method for correcting distortion in an image of a page includes identifying a set of high quality (HQ) words in undistorted regions of one or more images of pages having content related to the content of the page. At least one distorted word in the image the page is identified such that each distorted word corresponds to a high quality word of the set. A global transformation function is generated for application to the image of the page so as to transform the distorted word into its corresponding high quality word. The global transformation function is applied to pixels of the image of the page. | 02-14-2013 |
20130041651 | Computer Program Product and Computer System for Language-Enhanced Programming Tools - Statements of a computer program expressed using a first source natural language are made meaningful to a programmer familiar with a second target natural language. The first source natural language of the computer program is determined from the programmer, or through analysis, and the second target natural language desired by the programmer is selected. Textual constructs may be parsed, with reference to stored coding conventions to determine meaningful lexical tokens. Such tokens are translated with a translation engine, and displayed to the programmer, desirably using a graphical user interface feature of an integrated development, environment (IDE) for computer programming in a particular programming language. | 02-14-2013 |
20130041669 | SPEECH OUTPUT WITH CONFIDENCE INDICATION - A method, system, and computer program product are provided for speech output with confidence indication. The method includes receiving a confidence score for segments of speech or text to be synthesized to speech. The method includes modifying a speech segment by altering one or more parameters of the speech proportionally to the confidence score. | 02-14-2013 |
20130041868 | DATA SYNCHRONIZATION - A computer program product is provided. The computer program product includes a tangible storage medium readable by a processing circuit and on which instructions are stored for execution by the processing circuit for performing a method. The method includes, upon receipt of a command relating to a file stored at a remote location, synchronizing the file between the remote location and a local device, determining whether a list of files linked to the file is stored in the local device, and, in an event the list is stored in the local device, synchronizing the files included in the list between the remote location and the local device. | 02-14-2013 |
20130041869 | Real Time Data Replication - A combination of synchronous and asynchronous replication of data is used to replicate a local database to a replicated database. The typical tradeoff between synchronous and asynchronous replication is optimized by using hybrid replication, which is to use synchronous replication for inserting new data and asynchronous replication for updating existing data. The combined use of synchronous and asynchronous in this manner provides an efficient replicated database where the replicated database can tolerate some delay in data updates but requires no data loss of new data. | 02-14-2013 |
20130042062 | FIRMWARE MANAGEMENT OF STORAGE CLASS MEMORY - A computer program product is provided and includes a tangible storage medium readable by a processing circuit and storing instructions for execution by the processing circuit for performing a method. The method includes detecting connections of two or more input/output (I/O) adapters, each of the two or more I/O adapters having one or more solid state devices (SSDs) connected thereto, and presenting a storage class memory address space for all of the connected SSDs that is independent of connections and disconnections between each of the one or more SSDs and each of the two or more I/O adapters and the processing unit. | 02-14-2013 |
20130042094 | COMPUTING SYSTEM WITH TRANSACTIONAL MEMORY USING MILLICODE ASSISTS - A computing system processes memory transactions for parallel processing of multiple threads of execution with millicode assists. The computing system transactional memory support provides a Transaction Table in memory and a method of fast detection of potential conflicts between multiple transactions. Special instructions may mark the boundaries of a transaction and identify memory locations applicable to a transaction. A ‘private to transaction’ (PTRAN) tag, directly addressable as part of the main data storage memory location, enables a quick detection of potential conflicts with other transactions that are concurrently executing on another thread of said computing system. The tag indicates whether (or not) a data entry in memory is part of a speculative memory state of an uncommitted transaction that is currently active in the system. Program millicode provides transactional memory functions including creating and updating transaction tables, committing transactions and controlling the rollback of transactions which fail. | 02-14-2013 |
20130042104 | Certificate-based cookie security - A cookie attribute for use during secure HTTP transport sessions. This attribute points to a server-supplied certificate and, in particular, a digital certificate. The cookie attribute includes a value, and that value is designed to correspond to one or more content fields in the digital certificate. During a first https session, a first web application executing on a first server provides a web browser with the cookie having the server certificate identifier attribute set to a value corresponding to a content field in a server certificate. Later, when the browser is accessing a second server during a second https session, the browser verifies that the value in the cookie matches a corresponding value in the server certificate received from the second server before sending the cookie to the second server. This approach ensures that the cookie is presented only over specified https connections and to trusted organizations. | 02-14-2013 |
20130042144 | EDRAM MACRO DISABLEMENT IN CACHE MEMORY - A computer implemented method of embedded dynamic random access memory (EDRAM) macro disablement. The method includes isolating an EDRAM macro of a cache memory bank, the cache memory bank being divided into at least three rows of a plurality of EDRAM macros, the EDRAM macro being associated with one of the at least three rows. Each line of the EDRAM macro is iteratively tested, the testing including attempting at least one write operation at each line of the EDRAM macro. It is determined that an error occurred during the testing. Write perations for an entire row of EDRAM macros associated with the EDRAM macro are disabled based on the determining. | 02-14-2013 |
20130042168 | CHECKSUM CALCULATION, PREDICTION AND VALIDATION - A calculation, prediction and validation method can include receiving a portion of a data packet in a data buffer, computing, in a processor, information related to the checksum of the data packet based on the portion of the data packet and processing the data packet in the processor. | 02-14-2013 |
20130042220 | AUTOMATIC GENERATION OF USER STORIES FOR SOFTWARE PRODUCTS VIA A PRODUCT CONTENT SPACE - Exemplary embodiments include a computer-implemented method for generating agile user stories, the method including collecting, by a processor, a plurality of requirements, creating, by the processor, a plurality of content space specification files that includes the plurality of requirements, processing, by the processor, the plurality of content space specification files to generate the user stories and outputting, by the processor, the user stories. | 02-14-2013 |
20130042241 | DYNAMIC VIRTUAL MACHINE DOMAIN CONFIGURATION AND VIRTUAL MACHINE RELOCATION MANAGEMENT - Dynamic relocation of virtual machines among hypervisors in a domain is provided. A domain management module is executed on a computer processor and is configured to receive a request to add a new hypervisor to a domain. Each hypervisor and each domain comprises an architecture description (ARD). A new canonical ARD is generated for all hypervisors in the domain. A virtual machine executing on a first system is relocated to any other hypervisor in the domain. Virtual machines may also be moved to out-of-domain hypervisors through the use of override masks. In addition, under certain conditions, new hypervisors additions, as well as hypervisor and system updates may be performed without disrupting the virtual machines that are controlled by the hypervisors. | 02-14-2013 |
20130043412 | SERIAL IRRADIATION OF A SUBSTRATE BY MULTIPLE RADIATION SOURCES - A system for configuring and utilizing J electromagnetic radiation sources (J≧2) to serially irradiate a substrate. Each source has a different function of wavelength and angular distribution of emitted radiation. The substrate includes a base layer and I stacks (I≧2; J≦I) thereon. P | 02-21-2013 |
20130043520 | Raised Source/Drain Field Effect Transistor - In one exemplary embodiment of the invention, a semiconductor structure includes: a substrate; and a plurality of devices at least partially overlying the substrate, where the plurality of devices include a first device coupled to a second device via a first raised source/drain having a first length, where the first device is further coupled to a second raised source/drain having a second length, where the first device comprises a transistor, where the first raised source/drain and the second raised source/drain at least partially overly the substrate, where the second raised source/drain comprises a terminal electrical contact, where the second length is greater than the first length. | 02-21-2013 |
20130044606 | UPD-BASED SOFT PHONE STATE MONITORING FOR CTI APPLICATIONS - A supervisor computer directly communicates, via User Datagram Protocol (UDP) packets, with a call control application software in a soft phone. The UDP packets provide real-time information, from a desktop of the soft phone, describing call activity and usage status of the soft phone. The supervisor computer is able to remotely control usage of the soft phone according to information provided by the UDP packets. | 02-21-2013 |
20130044875 | COMBINING KEY CONTROL INFORMATION IN COMMON CRYPTOGRAPHIC ARCHITECTURE SERVICES - A method for creating a key token includes receiving a first key token, second key token and a request to combine the first key token with the second key token, identifying a key type of the first key token and a key type of the second key token, determining whether the key type of the first key token may be combined with the key type of the second key token, combining the first key token with the second key token to create a third key token responsive to determining that the key type of the first key token may be combined with the key type of the second key token, and outputting the third key token. | 02-21-2013 |
20130044879 | SECURE KEY MANAGEMENT - Secure key management includes populating a section of information associated with a key, the section of information being populated with information relating to a level of protection of the key accumulated over time. Secure key management further includes securely binding the section of information to the key, wherein the key is encrypted. | 02-21-2013 |
20130044880 | KEY MANAGEMENT POLICIES FOR CRYPTOGRAPHIC KEYS - A computer program product for secure key management is provided. The computer program product includes a tangible storage medium readable by a processing circuit and storing instructions for execution by the processing circuit for performing a method. The method includes creating a token and populating the token with key material, and binding key control information to the key material. The key control information includes information relating to management of the key material populating one or more key management fields that define attributes that limit distribution of the key material. | 02-21-2013 |
20130045608 | REDUCTION OF PORE FILL MATERIAL DEWETTING - In one embodiment, a program storage device readable by a machine, tangibly embodying a program of instructions executable by the machine for performing operations, includes operations comprising: providing a structure comprising a first layer overlying a substrate, where the first layer comprises a dielectric material having a plurality of pores; applying a filling material to a surface of the first layer, where the filling material comprises a polymer and at least one additive, where the at least one additive comprises at least one of a surfactant, a high molecular weight polymer and a solvent (e.g., a high boiling point solvent); and after applying the filling material, heating the structure to enable the filling material to at least partially fill the plurality of pores uniformly across an area of the first layer, where heating the structure results in residual filling material being uniformly left on the surface of the first layer. | 02-21-2013 |
20130046538 | VISUALIZATION INTERFACE OF CONTINUOUS WAVEFORM MULTI-SPEAKER IDENTIFICATION - A method implemented in a computer infrastructure having computer executable code having programming instructions tangibly embodied on a computer readable storage medium. The programming instructions are operable to receive a current waveform of a communication between a plurality of participants. Additionally, the programming instructions are operable to create a voiceprint from the current waveform if the current waveform is of a human voice. Furthermore, the programming instructions are operable to determine one of whether a match exists between the voiceprint and one library waveform of one or more library waveforms, whether a correlation exists between the voiceprint and a number of library waveforms of the one or more library waveforms and whether the voiceprint is unique. Additionally, the programming instructions are operable to transcribe the current waveform into text and provide a match indication display (MID) indicating an association between the current waveform and the one or more library waveforms based on the determining. | 02-21-2013 |
20130046774 | PROVIDING SECURE ACCESS TO DATA WITH USER DEFINED TABLE FUNCTIONS - Methods, systems and articles of manufacture are disclosed for providing secure access to data. Public UDTFs, private UDTFs and a metadata processor are utilized to facilitate secure access to data residing in a variety of data repositories. User security attributes and UDTF attributes are gathered. A table is queried based on the gathered attributes. | 02-21-2013 |
20130046844 | ADMINISTERING CONNECTION IDENTIFIERS FOR COLLECTIVE OPERATIONS IN A PARALLEL COMPUTER - Administering connection identifiers for collective operations in a parallel computer, including prior to calling a collective operation, determining, by a first compute node of a communicator to receive an instruction to execute the collective operation, whether a value stored in a global connection identifier utilization buffer exceeds a predetermined threshold; if the value stored in the global ConnID utilization buffer does not exceed the predetermined threshold: calling the collective operation with a next available ConnID including retrieving, from an element of a ConnID buffer, the next available ConnID and locking the element of the ConnID buffer from access by other compute nodes; and if the value stored in the global ConnID utilization buffer exceeds the predetermined threshold: repeatedly determining whether the value stored in the global ConnID utilization buffer exceeds the predetermined threshold until the value stored in the global ConnID utilization buffer does not exceed the predetermined threshold. | 02-21-2013 |
20130046905 | FIBRE CHANNEL INPUT/OUTPUT DATA ROUTING SYSTEM AND METHOD - A method of performing an input/output (I/O) processing operation includes obtaining information relating to an I/O operation at a channel subsystem in the host computer system, the channel subsystem including at least one channel having a channel processor and a local channel memory, generating addressing information and forwarding the addressing information to a network interface between the channel subsystem and at least one I/O device, the addressing information specifying a location in the local channel memory. The method also includes forwarding an I/O command message to the at least one I/O device via the network interface, receiving a data transfer request from the network interface that includes the addressing information, accessing one of a plurality of address control words (ACWs), each ACW specifying an address of a location in a host computer memory, and routing the data transfer request to the host memory location specified in the ACW. | 02-21-2013 |
20130046926 | EDRAM REFRESH IN A HIGH PERFORMANCE CACHE ARCHITECTURE - A method for implementing embedded dynamic random access memory (eDRAM) refreshing in a high performance cache architecture. The method includes receiving a memory access request, via a cache controller, from a memory refresh requestor, the memory access request for a memory address range in a cache memory. The method also includes detecting that the cache memory located at the memory address range is available to receive the memory access request and sending the memory access request to a memory request interpreter. The method further includes receiving the memory access request from the cache controller, determining that the memory access request is a request to refresh contents of the memory address range in the cache memory, and refreshing data in the memory address range. | 02-21-2013 |
20130046937 | TRANSACTIONAL MEMORY SYSTEM WITH EFFICIENT CACHE SUPPORT - A computer implemented method for use by a transaction program for managing memory access to a shared memory location for transaction data of a first thread, the shared memory location being accessible by the first thread and a second thread. A string of instructions to complete a transaction of the first thread are executed, beginning with one instruction of the string of instructions. It is determined whether the one instruction is part of an active atomic instruction group (AIG) of instructions associated with the transaction of the first thread. A cache structure and a transaction table which together provide for entries in an active mode for the AIG are located if the one instruction is part of an active AIG. The next instruction is executed under a normal execution mode in response to determining that the one instruction is not part of an active AIG. | 02-21-2013 |
20130047040 | CHANNEL MARKING FOR CHIP MARK OVERFLOW AND CALIBRATION ERRORS - Marking memory chips as faulty when a fault is detected in data from the memory chip. Upon detecting that a plurality of memory chips are faulty, determining which of a plurality of memory channels contains the faulty memory chips. Marking one of a plurality of memory channels as failing in response to determining that the number of failing memory chips has exceeded a threshold. | 02-21-2013 |
20130047042 | FIBRE CHANNEL INPUT/OUTPUT DATA ROUTING SYSTEM AND METHOD - An input/output processing method includes generating and storing at least one address control word (ACW) including a data check word generation field and/or a data check word save field in local channel memory of a channel subsystem, and generating and forwarding to a network interface an address control structure specifying a location in the local channel memory of a corresponding ACW. The method also includes, responsive to a data transfer request, storing the at least one data check word in the data check word save field and routing the data to a host memory location specified by the corresponding ACW responsive to performing a check of the data and determining that the data has not been corrupted, or retrieving the data based on the corresponding ACW, generating and appending at least one data check word and routing the data and the at least one data check word to the interface. | 02-21-2013 |
20130047222 | Implementing secured, event-based layered logout from a computer system - A secure, layered logout of a user session is implemented in a management tool. A logout strategy is provided to include a set of security levels of varying sensitivity, with each security level having a set of permissions that are enforced upon occurrence of an event. A succeeding security level in the set of security levels is reached upon occurrence of an event associated with that level, in which case the permissions associated with the level are then enforced against at least one object while the user session continues. As each next security level is reached, the set of permissions are then enforced, once again while the user session continues. The layered logout may enforce different permissions with respect to different objects. If the user takes no action, eventually a final security level will occur, at which point the user session is finally terminated. | 02-21-2013 |
20130048988 | Nanopillar E-Fuse Structure and Process - Techniques for incorporating nanotechnology into electronic fuse (e-fuse) designs are provided. In one aspect, an e-fuse structure is provided. The e-fuse structure includes a first electrode; a dielectric layer on the first electrode having a plurality of nanochannels therein; an array of metal silicide nanopillars that fill the nanochannels in the dielectric layer, each nanopillar in the array serving as an e-fuse element; and a second electrode in contact with the array of metal silicide nanopillars opposite the first electrode. Methods for fabricating the e-fuse structure are also provided as are semiconductor devices incorporating the e-fuse structure. | 02-28-2013 |
20130052340 | METHODS FOR FABRICATING MAGNETIC WRITER STRUCTURES USING POST-DEPOSITION TILTING - A method according to one embodiment includes forming a first portion of a thin film writer structure on a substantially planar portion of a substrate such that planes of deposition of the first portion of the writer structure are substantially parallel to a plane of the substrate; forming a portion of a write gap of the writer structure at an angle of greater than 0° relative to the substantially planar portion of the substrate; and causing the writer structure to tilt at an angle relative to the plane of the substrate such that a plane of deposition of the write gap is oriented about perpendicular to a final media-facing surface of the writer structure. | 02-28-2013 |
20130054624 | METHOD OF PARSING OPTIONAL BLOCK DATA - A computer program product is provided and includes a tangible storage medium readable by a processing circuit and on which instructions are stored for execution by the processing circuit for performing a method. The method includes initially verifying a presence of parameters passed to a parameter database and that a selected group of the parameters are greater than or equal to zero, parsing optional block data to validate the optional block data, determine a length thereof and a number of optional blocks contained therein and proceeding with one of a secondary info-parsing and a secondary data-parsing operation with respect to the optional block data in accordance with content of the parameters passed to the parameter database. | 02-28-2013 |
20130054799 | Minimising Network Resource Overhead - A mechanism is provided for minimising network resource overhead consumption by reports from one or more agents distributed in an electronic data network of nodes having a hierarchical reporting structure. Reports are received from other nodes in an electronic network of nodes or one or more agents further from a network root node in the electronic network of nodes. The reports are submitted to another node closer to the network root node in response to another node existing between the node and the network root node. The reports are submitted to the network root node in response to no other node existing between the node and the network root node. The node is adapted to only report variations in the expected report flow associated with the received reports. | 02-28-2013 |
20130055295 | SCALABLE, HIGHLY AVAILABLE, DYNAMICALLY RECONFIGURABLE CRYPTOGRAPHIC PROVIDER WITH QUALITY-OF-SERVICE CONTROL BUILT FROM COMMODITY BACKEND PROVIDERS - Exemplary embodiments include a method for remapping subsets of host-centric application programming interfaces to commodity service providers, the method including receiving a commodity service providers object, embedding the commodity service providers object with a handle, transforming the handle into a serialized object readable by a hardware security module, generating a virtualized handle from the transformed handle, selecting a target hardware security module based on characteristics of the serialized object and mapping the virtualized handle to the target hardware security module. | 02-28-2013 |
20130055342 | Risk-based model for security policy management - A security policy management solution (such as a Data Loss Prevention (DLP) system) is augmented to enable a user to model and visualize how changes in a security policy may impact (positively or negatively) the effectiveness of a policy configuration as well as the risk associated with its deployment. This technique enables a user (e.g., a security policy administrator) to evolve enterprise information technology (IT) security policies and, in particular, to generate and display “what-if” scenarios by which the user can determine trade-offs between, on the one hand, the effectiveness of a proposed change to a policy, and on the other hand, the risk associated with the proposed change. | 02-28-2013 |
20130056805 | TRANSISTORS HAVING STRESSED CHANNEL REGIONS AND METHODS OF FORMING TRANSISTORS HAVING STRESSED CHANNEL REGIONS - A method of forming a field effect transistor and a field effect transistor. The method includes (a) forming gate stack on a silicon layer of a substrate; (b) forming two or more SiGe filled trenches in the silicon layer on at least one side of the gate stack, adjacent pairs of the two or more SiGe filled trenches separated by respective silicon regions of the silicon layer; and (c) forming source/drains in the silicon layer on opposite sides of the gate stack, the source/drains abutting a channel region of the silicon layer under the gate stack. | 03-07-2013 |
20130058478 | VIRTUALIZATION OF CRYPTOGRAPHIC KEYS - A cryptographic key is virtualized to provide a virtual cryptographic key. To virtualize the key, an operation, such as an exclusive OR operation, is used with the key and a mask. The virtual key is usable by a guest of a virtual environment in cryptographic operations. | 03-07-2013 |
20130058486 | METHOD OF PROTECTING A CONTROL VECTOR IN AN OPTIONAL BLOCK OF A STANDARD KEY BLOCK - A computer program product is provided and includes a tangible storage medium readable by a processing circuit and on which instructions are stored for execution by the processing circuit for performing a method. The method includes validating parameters passed to a parameter database, computing a length required for control vector CV data, preparing an optional block in accordance with a result of the computation, converting the CV to a format for a standardized key block while copying the converted CV into the optional block and updating optional block data in the standardized key block. | 03-07-2013 |
20130058487 | METHOD OF BUILDING OPTIONAL BLOCKS - A computer program product is provided and includes a tangible storage medium readable by a processing circuit and on which instructions are stored for execution by the processing circuit for performing a method. The method includes verifying conditions for iterative building of optional blocks in a standardized key block, parsing optional block data to validate the optional block data and to determine a length of the optional block data and a number of optional blocks contained in the optional block data, validating an optional block identification to be added, determining a storage location, inserting the optional block into the storage location, updating a value of the optional block data and returning the updated value of the optional block data. | 03-07-2013 |
20130058548 | SPATIO-TEMPORAL IMAGE RECONSTRUCTION USING SPARSE REGRESSION AND SECONDARY INFORMATION - A method of generating an image includes the step of obtaining captured data characterizing an object. The method also includes the step of reconstructing a spatio-temporal image of the object based on the captured data, the spatio-temporal image comprising a plurality of spatial images in respective time intervals, with at least a given one of the spatial images in one of the time intervals being reconstructed using captured data from a frame associated with that time interval and captured data associated with one or more additional frames associated with other time intervals. The method further includes the step of outputting the spatio-temporal image. The obtaining, reconstructing and outputting steps are performed by a processing device comprising a processor coupled to a memory. | 03-07-2013 |
20130060374 | SEGREGATING WAFER CARRIER TYPES IN SEMICONDUCTOR STORAGE DEVICES - A system for managing the entry of a wafer carrier into a stocker in a semiconductor manufacturing operation is disclosed. The system comprises a stocker that accepts wafer carriers via one or more input ports. The present invention provides a means for detecting the presence of a wafer carrier at the input port, means for identifying the type of the wafer carrier, and means for executing an action sequence if the stocker is not compatible with those wafer carriers. Upon detecting an erroneous condition, an action sequence is executed which may include prevention entry of the wafer carrier, and notifying an operator. | 03-07-2013 |
20130060557 | DISTRIBUTED HARDWARE DEVICE SIMULATION - Distributed hardware device simulation, including: identifying a plurality of hardware components of the hardware device; providing software components simulating the functionality of each hardware component, wherein the software components are installed on compute nodes of a distributed processing system; receiving, in at least one of the software components, one or more messages representing an input to the hardware component; simulating the operation of the hardware component with the software component, thereby generating an output of the software component representing the output of the hardware component; and sending, from the software component to at least one other software component, one or more messages representing the output of the hardware component. | 03-07-2013 |
20130060833 | TOPOLOGY MAPPING IN A DISTRIBUTED PROCESSING SYSTEM - Topology mapping in a distributed processing system, the distributed processing system including a plurality of compute nodes, each compute node having a plurality of tasks, each task assigned a unique rank, including: assigning each task to a geometry defining the resources available to the task; selecting, from a list of possible data communications algorithms, one or more algorithms configured for the assigned geometry; and identifying, by each task to all other tasks, the selected data communications algorithms of each task in a single collective operation. | 03-07-2013 |
20130060844 | DIRECT INJECTION OF DATA TO BE TRANSFERRED IN A HYBRID COMPUTING ENVIRONMENT - Direct injection of a data to be transferred in a hybrid computing environment that includes a host computer and a plurality of accelerators, the host computer and the accelerators adapted to one another for data communications by a system level message passing module. Each accelerator includes a Power Processing Element (‘PPE’) and a plurality of Synergistic Processing Elements (‘SPEs’). Direct injection includes reserving, by each SPE, a slot in a shared memory region accessible by the host computer; loading, by each SPE into local memory of the SPE, a portion of data to be transferred to the host computer; executing, by each SPE in parallel, a data processing operation on the portion of the data loaded in local memory of each SPE; and writing, by each SPE, the processed data to the SPE's reserved slot in the shared memory region accessible by the host computer. | 03-07-2013 |
20130060944 | CONTROLLING ACCESS TO A RESOURCE IN A DISTRIBUTED COMPUTING SYSTEM WITH A DISTRIBUTED ACCESS REQUEST QUEUE - Controlling access to a resource in a distributed computing system that includes nodes having a status field, a next field, a source data buffer, and that are characterized by a unique node identifier, where controlling access includes receiving a request for access to the resource implemented as an active message that includes the requesting node's unique node identifier, the value stored in the requesting node's source data buffer, and an instruction to perform a reduction operation with the value stored in the requesting node's source data buffer and the value stored in the receiving node's source data buffer; returning the requesting node's unique node identifier as a result of the reduction operation; and updating the status and next fields to identify the requesting node as a next node to have sole access to the resource. | 03-07-2013 |
20130060963 | FACILITATING ROUTING BY SELECTIVELY AGGREGATING CONTIGUOUS DATA UNITS - A method for facilitation of aggregation of contiguous data packets, such as contiguous I/O adapter stores, is disclosed. Commensurate with receiving data packets to be written to a memory, multiple contiguous data units of the data packets are aggregated into an aggregated data block. The aggregated data block is validated for writing to memory responsive to either the aggregated data block reaching a size which with inclusion of a next contiguous data unit in the aggregated data block would result in the aggregated data block exceeding a configurable size limit, or a next data unit of the plurality of data units to be written to memory being non-contiguous with the multiple contiguous data units. | 03-07-2013 |
20130060988 | METHOD FOR SYMMETRIC LIVE MIGRATION OF VIRTUAL MACHINES - A method is provided for symmetric live migration of virtual machines. According to the method, a first least recently used map is generated for a set of memory pages of a first virtual machine. The first least recently used map includes metadata including memory page physical address location information. A first memory page of the first virtual machine and the metadata for the first memory page is sent from the first virtual machine to a second virtual machine while the first virtual machine is executing. A first memory page and meta data associated therewith of the second virtual machine is received from the second virtual machine. The memory pages of the first virtual machine are ordered from a first location of the first least recently used map to a last location of the first least recently used map based on how recently each of the memory pages of the first virtual machine has been used. | 03-07-2013 |
20130060997 | MITIGATING BUSY TIME IN A HIGH PERFORMANCE CACHE - Various embodiments of the present invention mitigate busy time in a hierarchical store-through memory cache structure. In one embodiment, a cache directory associated with a memory cache is divided into a plurality of portions each associated with a portion memory cache. Simultaneous cache lookup operations and cache write operations between the plurality of portions of the cache directory are supported. Two or more store commands are simultaneously processed in a shared cache pipeline communicatively coupled to the plurality of portions of the cache directory. | 03-07-2013 |
20130061001 | SYSTEM REFRESH IN CACHE MEMORY - System refresh in a cache memory that includes generating a refresh time period (RTIM) pulse at a centralized refresh controller of the cache memory and activating a refresh request at the centralized refresh controller based on generating the RTIM pulse. The refresh request is associated with a single cache memory bank of the cache memory. A refresh grant is received and transmitted to a bank controller. The bank controller is associated with and localized at the single cache memory bank of the cache memory. | 03-07-2013 |
20130061002 | PERFORMANCE OPTIMIZATION AND DYNAMIC RESOURCE RESERVATION FOR GUARANTEED COHERENCY UPDATES IN A MULTI-LEVEL CACHE HIERARCHY - A cache includes a cache pipeline, a request receiver configured to receive off chip coherency requests from an off chip cache and a plurality of state machines coupled to the request receiver. The cache also includes an arbiter coupled between the plurality of state machines and the cache pipe line and is configured to give priority to off chip coherency requests as well as a counter configured to count the number of coherency requests sent from the cache pipeline to a lower level cache. The cache pipeline is halted from sending coherency requests when the counter exceeds a predetermined limit. | 03-07-2013 |
20130061095 | SOFTWARE FAILURE DETECTION - A method detects soft failures as follows. A set of artifacts being generated by at least one process in a system is monitored. A number of artifacts being generated by the process is determined to be below a given threshold in response to the monitoring. The process is monitored in response to the determination. A current state of the process is determined in response to the analyzing. A notification is generated in response to the current state of the process including a set of abnormal behaviors. | 03-07-2013 |
20130061193 | IMPLEMENTING ENHANCED CLOCK TREE DISTRIBUTIONS TO DECOUPLE ACROSS N-LEVEL HIERARCHICAL ENTITIES - A method, system and computer program product for implementing enhanced clock tree distributions to decouple across N-level hierarchical entities of an integrated circuit chip. Local clock tree distributions are constructed. Top clock tree distributions are constructed. Then constructing and routing a top clock tree is provided. The local clock tree distributions and the top clock tree distributions are independently constructed, each using an equivalent local clock distribution of high performance buffers to balance the clock block regions. | 03-07-2013 |
20130061241 | MANAGING SHARED DATA OBJECTS TO PROVIDE VISIBILITY TO SHARED MEMORY - Managing shared data objects to share data between computer processes, including a method for executing a plurality of independent processes on an application server, the processes including a first process and a second process. A shared memory utilized by the plurality of independent processes is provided. A single copy of the data and metadata are stored in the shared memory. The metadata includes an address of the data. The first process initiates the storing of the data in the shared memory. An address of the metadata is transferred from the first process to the second process to notify the second process about the data. The second process determines the address of the shared memory by reading the metadata. The data in the shared memory is accessed by the second process. | 03-07-2013 |
20130061244 | DATA COMMUNICATIONS IN A PARALLEL ACTIVE MESSAGING INTERFACE OF A PARALLEL COMPUTER - Algorithm selection for data communications in a parallel active messaging interface (‘PAMI’) of a parallel computer, the PAMI composed of data communications endpoints, each endpoint including specifications of a client, a context, and a task, endpoints coupled for data communications through the PAMI, including associating in the PAMI data communications algorithms and ranges of message sizes so that each algorithm is associated with a separate range of message sizes; receiving in an origin endpoint of the PAMI a data communications instruction, the instruction specifying transmission of a data communications message from the origin endpoint to a target endpoint, the data communications message characterized by a message size; selecting, from among the associated algorithms and ranges, a data communications algorithm in dependence upon the message size; and transmitting, according to the selected data communications algorithm from the origin endpoint to the target endpoint, the data communications message. | 03-07-2013 |
20130061245 | DATA COMMUNICATIONS FOR A COLLECTIVE OPERATION IN A PARALLEL ACTIVE MESSAGING INTERFACE OF A PARALLEL COMPUTER - Algorithm selection for data communications in a parallel active messaging interface (‘PAMI’) of a parallel computer, the PAMI composed of data communications endpoints, each endpoint including specifications of a client, a context, and a task, endpoints coupled for data communications through the PAMI, including associating in the PAMI data communications algorithms and bit masks; receiving in an origin endpoint of the PAMI a collective instruction, the instruction specifying transmission of a data communications message from the origin endpoint to a target endpoint; constructing a bit mask for the received collective instruction; selecting, from among the associated algorithms and bit masks, a data communications algorithm in dependence upon the constructed bit mask; and executing the collective instruction, transmitting, according to the selected data communications algorithm from the origin endpoint to the target endpoint, the data communications message. | 03-07-2013 |
20130061246 | PROCESSING DATA COMMUNICATIONS MESSAGES WITH INPUT/OUTPUT CONTROL BLOCKS - Processing data communications messages with an Input/Output Control Block (‘IOCB’) ring that includes a number of IOCBs characterized by a priority and arranged in sequential priority for serial operation, where processing the messages includes depositing message data in one or more IOCBs according to depositing criteria; processing, by a message processing module associated with an IOCB having a priority less than the present value of a state counter, the message data in the IOCB while a message processing module associated with an IOCB having a next priority waits; increasing, upon completion of processing the message data of the IOCB having a priority less than the present value of the state counter, the present value of the state counter to a value greater than the next priority; and processing, by the message processing module associated with the IOCB having the next priority, the message data in the IOCB. | 03-07-2013 |
20130062668 | HETEROJUNCTION BIPOLAR TRANSISTORS WITH REDUCED BASE RESISTANCE - Heterojunction bipolar transistors with reduced base resistance, as well as fabrication methods for heterojunction bipolar transistors and design structures for BiCMOS integrated circuits. The heterojunction bipolar transistor includes a conductive layer between the intrinsic base and the extrinsic base. The conductive layer is comprised of a conductive material, such as a silicide, having a lower resistivity than the materials forming the intrinsic base and the extrinsic base. | 03-14-2013 |
20130063287 | DECODING ENCODED DATA - A method for decoding encoded data includes receiving data encoded by replacing each of a plurality of characters with bit strings. The method also includes recording, on the basis of definition information, at least one of the characters as corresponding to each of the bit lengths, and generating decode information based on the number of characters, wherein the decode information includes bit string information for sorting the bit strings in a bit length order that is a predetermined order associated with bit lengths. The method also includes, in response to receiving a particular bit length, generating character information in which the characters are sorted in the bit length order by inserting a character corresponding to the particular bit length into a position corresponding to the particular bit length in an array in which at least one of the bit lengths. | 03-14-2013 |
20130066850 | USING A PARTIALLY BUILT INDEX IN A COMPUTER DATABASE SYSTEM - A partially built index is used in a computer database system. When a database index is being built, or rebuilt, the database manager keeps track of the records processed using a relative row number (RRN) to track what part of the partially built index is complete. Queries are optimized by a query optimizer associated with the database manager that uses the portion of the index that is complete with reference to the RRN. The remainder of the database table beyond the RRN can be estimated or implemented using the completed data or can be determined by searching the relevant portion of the database table. | 03-14-2013 |
20130067077 | PROMOTION OF PERFORMANCE PARAMETERS IN DISTRIBUTED DATA PROCESSING ENVIRONMENT - A method of performance monitoring in a data processing environment is provided. The data processing environment includes multiple systems, each of which has resources. Each resource relates to a resource type, wherein at least one performance parameter is defined for each resource type. The method includes determining a value of the at least one performance parameter for at least one resource, aggregating performance parameter values and related resources of a resource type, creating for at least a part of the resources a next predefined aggregation level which includes all resources relating to the resource type and associating all performance parameter values to this aggregation level, and repeating the creating for at least a part of the resources a next predefined aggregation level until a predefined target aggregation level of the data processing environment has been reached. | 03-14-2013 |
20130067111 | ROUTING DATA COMMUNICATIONS PACKETS IN A PARALLEL COMPUTER - Routing data communications packets in a parallel computer that includes compute nodes organized for collective operations, each compute node including an operating system kernel and a system-level messaging module that is a module of automated computing machinery that exposes a messaging interface to applications, each compute node including a routing table that specifies, for each of a multiplicity of route identifiers, a data communications path through the compute node, including: receiving in a compute node a data communications packet that includes a route identifier value; retrieving from the routing table a specification of a data communications path through the compute node; and routing, by the compute node, the data communications packet according to the data communications path identified by the compute node's routing table entry for the data communications packet's route identifier value. | 03-14-2013 |
20130067198 | COMPRESSING RESULT DATA FOR A COMPUTE NODE IN A PARALLEL COMPUTER - A parallel computer is provided that includes a collection of compute nodes organized as a tree, including: initiating a collective gather operation by a logical root of the collection of compute nodes, including adding result data of the logical root to a gather buffer; for each compute node in the collection of compute nodes, determining whether result data of the compute node is already written in the gather buffer; and if the result data of the compute node is already written in the gather buffer, incrementing a counter assigned to that result data already written in the gather buffer; and if the result data of the compute node is not already written in the gather buffer, writing the result data of the compute node as new result data in the gather buffer, incrementing a counter assigned to that new result data, and writing in the gather buffer a node ID. | 03-14-2013 |
20130067206 | Endpoint-Based Parallel Data Processing In A Parallel Active Messaging Interface Of A Parallel Computer - Endpoint-based parallel data processing in a parallel active messaging interface (‘PAMI’) of a parallel computer, the PAMI composed of data communications endpoints, each endpoint including a specification of data communications parameters for a thread of execution on a compute node, including specifications of a client, a context, and a task, the compute nodes coupled for data communications through the PAMI, including establishing a data communications geometry, the geometry specifying, for tasks representing processes of execution of the parallel application, a set of endpoints that are used in collective operations of the PAMI including a plurality of endpoints for one of the tasks; receiving in endpoints of the geometry an instruction for a collective operation; and executing the instruction for a collective operation through the endpoints in dependence upon the geometry, including dividing data communications operations among the plurality of endpoints for one of the tasks. | 03-14-2013 |
20130067311 | System and Method of Automatically Mapping a Given Annotator to an Aggregate of Given Annotators - A document corpus is annotated by given annotators and aggregates of the given annotators to generate a corpus of pre-annotated documents with given annotations. The same document corpus is annotated by a subject annotator to have subject annotations. The subject annotations are decomposed into superpositions of the given annotations. The decomposed subject annotations are mapped to a most representative superposition of the given annotations. The subject annotator may be mapped to the aggregate of given annotators corresponding to the most representative superposition. | 03-14-2013 |
20130067431 | PROGRAM, APPARATUS, AND METHOD OF OPTIMIZING A JAVA OBJECT - An apparatus, method and article of manufacture tangibly embodying computer readable instructions for optimizing a Java object on a target computer program. The apparatus includes: a storage unit for storing a value of the object and management information on the object in association with each other; a code generation unit for generating, from the target computer program, optimized code and unoptimized code; a switching unit for switching from executing the target computer program using the optimized code to executing the target computer program using the unoptimized code in response to an event in which the value of the object is written while the target computer program is executed by using the optimized code; and a management unit for managing the object by accessing the management information by a non-detection write operation in which writing to the object is performed without being detected. | 03-14-2013 |
20130067483 | LOCALITY MAPPING IN A DISTRIBUTED PROCESSING SYSTEM - Topology mapping in a distributed processing system that includes a plurality of compute nodes, including: initiating a message passing operation; including in a message generated by the message passing operation, topological information for the sending task; mapping the topological information for the sending task; determining whether the sending task and the receiving task reside on the same topological unit; if the sending task and the receiving task reside on the same topological unit, using an optimal local network pattern for subsequent message passing operations between the sending task and the receiving task; otherwise, using a data communications network between the topological unit of the sending task and the topological unit of the receiving task for subsequent message passing operations between the sending task and the receiving task. | 03-14-2013 |
20130067487 | Runtime Optimization Of An Application Executing On A Parallel Computer - Identifying a collective operation within an application executing on a parallel computer; identifying a call site of the collective operation; determining whether the collective operation is root-based; if the collective operation is not root-based: establishing a tuning session and executing the collective operation in the tuning session; if the collective operation is root-based, determining whether all compute nodes executing the application identified the collective operation at the same call site; if all compute nodes identified the collective operation at the same call site, establishing a tuning session and executing the collective operation in the tuning session; and if all compute nodes executing the application did not identify the collective operation at the same call site, executing the collective operation without establishing a tuning session. | 03-14-2013 |
20130067715 | Electro-optical Assembly Fabrication - A flip-chip bonder fabricates an optical assembly by horizontally positioning a flexible portion of a substrate including a waveguide with the waveguide exposed at one end edge of the substrate; bending a portion of the flexible substrate to place the waveguide exposed end in approximately a vertical position; vertically positioning a bond head containing an optical component upon the waveguide exposed substrate edge to optically mate the optical component with the exposed waveguide; and fixably mounting the optical component to the substrate edge. | 03-21-2013 |
20130067733 | Electro-optical Assembly Fabrication - A flip-chip bonder fabricates an optical assembly by horizontally positioning a flexible portion of a substrate including a waveguide with the waveguide exposed at one end edge of the substrate; bending a portion of the flexible substrate to place the waveguide exposed end in approximately a vertical position; vertically positioning a bond head containing an optical component upon the waveguide exposed substrate edge to optically mate the optical component with the exposed waveguide; and fixably mounting the optical component to the substrate edge. | 03-21-2013 |
20130067739 | Electro-optical Assembly Fabrication - A flip-chip bonder fabricates an optical assembly by horizontally positioning a flexible portion of a substrate including a waveguide with the waveguide exposed at one end edge of the substrate; bending a portion of the flexible substrate to place the waveguide exposed end in approximately a vertical position; vertically positioning a bond head containing an optical component upon the waveguide exposed substrate edge to optically mate the optical component with the exposed waveguide; and fixably mounting the optical component to the substrate edge. | 03-21-2013 |
20130068423 | AIR-SIDE ECONOMIZER FACILITATING LIQUID-BASED COOLING OF AN ELECTRONICS RACK - A cooling apparatus and method are provided for cooling an electronic subsystem of an electronics rack. The cooling apparatus includes a local cooling station, which has a liquid-to-air heat exchanger and ducting for directing a cooling airflow across the heat exchanger. A cooling subsystem is associated with the electronic subsystem of the rack, and includes either a housing facilitating immersion cooling of electronic components of the electronic subsystem, or one or more liquid-cooled structures providing conductive cooling to the electronic components of the electronic subsystem. A coolant loop couples the cooling subsystem to the liquid-to-air heat exchanger of the local cooling station. In operation, heat is transferred via circulating coolant from the electronic subsystem and rejected in the liquid-to-air heat exchanger of the local cooling station to the cooling airflow passing across the liquid-to-air heat exchanger. In one embodiment, the cooling airflow is outdoor air. | 03-21-2013 |
20130069171 | Controlled Fin-Merging for Fin Type FET Devices - A placement of non-planar FET devices is disclosed, which includes non-planar devices that have electrodes, and the electrodes contain fins and an epitaxial layer which merges the fins together. The non-planar devices are so placed that their gate structures are in a parallel configuration separated from one another by a first distance, and the fins of differing non-planar devices line up in essentially straight lines. The electrodes of differing FET devices are separated from one another by a cut defined by opposing facets of the electrodes, with the opposing facets also defining the width of the cut. The width of the cut is smaller than one fifth of the first distance which separates the gate structures. | 03-21-2013 |
20130069196 | STRUCTURE AND METHOD TO MINIMIZE REGROWTH AND WORK FUNCTION SHIFT IN HIGH-K GATE STACKS - The present invention provides a semiconductor structure comprising high-k material portions that are self-aligned with respect to the active areas in the semiconductor substrate and a method of fabricating the same. The high-k material is protected from oxidation during the fabrication of the semiconductor structure and regrowth of the high-k material and shifting of the high-k material work function is prevented. | 03-21-2013 |
20130069950 | Data Processing Environment Integration Control Interface - A system and method for controlling an integration of data processing resources. A first graphical element corresponding to a first endpoint node is displayed, wherein the first endpoint node corresponds to first data processing resources in a data processing environment. A second graphical element corresponding to a second endpoint node is displayed, wherein the second endpoint node corresponds to second data processing resources in the data processing environment, wherein the second data processing resources comprise data processing resources provided as a service by a provider of data processing resources. A third graphical element corresponding to an integration node is displayed. An arrangement of the first graphical element, the second graphical element, and the third graphical element is displayed, wherein the arrangement depicts integration of the first data processing resources and the second data processing resources by the integration node. | 03-21-2013 |
20130070420 | FLOW BOILING HEAT SINK WITH VAPOR VENTING AND CONDENSING - A method is provided for facilitating extraction of heat from a heat-generating electronic component. The method includes providing a heat sink, the heat sink including a thermally conductive structure which has one or more coolant-carrying channels and one or more vapor-condensing channels. A membrane is disposed between the coolant-carrying channel(s) and the vapor-condensing channel(s). The membrane includes at least one vapor-permeable region, at least a portion of which overlies a portion of the coolant-carrying channel(s) and facilitates removal of vapor from the coolant-carrying channel(s) to the vapor-condensing channel(s). The heat sink further includes one or more coolant inlets coupled to provide a first liquid coolant flow to the coolant-carrying channel(s), and a second liquid coolant flow to condense vapor within the vapor-condensing channel(s). | 03-21-2013 |
20130070649 | Virtual Switch Interconnect for Hybrid Enterprise Servers - Virtual switch interconnect for hybrid enterprise servers including a system for network configuration. The system includes a first processor coupled to a second processor via a network. The network includes a plurality of switches and links between the switches to offload processing from the first processor to the second processor. The switches include at least one virtual switch. The first processor is configured to perform a method that includes receiving network configuration data and switch state information for the network. It is detected that a first link and a second link provide two different paths between two of the switches. The first link is selected, at the computer, as a primary link based on contents of the network configuration data. The second link is deactivated in response to the selecting. | 03-21-2013 |
20130070771 | Memory Saving Packet Modification - A computer-implemented method that includes creating a master copy of a header for all packets of a data transmission event, the master copy including a plurality of intact constant header information, the plurality of intact constant header information being constant for all packets of the data transmission event, storing unique header information for all packets of the data transmission event, the unique header information including information unique to at least one packet of the data transmission event, tokenizing identities of each packet of the data transmission event to create a tokenized packet ID for each packet, and indexing the stored unique header information based on the tokenizing. According to the method, at packet read-time, unique header information associated with the packet is overlayed onto the master copy to create a unique packet. | 03-21-2013 |
20130071007 | IC LAYOUT PATTERN MATCHING AND CLASSIFICATION SYSTEM AND METHOD - A system and method for restricting the number of layout patterns by pattern identification, matching and classification, includes decomposing the pattern windows into a low frequency component and a high frequency component using a wavelet analysis for an integrated circuit layout having a plurality of pattern windows. Using the low frequency component as an approximation, a plurality of moments is computed for each pattern window. The pattern windows are classified using a distance computation for respective moments of the pattern windows by comparing the distance computation to an error value to determine similarities between the pattern windows. | 03-21-2013 |
20130071027 | VISUALIZATION PROGRAM, VISUALIZATION METHOD AND VISUALIZATION APPARATUS FOR VISUALIZING READING ORDER OF CONTENT - A visualization program, method and apparatus for determining reading order of content in a structured document. The method includes generating, for each of a plurality of elements, a directed segment; storing, in the reading order, the generated directed segments of the elements into a storage device; reading from the storage device; linking together the directed segments for the elements in accordance with the reading order; and displaying the linked directed segments overlaid on the structured document which is displayed on the screen. A computer implemented program and an apparatus for carrying out the above method are also provided. | 03-21-2013 |
20130072003 | SCHOTTKY BARRIER DIODE AND METHOD OF FORMING A SCHOTTKY BARRIER DIODE - Disclosed is a silicon-on-insulator-based Schottky barrier diode with a low forward voltage that can be manufactured according to standard SOI process flow. An active silicon island is formed using an SOI wafer. One area of the island is heavily-doped with an n-type or p-type dopant, one area is lightly-doped with the same dopant, and an isolation structure is formed on the top surface above a junction between the two areas. A metal silicide region contacts the lightly-doped side of the island forming a Schottky barrier. Another discrete metal silicide region contacts the heavily-doped area of the island forming an electrode to the Schottky barrier (i.e., a Schottky barrier contact). The two metal silicide regions are isolated from each other by the isolation structure. Contacts to each of the discrete metal silicide regions allow a forward and/or a reverse bias to be applied to the Schottky barrier. | 03-21-2013 |
20130073560 | Facilitating Object Searches in Virtual Worlds - A mechanism is provided for facilitating object search in a virtual world environment where each object is defined by a plurality of attributes and the search is performed on any attributes of the object however is not limited to a 3-D search. The co-ordinate location of each object in the virtual world is also provided in the search result and the range within which to perform the search may also be specified. Optionally, the user has the ability to teleport/transport to the location of the object using the search result. | 03-21-2013 |
20130073603 | SEND-SIDE MATCHING OF DATA COMMUNICATIONS MESSAGES - Send-side matching of data communications messages in a distributed computing system comprising a plurality of compute nodes, including: issuing by a receiving node to source nodes a receive message that specifies receipt of a single message to be sent from any source node, the receive message including message matching information, a specification of a hardware-level mutual exclusion device, and an identification of a receive buffer; matching by two or more of the source nodes the receive message with pending send messages in the two or more source nodes; operating by one of the source nodes having a matching send message the mutual exclusion device, excluding messages from other source nodes with matching send messages and identifying to the receiving node the source node operating the mutual exclusion device; and sending to the receiving node from the source node operating the mutual exclusion device a matched pending message. | 03-21-2013 |
20130073668 | SPECULATIVE AND COORDINATED DATA ACCESS IN A HYBRID MEMORY SERVER - A method, accelerator system, and computer program product, for prefetching data from a server system in an out-of-order processing environment. A plurality of prefetch requests associated with one or more given data sets residing on the server system are received from an application on the server system. Each prefetch request is stored in a prefetch request queue. A score is assigned to each prefetch request. A set of the prefetch requests are selected from the prefetch queue that comprise a score above a given threshold. A set of data, for each prefetch request in the set of prefetch requests, is prefetched from the server system that satisfies each prefetch request, respectively. | 03-21-2013 |
20130073726 | RESTARTING EVENT AND ALERT ANALYSIS AFTER A SHUTDOWN IN A DISTRIBUTED PROCESSING SYSTEM - Restarting event and alert analysis after a shutdown in a distributed processing system includes identifying a shutdown condition of the distributed processing system; determining whether the shutdown was a planned shutdown or an unplanned shutdown; if the shutdown was planned, storing an identification of the last event in an event log that was injected in an event queue at the time of the planned shutdown and restarting event and alert analysis using the next event identified in the event log; and if the shutdown was unplanned, for each event analyzer, identifying the last event included in the last event pool that the event analyzer closed; and restarting event and alert analysis at the event analyzer using the next event received by the event analyzer after the identified last event. | 03-21-2013 |
20130073733 | BALANCING A DATA PROCESSING LOAD AMONG A PLURALITY OF COMPUTE NODES IN A PARALLEL COMPUTER - Methods, apparatus, and products are disclosed for balancing a data processing load among a plurality of compute nodes in a parallel computer that include: partitioning application data for processing on the plurality of compute nodes into data chunks; receiving, by each compute node, at least one of the data chunks for processing; estimating, by each compute node, processing time involved in processing the data chunks received by that compute node for processing; and redistributing, by at least one of the compute nodes to at least one of the other compute nodes, a portion of the data chunks received by that compute node in dependence upon the processing time estimated by that compute node. | 03-21-2013 |
20130073735 | Semantic- and Preference-Based Planning of Cloud Service Templates - A mechanism is provided for evaluating a service based on a request for the service. At least one attribute, having at least one preference parameter, is assigned to at least one generic configuration, the at least one generic configuration comprising at least one resource. A request is received for the service having an assigned quality-of-service-value, and a respective preference parameter value is assigned to each of the at least one preference parameters based on the quality-of-service-value. Furthermore, a combination of the resources is selected based on the request, the combination of the resources forming a selected configuration. Additionally, the selected, configuration is mapped to one of the at least one of the generic configurations and the at least one preference parameter of the at least one attributes of the mapped at least one of the generic configurations is mapped to the selected, configuration. | 03-21-2013 |
20130073751 | FENCING NETWORK DIRECT MEMORY ACCESS DATA TRANSFERS IN A PARALLEL ACTIVE MESSAGING INTERFACE OF A PARALLEL COMPUTER - Fencing direct memory access (‘DMA’) data transfers in a parallel active messaging interface (‘PAMI’) of a parallel computer, the PAMI including data communications endpoints, each endpoint including specifications of a client, a context, and a task, the endpoints coupled for data communications through the PAMI and through DMA controllers operatively coupled to a deterministic data communications network through which the DMA controllers deliver data communications deterministically, including initiating execution through the PAMI of an ordered sequence of active DMA instructions for DMA data transfers between two endpoints, effecting deterministic DMA data transfers through a DMA controller and the deterministic data communications network; and executing through the PAMI, with no FENCE accounting for DMA data transfers, an active FENCE instruction, the FENCE instruction completing execution only after completion of all DMA instructions initiated prior to execution of the FENCE instruction for DMA data transfers between the two endpoints. | 03-21-2013 |
20130073759 | UPBOUND INPUT/OUTPUT EXPANSION REQUEST AND RESPONSE PROCESSING IN A PCIE ARCHITECTURE - Embodiments of the invention relate to non-standard I/O adapters in a standardized input/output (I/O) architecture. An aspect of the invention includes initiating a first request to perform an operation on a host system. The first request formatted for a first protocol and including data required to process the first request. A second request is created responsive to the first request, the second request including a header and is formatted according to the second protocol. The creating includes storing the data required to process the first request in the header of the second request. The second request is sent to the host system. | 03-21-2013 |
20130073766 | INPUT/OUTPUT (I/O) EXPANSION RESPONSE PROCESSING IN A PERIPHERAL COMPONENT INTERCONNECT EXPRESS (PCIE) ENVIRONMENT - Embodiments of the invention relate to non-standard input/output (I/O) adapters in a standardized I/O architecture. An aspect of the invention includes implementing non-standard I/O adapters in a standardized I/O architecture. A request is received at an I/O adapter from a requester to perform an operation on one of the I/O adapters. It is determined that the request is in a format other than a format supported by an I/O bus and that the requester requires a completion response for the request. The request is transformed into the format supported by the I/O bus, and is transmitted to the I/O adapter. The completion response is received from the I/O adapter, and includes an indicator that the request has been completed. The completion response is in the format supported by the I/O bus. The completion response is transmitted to the requester. | 03-21-2013 |
20130073767 | INPUT/OUTPUT (I/O) EXPANSION RESPONSE PROCESSING IN A PERIPHERAL COMPONENT INTERCONNECT EXPRESS (PCIE) ENVIRONMENT - A system for implementing non-standard input/output (I/O) adapters in a standardized I/O architecture, comprising an I/O hub communicatively coupled to an I/O bus and a plurality of I/O adapters, the I/O hub including logic for implementing a method comprising receiving a request from a requester to perform an operation on one of the plurality of I/O adapters. The method further comprising determining that the request is in a format other than a format supported by the I/O bus, determining that the requester requires a completion response for the request, transforming the request into the format supported by the I/O bus, transmitting the request to the I/O adapter, receiving the completion response from the I/O adapter, the completion response comprising an indicator that the request has been completed, the completion response in the format supported by the I/O bus and transmitting the completion response to the requester. | 03-21-2013 |
20130073824 | COPYING SEGMENTS OF A VIRTUAL RESOURCE DEFINITION - Segments of a virtual resource definition are copied from an existing virtual resource to create a new virtual resource definition or modifying an existing one to simplify virtualization management. The virtualization manager divides a virtual resource definition into a number of reusable segments. A user may then select one or more segments and place them into a new or existing virtual resource definition. The user can choose to mix and match segments to quickly create or modify a virtual resource definition such as a virtual server, virtual printer or virtual data storage. Any default information in the new virtual resource or old information in the existing resource is replaced by the information in the copied segment. Any dependencies in the existing virtual resource are resolved with user input to break the dependencies or copy dependent data. | 03-21-2013 |
20130073832 | PERFORMING A DETERMINISTIC REDUCTION OPERATION IN A PARALLEL COMPUTER - A parallel computer that includes compute nodes having computer processors and a CAU (Collectives Acceleration Unit) that couples processors to one another for data communications. In embodiments of the present invention, deterministic reduction operation include: organizing processors of the parallel computer and a CAU into a branched tree topology, where the CAU is a root of the branched tree topology and the processors are children of the root CAU; establishing a receive buffer that includes receive elements associated with processors and configured to store the associated processor's contribution data; receiving, in any order from the processors, each processor's contribution data; tracking receipt of each processor's contribution data; and reducing, the contribution data in a predefined order, only after receipt of contribution data from all processors in the branched tree topology. | 03-21-2013 |
20130073844 | QUARANTINE METHOD AND SYSTEM - A quarantine method and system for allowing a client terminal to connect to a user network. An authentication apparatus recognizes that a communication means of the client terminal has been activated. The authentication apparatus confirms a common certificate for the client terminal. An Internet Protocol (IP) address is provided to the client terminal to enable the client terminal to log in to the quarantine network. A first authentication server security checks the client terminal to determine whether each check item of at least two check items has a violation. The client terminal is allowed to connect to the user network, via a second authentication server confirming a user certificate for the client terminal followed by the second authentication server storing the user certificate in the client terminal. The security measure server, the first authentication server, and the second authentication server are physically distinct hardware servers. | 03-21-2013 |
20130073863 | PERSONAL IDENTIFICATION NUMBER SECURITY ENHANCEMENT - A method that includes receiving, from a first entity having an input permission, a first data structure into a HSM, wherein the first data structure maps a first many-to-one mapping between a first and a second PIN numeral system. The method also includes determining whether the content of the first data structure is valid, storing the first data structure in the HSM if the first data structure is valid and marking the stored first data structure as inactive. The method further includes activating the first data structure if a second data structure is input into the HSM by a second entity having an activation permission, wherein the first entity is different from the second entity, the first data structure is identical to the second data structure. The method additionally includes converting from the first to the second PIN numeral system responsive to the activated first data structure. | 03-21-2013 |
20130073868 | SELECTIVE ENCRYPTION WITHIN DOCUMENTS - A method and system for selective encryption within a document. A portion of the document selected and marked for encryption is detected, the selected portion of the document including plaintext. The detected portion of the document selected for encryption is encrypted as ciphertext. The encrypted portion of the document is decrypted with a proper decryption key, wherein the decrypting includes decrypting the encrypted portion of the document in response to presentation of required data by the accessor. The required data includes the proper decryption key, a name of the accessor, and an employee number of the accessor. The portion of the document is displayed as decrypted. | 03-21-2013 |
20130073950 | LOGGING BROWSER DATA - For logging browser data, the method compiles a string representing metadata of an operating environment for a browser displaying webpage content from a Web server. The method further compiles a Document Object Model (DOM) string representing at least one DOM element of the webpage content. In addition, the method logs the metadata string and the DOM string within a data store accessible by the web server. | 03-21-2013 |
20130074021 | CLOCK ALIAS FOR TIMING ANALYSIS OF AN INTEGRATED CIRCUIT DESIGN - A timing analysis mechanism allows defining a clock alias that correlates a clock that is not present in an integrated circuit design to a real clock in the integrated circuit design. In a first implementation, when an existing user assertion references a clock alias, the timing analysis mechanism generates a new user assertion that references the real clock specified in the clock alias. The new user assertion is then used in the timing analysis and the existing user assertion is not. In a second implementation, when an existing user assertion references a clock alias, the timing analysis mechanism generates a duplicate clock in the timing database, preserving all its attributes, and then passes the assertion using the alias name as well as any assertions using the corresponding real clock name to the timing engine to be used in the timing analysis. | 03-21-2013 |
20130074022 | CLOCK ALIAS FOR TIMING ANALYSIS OF AN INTEGRATED CIRCUIT DESIGN - A timing analysis mechanism allows defining a clock alias that correlates a clock that is not present in an integrated circuit design to a real clock in the integrated circuit design. In a first implementation, when an existing user assertion references a clock alias, the timing analysis mechanism generates a new user assertion that references the real clock specified in the clock alias. The new user assertion is then used in the timing analysis and the existing user assertion is not. In a second implementation, when an existing user assertion references a clock alias, the timing analysis mechanism generates a duplicate clock in the timing database, preserving all its attributes, and then passes the assertion using the alias name as well as any assertions using the corresponding real clock name to the timing engine to be used in the timing analysis. | 03-21-2013 |
20130074039 | DETERMINING FUNCTIONAL DESIGN/REQUIREMENTS COVERAGE OF A COMPUTER CODE - A method that includes: running a set of functional tests over a computer code; determining, for each code element of the computer code, which functional test covered it, to yield a tests-elements coverage map; associating portions of the computer code with corresponding design portions or requirements derived from a design document or a requirements document respectively, associated with the computer code, to yield a design/requirements-code tracing map; deriving, from the tests-code coverage map and the design/requirements-code tracing map, a design/requirements-tests coverage map, exhibiting coverage of the computer code by the functional tests, in terms of the design or the requirements, wherein at least one of the running, the determining, the associating, and the deriving is executed by at least one processor. | 03-21-2013 |
20130074041 | THREAD-SPECIFIC EVENT MANAGEMENT IN A NON-STOP DEBUGGING ENVIRONMENT - A non-stop debugging environment includes a debugger configured to debug a multi-threaded debuggee. In the non-stop debugging environment, encountering an event by one of the threads stops execution of only the one thread without concurrently stopping execution of the other threads. Thread-specific events may managed in the non-stop debug environment by identifying, by the debugger for a thread of execution of the debuggee not currently executing, a thread-specific event associated with the thread; removing, by the debugger, the thread-specific event for all threads of the debuggee; and upon the thread resuming execution, replacing, by the debugger, the thread-specific event. | 03-21-2013 |
20130074044 | EVENT MANAGEMENT IN A NON-STOP DEBUGGING ENVIRONMENT - Event management in a non-stop debugging environment that includes a debugger configured to debug a debuggee, the debuggee including a number of threads of execution, where encountering an event by one of threads stops execution of only the one thread without concurrently stopping execution of other threads and event management includes: encountering, by a first thread, an event that stops execution of the first thread; while execution of the first thread is stopped, encountering, by each of one or more other threads, an event stopping execution of the other thread; queuing, by the debugger, the events stopping execution of the other threads; receiving, by the debugger from a user, an indication to flush one or more events from the queue; and flushing, by the debugger, the one or more events from the queue. | 03-21-2013 |
20130074045 | INTEGRATING COMPILER WARNINGS INTO A DEBUG SESSION - Integrating compiler warnings into a debug session including: receiving, by a debugger for a debug session of a debuggee from a compiler, compiled source code for execution and compiler warning data describing one or more compiler warnings generated at compile time of the debuggee, each compiler warning resulting from a source code variable statement in the debuggee source code; receiving, by the debugger, a request to evaluate a variable; determining, from the compiler warning data, whether evaluating the variable is dependent upon a source code variable statement resulting in a compiler warning; and, if evaluating the variable is dependent upon a source code variable statement resulting in a compiler warning, returning, by the debugger responsive to the request along with a result of the evaluation, a compiler warning indicator. | 03-21-2013 |
20130074078 | CALL STACK AGGREGATION AND DISPLAY - A call stack aggregation mechanism aggregates call stacks from multiple threads of execution and displays the aggregated call stack to a user in a manner that visually distinguishes between the different call stacks in the aggregated call stack. The multiple threads of execution may be on the same computer system or on separate computer systems. | 03-21-2013 |
20130074086 | PIPELINING PROTOCOLS IN MISALIGNED BUFFER CASES - Systems, methods and articles of manufacture are disclosed for effecting a desired collective operation on a parallel computing system that includes multiple compute nodes. The compute nodes may pipeline multiple collective operations to effect the desired collective operation. To select protocols suitable for the multiple collective operations, the compute nodes may also perform additional collective operations. The compute nodes may pipeline the multiple collective operations and/or the additional collective operations to effect the desired collective operation more efficiently. | 03-21-2013 |
20130074094 | EXECUTING MULTIPLE THREADS IN A PROCESSOR - Provided are a method, system, and program for executing multiple threads in a processor. Credits are set for a plurality of threads executed by the processor. The processor alternates among executing the threads having available credit. The processor decrements the credit for one of the threads in response to executing the thread and initiates an operation to reassign credits to the threads in response to depleting all the thread credits. | 03-21-2013 |
20130074095 | HANDLING AND REPORTING OF OBJECT STATE TRANSITIONS ON A MULTIPROCESS ARCHITECTURE - Techniques are described for managing states of an object using a finite-state machine. The states may be used to indicate whether an object has been added, removed, requested or updated. Embodiments of the invention generally include dividing a process into at least two threads where a first thread changes the state of the object while the second thread performs the processing of the data found in the object. While the second thread is processing the data, the first thread may receive additional updates and change the states of the objects to inform the second thread that it should process the additional updates when the second thread becomes idle. | 03-21-2013 |
20130074098 | PROCESSING DATA COMMUNICATIONS EVENTS IN A PARALLEL ACTIVE MESSAGING INTERFACE OF A PARALLEL COMPUTER - Processing data communications events in a parallel active messaging interface (‘PAMI’) of a parallel computer that includes compute nodes that execute a parallel application, with the PAMI including data communications endpoints, and the endpoints are coupled for data communications through the PAMI and through other data communications resources, including determining by an advance function that there are no actionable data communications events pending for its context, placing by the advance function its thread of execution into a wait state, waiting for a subsequent data communications event for the context; responsive to occurrence of a subsequent data communications event for the context, awakening by the thread from the wait state; and processing by the advance function the subsequent data communications event now pending for the context. | 03-21-2013 |
20130074099 | TRANSMITTING OPERATOR MESSAGE COMMANDS TO A COUPLING FACILITY - A facility is provided to enable operator message commands from multiple, distinct sources to be provided to a coupling facility of a computing environment for processing. These commands are used, for instance, to perform actions on the coupling facility, and may be received from consoles coupled to the coupling facility, as well as logical partitions or other systems coupled thereto. Responsive to performing the commands, responses are returned to the initiators of the commands. | 03-21-2013 |
20130074146 | DATA SECURITY FOR A DATABASE IN A MULTI-NODAL ENVIRONMENT - A security mechanism in a database management system enforces processing restrictions stored as metadata to control how different pieces of a multi-nodal application are allowed to access database data to provide data security. The security mechanism preferably checks the data security restrictions for security violations when an execution unit attempts to access the data to insure the nodal conditions are appropriate for access. When the security mechanism determines there is a security violation by a query from an execution unit based on the security restrictions, the security mechanism may send, delay or retry to maintain data security. Nodal conditions herein include time restrictions and relationships with other columns, rows or pieces of information. For example, multiple processing units may execute together, but the security mechanism would prohibit these processing units to access specific pieces of information at the same time through the use of metadata in the database. | 03-21-2013 |
20130074192 | DATA SECURITY IN A MULTI-NODAL ENVIRONMENT - A data security manager in a multi-nodal environment enforces processing constraints stored as security relationships that control how different pieces of a multi-nodal application (called execution units) are allowed to execute to insure data security. The security manager preferably checks the security relationships for security violations when new execution units start execution, when data moves to or from an execution unit, and when an execution unit requests external services. Where the security manager determines there is a security violation based on the security relationships, the security manager may move, delay or kill an execution unit to maintain data security. | 03-21-2013 |
20130075871 | MULTI-LAYER CHIP OVERLAY TARGET AND MEASUREMENT - A wafer includes an active region and a kerf region surrounding at least a portion of the active region. The wafer also includes a target region having a rectangular shape with a width and length greater than the width, the target region including one or more target patterns, at least one of the target patterns being formed by two sub-patterns disposed at opposing corners of target rectangle disposable within the target region. | 03-28-2013 |
20130076343 | NON-CONTACT CURRENT AND VOLTAGE SENSING CLAMP - A clamping current and voltage sensor provides an isolated and convenient technique for measuring current passing through a conductor such as an AC branch circuit wire, as well as providing an indication of an electrostatic potential on the wire, which can be used to indicate the phase of the voltage on the wire, and optionally a magnitude of the voltage. The device includes a body formed from two handle portions that contain the current and voltage sensors within an aperture at the distal end, which may be a ferrite cylinder with a hall effect sensor disposed in a gap along the circumference to measure current, or alternatively a winding provided through the cylinder along its axis and a capacitive plate or wire disposed adjacent to, or within, the ferrite cylinder to provide the indication of the voltage. When the handles are compressed the aperture is opened to permit insertion of a wire for measurement. | 03-28-2013 |
20130076740 | Holographic Enterprise Network - A solution for implementing a holographic enterprise network is provided. The solution can provide an interface between an operations center and a three dimensional (3D) virtual simulator system capable of rendering holographic images of the operations center. A holographic enterprise interface can translate standard enterprise data associated with the operations center and 3D holographic data. Parallel communications between the holographic enterprise interface and a 3D data processing infrastructure having a holographic bus also can be managed. | 03-28-2013 |
20130077246 | HEAT SINK STRUCTURE WITH A VAPOR-PERMEABLE MEMBRANE FOR TWO-PHASE COOLING - A heat sink, and cooled electronic structure and cooled electronics apparatus utilizing the heat sink are provided. The heat sink is fabricated of a thermally conductive structure which includes one or more coolant-carrying channels coupled to facilitate the flow of coolant through the coolant-carrying channel(s). The heat sink further includes a membrane associated with the coolant-carrying channel(s). The membrane includes at least one vapor-permeable region, which overlies a portion of the coolant-carrying channel(s) and facilitates removal of vapor from the coolant-carrying channel(s), and at least one orifice coupled to inject coolant onto at least one surface of the coolant-carrying channel(s) intermediate opposite ends of the channel(s). | 03-28-2013 |
20130077247 | VALVE CONTROLLED, NODE-LEVEL VAPOR CONDENSATION FOR TWO-PHASE HEAT SINK(S) - A cooling apparatus and method are provided for cooling one or more electronic components of an electronic subsystem of an electronics rack. The cooling apparatus includes a heat sink, which is configured to couple to an electronic component, and which includes a coolant-carrying channel for coolant to flow therethrough. The coolant provides two-phase cooling to the electronic component, and is discharged from the heat sink as coolant exhaust which comprises coolant vapor to be condensed. The cooling apparatus further includes a node-level condensation module, associated with the electronic subsystem, and coupled in fluid communication with the heat sink to receive the coolant exhaust from the heat sink. The condensation module is liquid-cooled, and facilitates condensing of the coolant vapor in the coolant exhaust. A controller automatically controls the liquid-cooling of the heat sink and/or the liquid-cooling of the node-level condensation module. | 03-28-2013 |
20130077394 | MULTILEVEL PROGRAMMING OF PHASE CHANGE MEMORY - A method and device for performing a program operation of a phase change memory (PCM) cell. The method includes the steps of applying one or more programming pulses according to a predefined programming scheme to achieve a target resistance level of the PCM cell, wherein the programming scheme is operable to perform in a first programming mode one or more annealing steps to approach the target resistance, wherein the programming scheme is operable to perform in a second programming mode one or more melting steps, wherein the programming scheme is operable to start in the first programming mode and to switch to the second programming mode if the target resistance level of the PCM cell has been undershot in the first programming mode. | 03-28-2013 |
20130078781 | SEMICONDUCTOR FABRICATION - Embodiments of the present invention provide the ability to fabricate devices having similar physical dimensions, yet with different operating characteristics due to the different effective channel lengths. The effective channel length is controlled by forming an abrupt junction at the boundary of the gate and at least one source or drain. The abrupt junction impacts the diffusion during an anneal process, which in turn controls the effective channel length, allowing physically similar devices on the same chip to have different operating characteristics. | 03-28-2013 |
20130080052 | ROUTE PLANNING USING LOCATION/TIME ENCODED DATA FROM LOCATION AWARE SNOWPLOWS - Techniques are described for using geographic location data of snowplows to update a snow depth associated with a section of a surface street. The route of the snowplow may be tracked continuously which allows the snow depth of the street to be reset in real-time. The updated snow depth may then be used to generate a cost of travelling on the street. The travelling cost may then be used to plan a route through the region. Alternatively, the cost may be graphically displayed on a map to permit a user to determine which surface streets to avoid. In another embodiment, the cost of travelling on a particular street may be changed by the attributes of the vehicle that will navigate the snow-covered roads, driver's experience, or the geographic terrain of a road. This new cost may then be used, for example, to plan a route or display roads to avoid. | 03-28-2013 |
20130080142 | Predicting Resource Requirements for a Computer Application - A resource consumption model is created for a software application, making it possible to predict the resource requirements of the application in different states. The model has a structure corresponding to that of the application itself, and is interpreted to some degree in parallel with the application, but each part of the model is interpreted in less time than it takes to complete the corresponding part of the application, so that resource requirement predictions are available in advance. The model may be interpreted in a look-ahead mode, wherein different possible branches of the model are interpreted so as to obtain resource requirement predictions for the application after completion of the present step. The model may be derived automatically from the application at design or compilation, and populated by measuring the requirements of the application in response to test scenarios in a controlled environment. | 03-28-2013 |
20130080392 | RESTORE OF FULL SYSTEM BACKUP AND INCREMENTAL BACKUPS USING MULTIPLE SIMULTANEOUS DEVICE STREAMS - A technique for restoring file systems by applying full and incremental backups together while streaming them from multiple devices provides a faster system restore from a full backup where incremental backups must also be applied. A first storage device streams the full backup while at least one second storage device streams at least one incremental backup. As files are detected in the incremental backup, they are written instead of the corresponding file in the full backup. Incremental backups can be pre-merged to reduce their number to one less than a number of storage devices available to stream the backups, so that the full backup can be streamed along with the pre-merged incremental backup(s) to restore the file system. | 03-28-2013 |
20130080400 | SPECULATIVE EXECUTION IN A REAL-TIME DATA ENVIRONMENT - Techniques are described for speculatively executing operations on data in a data stream in parallel in a manner that increases the efficiency of the stream-based application. In addition to executing operations in parallel, embodiments of the invention may determine whether certain results produced by the parallel operations are valid results and discard any results determined to be invalid. | 03-28-2013 |
20130080459 | DATABASE-BASED SEMANTIC QUERY ANSWERING - A computer-implemented method, computer-implemented system, and a computer program product for answering a database-based query of a computerized database system. The method includes: generating a canonical individual ind' with respect to a role and a concept, for an existential restriction in an ontology used in the computerized database system; converting implicit data in original data into explicit data using the canonical individual ind' and the ontology; and retrieving an answer meeting all query conditions in a query from the original data and the converted explicit data. The computer-implemented system includes: a canonical individual generation unit; a data conversion engine; and a query unit. | 03-28-2013 |
20130080466 | QUERY SERVICING WITH ACCESS PATH SECURITY IN A RELATIONAL DATABASE MANAGEMENT SYSTEM - A method and system for servicing a data query in a relational database management system (RDBMS). Access path security corresponding to a user originating the data query is configured. The data query requests data from the RDBMS pursuant to a received configuration query associated with the user. The data query requests a value stored in a result field by specifying the result field and a result table of a database in the RDBMS. The configuration query specifies a usable index field associated with the result table. The access path security mandates the user to provide a search key in the data query in searching the result table. The search key includes a name identical to the usable index field specified in the configuration query such that the access path security enables users to search the result table only when the users specify the usable index field in the data query. | 03-28-2013 |
20130080484 | RAPIDLY DEPLOYING VIRTUAL DATABASE APPLICATIONS USING DATA MODEL ANALYSIS - Techniques are described for creating a first data abstraction model for a first database. Embodiments analyze the first database to determine a first set of structural characteristics, and analyze a second database to determine a second set of structural characteristics. The analyzed second database is associated with a second data abstraction model. The first set of structural characteristics is compared with the second set of structural characteristics to identify one or more similarities between the two sets of structural characteristics. Embodiments then create the first data abstraction model for the first database, based on the identified similarities and the second data abstraction model. | 03-28-2013 |
20130080563 | EFFECTING HARDWARE ACCELERATION OF BROADCAST OPERATIONS IN A PARALLEL COMPUTER - Compute nodes of a parallel computer organized for collective operations via a network, each compute node having a receive buffer and establishing a topology for the network; selecting a schedule for a broadcast operation; depositing, by a root node of the topology, broadcast data in a target node's receive buffer, including performing a DMA operation with a well-known memory location for the target node's receive buffer; depositing, by the root node in a memory region designated for storing broadcast data length, a length of the broadcast data, including performing a DMA operation with a well-known memory location of the broadcast data length memory region; and triggering, by the root node, the target node to perform a next DMA operation, including depositing, in a memory region designated for receiving injection instructions for the target node, an instruction to inject the broadcast data into the receive buffer of a subsequent target node. | 03-28-2013 |
20130080564 | MESSAGING IN A PARALLEL COMPUTER USING REMOTE DIRECT MEMORY ACCESS ('RDMA') - Messaging in a parallel computer using remote direct memory access (‘RDMA’), including: receiving a send work request; responsive to the send work request: translating a local virtual address on the first node from which data is to be transferred to a physical address on the first node from which data is to be transferred from; creating a local RDMA object that includes a counter set to the size of a messaging acknowledgment field; sending, from a messaging unit in the first node to a messaging unit in a second node, a message that includes a RDMA read operation request, the physical address of the local RDMA object, and the physical address on the first node from which data is to be transferred from; and receiving, by the first node responsive to the second node's execution of the RDMA read operation request, acknowledgment data in the local RDMA object. | 03-28-2013 |
20130080600 | MANAGEMENT SYSTEM FOR PROCESSING STREAMING DATA - Techniques are disclosed for evaluating tuples for processing by a stream application having a plurality of process elements. In one embodiment, at least one tuple to be processed by at least one processing element of the stream application is identified. A maximum duration for which the at least one processing element is allowed to process the at least one tuple is determined. A duration for which the at least one processing element is likely to process the at least one tuple is also estimated. Processing of the at least one tuple is managed based on a comparison between the maximum duration and the estimated duration. | 03-28-2013 |
20130080608 | Automatic Management of Configuration Parameters and Parameter Management Engine - A mechanism is provided for an automatic management of configuration parameters of computing resources between related computing systems. Services instances of computing systems are generated according to associated configuration parameter sets and respective corresponding configuration parameter values. A determination is made of configuration parameter value changes in the configuration parameter sets for each generated service instance of the computing systems. The configuration parameter value changes for each generated service instance are compared with a first subset configuration parameter values and with a second subset configuration parameter values. The determined configuration parameter value changes in one service instance are then propagated to another service instance according to a definition of rules for mapping configuration parameters and their values between second subsets of configuration parameters. | 03-28-2013 |
20130080609 | COMPUTER SYSTEM, METHOD, AND PROGRAM - Traffic data while the system is in operation is collected for a certain time as a preprocess. Typical patterns are extracted from the collected traffic data. Next, stream programs are created for the individual typical patterns and stored for the future reference. Next, the IDs of alternative tasks for transition among different stream programs are stored. In actual system operation, the system measures traffic data regularly or at any time, compares the resultant patterns with the typical patterns, and selects a stream program corresponding to the closest typical pattern as the next phase. Program shutdown time when shifting from the stream program in the present phase to the next phase can be reduced by gradually shifting empty tasks in the present phase to the next stream program as alternative tasks in consideration of the cost of switching between tasks, the cost of transferring data among resources, and so on. | 03-28-2013 |
20130080630 | FLEXIBLE EVENT DATA CONTENT MANAGEMENT FOR RELEVANT EVENT AND ALERT ANALYSIS WITHIN A DISTRIBUTED PROCESSING SYSTEM - Flexible event data content management for relevant event and alert analysis within a distributed processing system includes receiving, by an interface connector, a raw event from a component of the distributed processing system; analyzing custom data within the raw event to determine a location to store the custom data, the custom data in a first data format; storing extended data within the raw event in a common event data format, the extended data indicating the location of the custom data; receiving, by an event analyzer, the event; and determining whether there are custom customer rules that need the custom data; and if there are such custom customer rules, retrieving the custom data based on the extended data from the event; and applying the custom customer rules to the extended data; if there are no such custom customer rules, applying the base rules to a base portion of the event. | 03-28-2013 |
20130080642 | Data Processing Environment Integration Control - A system and method for controlling an integration of data processing resources. A first endpoint node is selected, wherein the first endpoint node comprises information for using first data processing resources in a data processing environment. A second endpoint node is selected, wherein the second endpoint node comprises information for using second data processing resources in the data processing environment, wherein the second data processing resources comprise data processing resources provided as a service by a provider of data processing resources. An integration node is selected, wherein the integration node comprises information for establishing connectivity between the first data processing resources and the second data processing resources. Integration of the first data processing resources and the second data processing resources is controlled to process a workload using the first endpoint node, the second endpoint node, and the integration node. | 03-28-2013 |
20130080643 | ENSEMBLE HAVING ONE OR MORE COMPUTING SYSTEMS AND A CONTROLLER THEREOF - An integrated hybrid system is provided. The hybrid system includes compute components of different types and architectures that are integrated and managed by a single point of control to provide federation and the presentation of the compute components as a single logical computing platform. | 03-28-2013 |
20130080652 | DYNAMIC RUNTIME CHOOSING OF PROCESSING COMMUNICATION METHODS - Techniques are described for assigning and changing communication protocols for a pair of processing elements. The communication protocol determines how the pair of processing elements transmits data in a stream application. The pair may be assigned a communication protocol (e.g., TCP/IP or a protocol that uses a relational database, shared file system, or shared memory) before the operator graph begins to stream data. This assignment may be based on a priority of the processing elements and/or a priority of the communication protocols. After the operator graph begins to stream data, the pair of processing elements may switch to a different communication protocol. The decision to switch the communication protocol may be based on whether the pair of processing elements or assigned communication protocol is meeting established performance standards for the stream application. | 03-28-2013 |
20130080653 | USING PREDICTIVE DETERMINISM WITHIN A STREAMING ENVIRONMENT - Techniques are described for transmitting predicted output data on a processing element in a stream computing application instead of processing currently received input data. The stream computing application monitors the output of a processing element and determines whether its output is predictable, for example, if the previously transmitted output values are within a predefined range or if one or more input values correlate with the same one or more output values. The application may then generate a predicted output value to transmit from the processing element instead of transmitting a processed output value based on current input values. The predicted output value may be, for example, an average of the previously transmitted output values or a previously transmitted output value that was transmitted in response to a previously received input value that is similar to a currently received input value. | 03-28-2013 |
20130080655 | ESTIMATING LOAD SHED DATA IN STREAMING DATABASE APPLICATIONS - Techniques are disclosed for processing data streams containing data tuples, where some of the data tuples are load shed. Load shedding refers to a process of selectively discarding some data tuples, e.g., when the amount of received data becomes too overwhelming to manage. When operations are invoked that depend on the values (or count) of the load shed tuples, the value (or counts) of the load shed tuples may be used to perform such operations. For example, and aggregation operation may return the sum, average, mean and/or variance associated with a plurality of tuples processed by a node of the stream application. | 03-28-2013 |
20130080678 | CABLE REDUNDANCY AND FAILOVER FOR MULTI-LANE PCI EXPRESS IO INTERCONNECTIONS - Method and apparatus for providing failover operation for a connection between a first PCIE bridge and a first input/output (IO) device are provided. A first set of bussed bits is exchanged between the first PCIE bridge and the first IO device over a first link using a first set of lanes of the first PCIE bridge. In response to detecting the failure in the first link, the first set of bussed bits is exchanged between the first PCIE bridge and the first IO device using an unused portion of a second link connecting a second PCIE bridge and a second IO device. | 03-28-2013 |
20130080705 | MANAGING IN-LINE STORE THROUGHPUT REDUCTION - Various embodiments of the present invention manage a hierarchical store-through memory cache structure. A store request queue is associated with a processing core in multiple processing cores. At least one blocking condition is determined to have occurred at the store request queue. Multiple non-store requests and a set of store requests associated with a remaining set of processing cores in the multiple processing cores are dynamically blocked from accessing a memory cache in response to the blocking condition having occurred. | 03-28-2013 |
20130080708 | DYNAMIC MODE TRANSITIONS FOR CACHE INSTRUCTIONS - A method of providing requests to a cache pipeline includes receiving a plurality of requests from one or more state machines at an arbiter, selecting one of the plurality of requests as a selected request, the selected request having been provided by a first state machine, determining that the selected request includes a mode that requires a first step and a second step, the first step including an access to a location in a cache, determining that the location in the cache is unavailable, and replacing the mode with a modified mode that only includes the second step. | 03-28-2013 |
20130080712 | Non-Uniform Memory Access (NUMA) Enhancements for Shared Logical Partitions - In a NUMA-topology computer system that includes multiple nodes and multiple logical partitions, some of which may be dedicated and others of which are shared, NUMA optimizations are enabled in shared logical partitions. This is done by specifying a home node parameter in each virtual processor assigned to a logical partition. When a task is created by an operating system in a shared logical partition, a home node is assigned to the task, and the operating system attempts to assign the task to a virtual processor that has a home node that matches the home node for the task. The partition manager then attempts to assign virtual processors to their corresponding home nodes. If this can be done, NUMA optimizations may be performed without the risk of reducing the performance of the shared logical partition. | 03-28-2013 |
20130080766 | Collaborative Agent Encryption and Decryption - A method for securely transmitting data from a sender computer system to a receiver computer system comprises receiving cleartext message by a first intelligent agent environment; splitting said message into a plurality of message fragments; creating an intelligent agent for each message fragment; generating a key for each message fragment; encrypting each said message fragment to produce a respective encrypted message fragment; and transmitting each intelligent agent with said respective encrypted message fragment as a data payload. The method may further comprise receiving each intelligent agent with its respective encrypted message fragment as a data payload by a second intelligent agent environment at the receiver computer system; locating each of a set of agents; decrypting each encrypted respective message fragment to produce a respective cleartext message fragment; and collaborating by the set of agents to recombine cleartext message fragments to form a cleartext message. | 03-28-2013 |
20130080822 | PROACTIVELY REMOVING CHANNEL PATHS IN ERROR FROM A VARIABLE SCOPE OF I/O DEVICES - A method includes detecting a channel path error event on an identified channel path; recording channel path error data associated with the detected channel path error event; identifying an scope of the channel path error associated with the identified channel path; determining if the identified channel path is a defective channel path based on the scope of the channel path error; and removing the defective channel path from one or more devices. | 03-28-2013 |
20130080824 | DISTRIBUTED JOB SCHEDULING IN A MULTI-NODAL ENVIRONMENT - Techniques are described for decentralizing a job scheduler in a distributed system environment. Embodiments of the invention may generally include receiving a job to be performed by a multi-nodal system which includes a cluster of nodes. Instead of a centralized job scheduler assigning the job to a node or nodes, each node has a job scheduler which scans a shared-file system to determine what job to execute on the node. In a job requiring multiple nodes, one of the nodes that joined the multi-nodal job becomes the primary node which then assigns and monitors the job's execution on the multiple nodes. | 03-28-2013 |
20130080825 | CABLE REDUNDANCY AND FAILOVER FOR MULTI-LANE PCI EXPRESS IO INTERCONNECTIONS - Method and apparatus for providing failover operation for a connection between a first PCIE bridge and a first input/output (IO) device are provided. A first set of bussed bits is exchanged between the first PCIE bridge and the first IO device over a first link using a first set of lanes of the first PCIE bridge. In response to detecting a failure in the first link, at a PCIE bridge end, the first set of lanes is swapped with a second set of lanes of the first PCIE bridge for exchanging a second set of bussed bits between the first PCIE bridge and the first IO device over a second link using the second set of lanes, the second link connecting a second PCIE bridge with a second IO device. In response to detecting the failure in the first link, at an IO device end, the first set of lanes is switched with the second set of lanes for exchanging the second set of bussed bits between the first PCIE bridge and the first IO device over the second link using the second set of lanes. | 03-28-2013 |
20130080838 | Programming in a Simultaneous Multi-Threaded Processor Environment - A system, method, and product are disclosed for testing multiple threads simultaneously. The threads share a real memory space. A first portion of the real memory space is designated as exclusive memory such that the first portion appears to be reserved for use by only one of the threads. The threads are simultaneously executed. The threads access the first portion during execution. Apparent exclusive use of the first portion of the real memory space is permitted by a first one of the threads. Simultaneously with permitting apparent exclusive use of the first portion by the first one of the threads, apparent exclusive use of the first portion of the real memory space is also permitted by a second one of the threads. The threads simultaneously appear to have exclusive use of the first portion and may simultaneously access the first portion. | 03-28-2013 |
20130080878 | System for Processing Mixed-Format Files - A method, medium and implementing processing system are provided for book marking a combination of frame URLs within a frameset and allowing the sharing on multiple PCs the saved form data that may have been filled in. The system uses an image as a hotspot, containing a complex target destination in the form of an XML structure with multiple URLs for multiple frames as well as captured form data. This system combines file types such as XML and JPG, e.g. as in sample file name ‘MyCapturedFramesAndFormData.XML.JPG’ or ‘MyCapturedFramesAndFormData.XML.GIF’. This combination provides a surprising effect compared to the prior systems, namely, launching the image in an enhanced web browser or in a web browser containing a new plug-in, displays the frame just as it was when saved, including frame set and data input to displayed forms. | 03-28-2013 |
20130080977 | DYNAMIC CREATION OF VIRTUAL REGIONS - In various embodiments, virtual universe regions are dynamically generated within a virtual universe based on user requests. Dynamic generation allows virtual universe users or “residents” to create virtual universe regions that are tailored to their desired specifications. Additionally, in some implementations, virtual universe users may have the option to instantly discard or retain a created region after evaluation based on whether the region meets the user's expectations. Furthermore, dynamic generation of regions may increase user satisfaction and provide additional means for revenue generation for the virtual universe administrator and for virtual universe businesses and entrepreneurs. | 03-28-2013 |
20130080992 | SOFTWARE FACTORY HEALTH MONITORING - A method, system, and computer-readable medium for maintaining a health of a software factory that creates custom software in a standardized manner is presented. In a preferred embodiment, the method includes the steps of: defining work packets to perform sub-functions of a custom software; tracking a transmission of the work packets to an assembly line in a software factory; monitoring any retrieval of software artifacts that are used to create the work packets; monitoring any on-going changes of work activities that are contained in the work packets; determining if execution of the work packets conforms to governance guidelines for the software factory; monitoring the software factory to ensure that the work packets comply with an architecture of the software factory; tracking quality metrics for an execution of the work packets in an assembly line in the software factory; and transmitting all tracked and monitored information to a dashboard. | 03-28-2013 |
20130081037 | PERFORMING COLLECTIVE OPERATIONS IN A DISTRIBUTED PROCESSING SYSTEM - Methods, apparatuses, and computer program products for performing collective operations on a hybrid distributed processing system including: determining by at least one task that a parent of the task has failed to send the task data through the tree topology; and determining whether to request the data from a grandparent of the task or a peer of the task in the same tier in the tree topology; and if the task requests the data from the grandparent, requesting the data and receiving the data from the grandparent of the task through the second networking topology; and if the task requests the data from a peer of the task in the same tier in the tree, requesting the data and receiving the data from a peer of the task through the second networking topology. | 03-28-2013 |
20130081038 | MULTIPROCESSOR COMPUTING DEVICE - A computing device includes a first processor configured to operate at a first speed and consume a first amount power and a second processor configured to operate at a second speed and consume a second amount of power. The first speed is greater than the second speed and the first amount of power is greater than the second amount of power. The computing device also includes a scheduler configured to assign processes to the first processor only if the processes utilize their entire timeslice. | 03-28-2013 |
20130081042 | DYNAMIC REDUCTION OF STREAM BACKPRESSURE - Techniques are described for eliminating backpressure in a distributed system by changing the rate data flows through a processing element. Backpressure occurs when data throughput in a processing element begins to decrease, for example, if new processing elements are added to the operating chart or if the distributed system is required to process more data. Indicators of backpressure (current or future) may be monitored. Once current backpressure or potential backpressure is identified, the operator graph or data rates may be altered to alleviate the backpressure. For example, a processing element may reduce the data rates it sends to processing elements that are downstream in the operator graph, or processing elements and/or data paths may be eliminated. In one embodiment, processing elements and associate data paths may be prioritized so that more important execution paths are maintained. | 03-28-2013 |
20130081046 | ANALYSIS OF OPERATOR GRAPH AND DYNAMIC REALLOCATION OF A RESOURCE TO IMPROVE PERFORMANCE - An operator graph analysis mechanism analyzes an operator graph corresponding to an application for problems as the application runs, and determines potential reallocations from a reallocation policy. The reallocation policy may specify potential reallocations depending on whether one or more operators in the operator graph are compute bound, memory bound, communication bound, or storage bound. The operator graph analysis mechanism includes a resource reallocation mechanism that can dynamically change allocation of resources in the system at runtime to address problems detected in the operator graph. The operator graph analysis mechanism thus allows an application represented by an operator graph to dynamically evolve over time to optimize its performance at runtime. | 03-28-2013 |
20130081057 | PROCESSING OPERATOR MESSAGE COMMANDS - A facility is provided to enable operator message commands from multiple, distinct sources to be provided to a coupling facility of a computing environment for processing. These commands are used, for instance, to perform actions on the coupling facility, and may be received from consoles coupled to the coupling facility, as well as logical partitions or other systems coupled thereto. Responsive to performing the commands, responses are returned to the initiators of the commands. | 03-28-2013 |
20130081059 | DATA COMMUNICATIONS IN A PARALLEL ACTIVE MESSAGING INTERFACE OF A PARALLEL COMPUTER - Data communications in a parallel active messaging interface (‘PAMI’) of a parallel computer, the parallel computer including a plurality of compute nodes that execute a parallel application, the PAMI composed of data communications endpoints, each endpoint including a specification of data communications parameters for a thread of execution on a compute node, including specifications of a client, a context, and a task, the compute nodes and the endpoints coupled for data communications through the PAMI and through data communications resources, including receiving in an origin endpoint of the PAMI a data communications instruction, the instruction characterized by an instruction type, the instruction specifying a transmission of transfer data from the origin endpoint to a target endpoint and transmitting, in accordance with the instruction type, the transfer data from the origin endpoint to the target endpoint. | 03-28-2013 |
20130081258 | TWO-PHASE, WATER-BASED IMMERSION-COOLING APPARATUS WITH PASSIVE DEIONIZATION - Cooling apparatuses, cooled electronic modules and methods of fabrication are provided for fluid immersion-cooling of an electronic component(s). The method includes, for instance: securing a housing about an electronic component to be cooled, the housing at least partially surrounding and forming a compartment about the electronic component to be cooled; disposing a fluid within the compartment, wherein the electronic component to be cooled is at least partially immersed within the fluid, and wherein the fluid comprises water; and providing a deionizing structure within the compartment, the deionizing structure comprising deionizing material, the deionizing material ensuring deionization of the fluid within the compartment, wherein the deionizing structure is configured to accommodate boiling of the fluid within the compartment. | 04-04-2013 |
20130081449 | MONITORING STATE OF FLUID TRANSPORT PIPE - A method, apparatus, and system for monitoring the state of a fluid transport pipe. In one embodiment, there is provided a method of monitoring the state of a fluid transport pipe, the method including: causing a monitor to move along with a fluid inside the fluid transport pipe, the monitor including an elastic gas container and being configured to adjust the volume of the elastic gas container based on ambient pressure of the elastic gas container; and measuring and recording, by the monitor, one or more types of environmental data inside the fluid transport pipe for determining the state of the fluid transport pipe. In other embodiments, there are further provided an apparatus and system for monitoring a state of a fluid transport pipe. | 04-04-2013 |
20130082268 | IMPLEMENTING VERTICAL SIGNAL REPEATER TRANSISTORS UTILIZING WIRE VIAS AS GATE NODES - A method and structures are provided for implementing vertical transistors utilizing wire vias as gate nodes. The vertical transistors are high performance transistors fabricated up in the stack between the planes of the global signal routing wire, for example, used as vertical signal repeater transistors. An existing via or a supplemental vertical via between wire planes provides both an electrical connection and the gate node of the novel vertical transistor. | 04-04-2013 |
20130083401 | TRANSPARENCY ADJUSTING APPARATUS AND DISPLAY DEVICE HAVING THE SAME - A transparency adjusting apparatus is provided. The transparency adjusting apparatus includes: a first transparent shell; a heater disposed on the first transparent shell; and a second transparent shell disposed on the first transparent shell, wherein the first transparent shell and the second transparent shell are sealed to define a sealed space for containing a working fluid therein and the working fluid absorbs heat from the heater and evaporates to condense on the second transparent shell, thereby adjusting the transparency of the second transparent shell. | 04-04-2013 |
20130083594 | MULTILEVEL PROGRAMMING OF PHASE CHANGE MEMORY - A method and device for performing a program operation of a phase change memory (PCM) cell. The method includes the steps of applying one or more programming pulses according to a predefined programming scheme to achieve a target resistance level of the PCM cell, wherein the programming scheme is operable to perform in a first programming mode one or more annealing steps to approach the target resistance, wherein the programming scheme is operable to perform in a second programming mode one or more melting steps, wherein the programming scheme is operable to start in the first programming mode and to switch to the second programming mode if the target resistance level of the PCM cell has been undershot in the first programming mode. | 04-04-2013 |
20130084011 | PROOF READING OF TEXT DATA GENERATED THROUGH OPTICAL CHARACTER RECOGNITION - A novel system includes: a first proof reading tool for performing carpet proof reading on text data; a second proof reading tool for performing side-by-side proof reading on the text data; a storage unit configured to store a log of proof reading operations having been performed by using the first and second proof reading tools; and an analysis unit configured to determine, for each attribute serving as units in which carpet proof reading is performed with the first proof reading tool, whether or not to use the first proof reading tool in proof reading of the attribute, by comparing a first estimated value of a time taken when proof reading is performed by using the first proof reading tool with a second estimated value of a time taken when proof reading is performed by using the second proof reading tool without using the first proof reading tool, the first and second estimated values being calculated on the basis of the log. | 04-04-2013 |
20130084887 | USING WIRED ENDPOINTS TO DETERMINE POSITION INFORMATION FOR WIRELESS ENDPOINTS IN A NETWORK - Provided are a computer readable recording media, system, and method for using wired endpoints to determine position information for wireless endpoints in a network. Identification information from a detected wired endpoint connected to the network is received and a command is issued to the detected wired endpoint to cause the detected wired endpoint to transmit signals identifying the wired endpoint. Information is received from a communicating wireless endpoint, comprising one of the wireless endpoints, using wireless communication, including wireless endpoint identification information and information identifying at least one of the wired endpoints transmitting signals received at the wireless endpoint. Position information is determined for the wired endpoint indicated in the information received from the communicating wireless endpoint. Information on the communicating wireless endpoint is updated to associate with the determined position information. | 04-04-2013 |
20130085611 | UNIFIED AND FLEXIBLE CONTROL OF MULTIPLE DATA CENTER COOLING MECHANISMS - Techniques are described for controlling the climate in a data center. Using the input of an administrator, multiple desired attributes of a data center (e.g., temperature, energy consumption, costs, or system performance) may be balanced using a utility function that maximizes the utility of the computing systems in the data center according to the administrator's preferences. Additionally, a cooling model is generated that represents the affect of a control parameter (e.g., the fan speed of a CRAC) on the desire attributes of the data center. The cooling model may then be substituted into the utility function to replace the desired attributes. Using this new utility function, the control parameters may be varied such that the maximum utility is achieved. | 04-04-2013 |
20130085746 | PROOF READING OF TEXT DATA GENERATED THROUGH OPTICAL CHARACTER RECOGNITION - A novel system includes: a first proof reading tool for performing carpet proof reading on text data; a second proof reading tool for performing side-by-side proof reading on the text data; a storage unit configured to store a log of proof reading operations having been performed by using the first and second proof reading tools; and an analysis unit configured to determine, for each attribute serving as units in which carpet proof reading is performed with the first proof reading tool, whether or not to use the first proof reading tool in proof reading of the attribute, by comparing a first estimated value of a time taken when proof reading is performed by using the first proof reading tool with a second estimated value of a time taken when proof reading is performed by using the second proof reading tool without using the first proof reading tool, the first and second estimated values being calculated on the basis of the log. | 04-04-2013 |
20130086000 | MANAGING BACK UP OPERATIONS FOR DATA - Backup operations for data resources can be managed as follows. At least one data resource residing on at least one data storage device is identified. An information processing system automatically determines that the at least one data resource fails to be associated with a backup policy. In response to the at least one data resource failing to be associated with a backup policy, at least one backup policy is associated with the at least one data resource. | 04-04-2013 |
20130086009 | METHOD AND SYSTEM FOR DATA DEDUPLICATION - The present disclosure discloses a method and system for data deduplciation. The method comprises: acquiring meta data and multiple data chunks corresponding to at least one original data object, which are generated by using a data deduplication method; combining the acquired multiple data chunks into a new data object; performing deduplication on the new data object to generate new meta data and new data chunks corresponding to the new data object; and storing the meta data corresponding to the at least one original data object, the new meta data corresponding to the new data object, and the new data chunks. The method and system can further improve deduplication ratio, lower data storage amount, and save costs. | 04-04-2013 |
20130086018 | TRANSACTION PROCESSING SYSTEM, METHOD, AND PROGRAM - Using a KVS transaction processing mechanism, four lock states are managed. When a local transaction refers to and updates a map entry, the local transaction is graded up to a global transaction. Start processing as the global transaction is first performed to determine a transaction ID. A committed value, a value being updated, and a transaction ID being updated are then inserted into all map entries for which LX locks are being acquired at present. Another local transaction is then started for all map entries for which S locks are being acquired at present to acquire S locks. Next, the original local transaction is committed. As a result, the LX locks acquired are graded up to GX locks. After the termination of the global transaction as the waiting target, acquisition of S locks (GX locks) is tried as a global transaction. | 04-04-2013 |
20130086035 | METHOD AND APPARATUS FOR GENERATING EXTENDED PAGE SNIPPET OF SEARCH RESULT - A method and apparatus for generating an extended page snippet in a search engine. The method includes: retrieving and returning an associated table webpage having a table related to an inquired keyword; obtaining a parsed result of the table in the associated table webpage, and extracting column names and respective row instances on the basis of the parsed result; determining the row instances related to the inquired keyword; and generating a page snippet in a table style in accordance with the column names and the relative row instances. The page snippet in the table style can be generated by using a solution of the present invention. | 04-04-2013 |
20130086135 | SCALABLE FILE MANAGEMENT FOR A SHARED FILE SYSTEM - Managing a shared file system comprising a directory and files stored on a multiple storage devices shared by plural processing nodes, is provided. A plurality of parallel directory traversal records are partitioned into a plurality of ranges to allow for the records in each range to be written independently in parallel by plural processing nodes during parallel directory traversal. Parallel operations are performed comprising parallel directory traversal of all directory paths and files in the shared file system. | 04-04-2013 |
20130086136 | ADDING ENTROPY FOR IMPROVED RANDOM NUMBER GENERATION IN A COMPUTER SYSTEM - A parallel computer system adds entropy to improve the quality of random number generation by using parity errors as a source of entropy because parity errors are influenced by external forces such as cosmic ray bombardment, alpha particle emission, and other random or near-random events. By using parity errors and associated information to generate entropy, the quality of random number generation in a parallel computer system is increased. | 04-04-2013 |
20130086197 | MANAGING CACHE AT A COMPUTER - A method and system for managing caching at a computer. A computer receives a file from a storage device on a network in response to a request by a first user. The computer may then determine if other users of the computer are likely to request the file, based upon a type of the file and a type of the network. If other users are likely to request the file, the computer may then cache the file at the computer. In one embodiment, the computer may determine if other users of the computer are likely to request the file based upon access permissions to the file at a source of the file. In another embodiment, the computer may determine if other users of the computer are likely to request the file based upon if the file has been previously cached at the computer. | 04-04-2013 |
20130086224 | METHOD, APPARATUS, AND PROGRAM FOR SUPPORTING CREATION AND MANAGEMENT OF METADATA FOR CORRECTING PROBLEM IN DYNAMIC WEB APPLICATION - An apparatus to support creation and management of metadata for correcting a problem in a dynamic web application. The support apparatus includes a metadata creation section, a block extraction section, a similar-block search section, and a metadata generalizing section. In response to correction of a problem by a corrector, the support apparatus creates metadata including the details of the correction and application conditions, that is, a global variable group and cookie information that identify the state of the web application in which the problem has occurred, extracts another block to which the created metadata is applicable from an operation log by using a semantic block including the location of the problem as a clue, and generalizes the application conditions of the created metadata by converting them to a key-value pair structure by using the other block and by extracting a common portion therefrom. | 04-04-2013 |
20130086260 | Automatic Generation of User Account Policies Based on Configuration Management Database Information - Mechanisms are provided for generating user account policies for generating user accounts to access resources of the data processing system. A determination is made that a user account policy for an identified resource in the data processing system is to be generated. Configuration information associated with the identified resource is retrieved from a configuration information database. A predefined user account policy template is retrieved from a user account policy template database system. A user account policy data structure is generated based on the retrieved configuration information and the retrieved predefined user account policy template. | 04-04-2013 |
20130086289 | INTERRUPTION FACILITY FOR ADJUNCT PROCESSOR QUEUES - Interruption facility for adjunct processor queues. In response to a queue transitioning from a no replies pending state to a reply pending state, an interruption is initiated. This interruption signals to a processor that a reply to a request is waiting on the queue. In order for the queue to take advantage of the interruption capability, it is enabled for interruptions. | 04-04-2013 |
20130086329 | ALLOCATING CACHE FOR USE AS A DEDICATED LOCAL STORAGE - A method and apparatus dynamically allocates and deallocates a portion of a cache for use as a dedicated local storage. Cache lines may be dynamically allocated and deallocated for inclusion in the dedicated local storage. Cache entries that are included in the dedicated local storage may not be evicted or invalidated. Additionally, coherence is not maintained between the cache entries that are included in the dedicated local storage and the backing memory. A load instruction may be configured to allocate, e.g., lock, a portion of the data cache for inclusion in the dedicated local storage and load data into the dedicated local storage. A load instruction may be configured to read data from the dedicated local storage and to deallocate, e.g., unlock, a portion of the data cache that was included in the dedicated local storage. | 04-04-2013 |
20130086358 | COLLECTIVE OPERATION PROTOCOL SELECTION IN A PARALLEL COMPUTER - Collective operation protocol selection in a parallel computer that includes compute nodes may be carried out by calling a collective operation with operating parameters; selecting a protocol for executing the operation and executing the operation with the selected protocol. Selecting a protocol includes: iteratively, until a prospective protocol meets predetermined performance criteria: providing, to a protocol performance function for the prospective protocol, the operating parameters; determining whether the prospective protocol meets predefined performance criteria by evaluating a predefined performance fit equation, calculating a measure of performance of the protocol for the operating parameters; determining that the prospective protocol meets predetermined performance criteria and selecting the protocol for executing the operation only if the calculated measure of performance is greater than a predefined minimum performance threshold. | 04-04-2013 |
20130086428 | OPERATOR MESSAGE COMMANDS FOR TESTING A COUPLING FACILITY - A facility is provided to enable operator message commands from multiple, distinct sources to be provided to a coupling facility of a computing environment for processing. These commands are used, for instance, to perform actions on the coupling facility, and may be received from consoles coupled to the coupling facility, as well as logical partitions or other systems coupled thereto. Responsive to performing the commands, responses are returned to the initiators of the commands. | 04-04-2013 |
20130086431 | MULTIPLE MODELING PARADIGM FOR PREDICTIVE ANALYTICS - Techniques are described for monitoring a performance metric. A multiple modeling approach is used to improve predictive analysis by avoiding the issuance of warnings during spikes which occur as a part of normal system processing. This approach increases the accuracy of predictive analytics on a monitored computing system, does not require creating rules defining periodic processing cycles, reduces the amount of data required to perform predictive modeling, and reduces the amount of CPU required to perform predictive modeling. | 04-04-2013 |
20130086435 | SCALABLE I/O ADAPTER FUNCTION LEVEL ERROR DETECTION, ISOLATION, AND REPORTING - Embodiments of the invention relate to scalable input/output (I/O) function level error detection, isolation, and reporting. An aspect of the invention includes detecting an error in a communication initiated between the function and a system memory, the communication including an I/O request from an application. Future communication is prevented between the one function and the system memory in response to the detecting. The application is notified that the error in communication occurred in response to the detecting. | 04-04-2013 |
20130086457 | DETECTING CODEWORDS IN SOLID-STATE STORAGE DEVICES - A method for detecting codewords in solid-state storage devices. The method includes the steps of: obtaining respective read signals by reading memory cells that stores a group of codewords, where each of the read signals includes N signal components corresponding to respective symbols of the codeword; producing an ordered read signal by ordering the components of each of the read signals according to a signal level; producing an average read signal by averaging corresponding components of the ordered read signals; determining a reference signal level that corresponds to each of q levels of the memory cells in relation to the average read signal with predefined probabilities of each symbol value occurring at each symbol position in the codeword, where the symbols of the codeword are ordered according to the symbol value; and detecting the codeword corresponding to each of the read signal in relation to the reference signal levels. | 04-04-2013 |
20130086548 | GENERATING COMPILED CODE THAT INDICATES REGISTER LIVENESS - Object code is generated from an internal representation that includes a plurality of source operands. The generating includes performing for each source operand in the internal representation determining whether a last use has occurred for the source operand. The determining includes accessing a data flow graph to determine whether all uses of a live range have been emitted. If it is determined that a last use has occurred for the source operand, an architected resource associated with the source operand is marked for last-use indication. A last-use indication is then generated for the architected resource. Instructions and the last-use indications are emitted into the object code. | 04-04-2013 |
20130086555 | STEP GRANULARITY SELECTION IN A SOFTWARE DEBUGGER - A debugger allows a programmer to select the granularity of a line step function. Using a debugger step statement, the programmer can specify line step, statement step, or automatic selection. When the user specifies line step in the debugger statement, the debugger functions in true line step mode, where a line of source code is executed for each step. When the user specifies statement step in the debugger statement, the debugger functions in statement step mode, where a statement is executed for each step. When the user specifies automatic selection in the debugger statement, the program is analyzed, and a decision regarding whether to use line step or statement step is made based on the characteristics of the program and based on user-specified selection criteria. In this manner the function of the debugger when line stepping can vary according to the programmer's needs. | 04-04-2013 |
20130086559 | RESUMING A PRIOR DEBUG SESSION - Inserting, at debuggee source code lines, a breakpoint and executing. For each breakpoint encounter until exiting: encountering and removing the breakpoint, incrementing a first counter value, and resuming execution. Exiting includes saving the first counter value, a state of the call stack, and an exit location. In a second debug session, preparing the debuggee to resume from the exit location. Inserting, at the debuggee source code lines, a breakpoint and executing the debuggee. For each breakpoint encounter until a second counter value matches the first: encountering and removing the breakpoint, incrementing the second counter value, and resuming execution. When the first and second counter values match: inserting an exit location breakpoint at the exit location, executing the debuggee until encountering the exit location breakpoint, and providing the user control to resume debugging from the exit location only if the present state of the call stack matches the saved state. | 04-04-2013 |
20130086560 | PROCESSING AUTOMATION SCRIPTS OF SOFTWARE - Processing automation scripts used for testing pages includes running the automation scripts using a processor, searching for an element on the page according to locating information in an instruction of the automation scripts, collecting element-related information of the element in response to finding of the element on the page according to the locating information, and associating the collected element-related information of the element with the instruction of the automation scripts. The element-related information associated with the instruction is saved. | 04-04-2013 |
20130086585 | Managing the Persistent Data of a Pre-Installed Application in an Elastic Virtual Machine Instance - A method and apparatus for managing the persistent data of a pre-installed application in an elastic virtual machine instance is disclosed, the method comprising: in response to installing an application into a master virtual machine image, obtaining a persistent data point of a function component of the application, the persistent data point comprising a file directory for storing the persistent data of the function component; in response to launching an elastic virtual machine instance from the master virtual machine, creating a storage volume, attaching the storage volume to the elastic virtual machine instance, and mounting the storage volume to the file directory based on the persistent data point. Other features and aspects may be realized, depending upon the particular application. | 04-04-2013 |
20130086666 | METHOD AND COMPUTER SYSTEM FOR PROVIDING TIME RATIO-BASED PASSWORD/CHALLENGE AUTHENTICATION - Providing registration for password/challenge authentication includes receiving an access code or pattern inputted by a user, recording a time message associated with each component of the access code or pattern via a processor, generating a data record in combining each component of the access code or pattern with the associated time message, and storing the data record. | 04-04-2013 |
20130086799 | METHOD OF MAKING MAGNETICALLY SHIELDED WRITE TRANSDUCERS - A method according to one embodiment includes forming a lower shield of a shield structure; forming a portion of a magnetic yoke for each of at least two write transducers above the lower shield of the shield structure; and forming an upper shield of the shield structure above the portions of the yokes of each of two of the at least two write transducers, the shields being formed of a magnetically permeable material, wherein the upper and lower shields are magnetically connected. | 04-11-2013 |
20130087237 | SYSTEM AND METHOD TO REDIRECT AND/OR REDUCE AIRFLOW USING ACTUATORS - The invention generally relates to ventilation systems and methods, and more particularly to selectively configurable climate control systems and methods for use in data centers and the like. A device includes a support element and a plurality of ducts connected to the support element. The device also includes a manifold in fluid communication with each one of the plurality of ducts and a plurality of valves. Each respective one of the plurality of valves is associated with a respective one of the plurality of ducts. Moreover, there is at least one actuator operatively connected to the plurality of valves, which is structured and arranged to individually actuate each one of the plurality of valves. | 04-11-2013 |
20130087787 | ELECTRICAL MASK INSPECTION - An apparatus and method for electrical mask inspection is disclosed. A scan chain is formed amongst two metal layers and a via layer. One of the three layers is a functional layer under test, and the other two layers are test layers. A resistance measurement of the scan chain is used to determine if a potential defect exists within one of the vias or metal segments comprising the scan chain. | 04-11-2013 |
20130087830 | ELECTROSTATIC DISCHARGE (ESD) SILICON CONTROLLED RECTIFIER (SCR) STRUCTURE - A structure includes first and second silicon controlled rectifiers (SCRs) formed in a substrate. The first and the second SCRs each include at least one component commonly shared between the first and the second SCRs. | 04-11-2013 |
20130087923 | MULTI COMPONENT DIELECTRIC LAYER - An in-situ process is described incorporating plasma enhanced chemical vapor deposition comprising flowing at least one of a Si, Si═C, B, Si═B, Si═B═C, and B═C containing precursor, and a N containing precursors at first times and removing the N precursor at second times and starting the flow of an oxidant gas and a porogen gas into the chamber. A dielectric layer is described comprising a network having inorganic random three dimensional covalent bonding throughout the network which contains at least one SiCN, SiCNH, SiN, SiNH, BN, BNH, CBN, CBNH, BSiN, BSiNH, SiCBN and SiCBNH as a first component and a low k dielectric as a second component adjacent thereto. | 04-11-2013 |
20130088454 | POINTING TO A DESIRED OBJECT DISPLAYED ON A TOUCHSCREEN - A method, system and computer program product for pointing to an object displayed on a touchscreen, the touchscreen having a display function. The coordinates of a point P, the point P being a position of a fingertip of a user on a surface of the touchscreen, is calculated. A vertical distance R between the surface of the touchscreen and the fingertip is further calculated. Furthermore, the coordinates of a point Q of a line segment OQ is calculated, the line segment OQ extending in the same direction as a line segment OP connecting an origin O on the surface of the touchscreen and the point P, the line segment OQ having a length that is proportional to the vertical distance R. In response to an object being displayed at the coordinates of the point Q, determining that the object has been pointed to. | 04-11-2013 |
20130088601 | VIRTUAL FENCE - The present invention is directed to a virtual fence provided using a plurality of video cameras. A method for providing a virtual fence in accordance with an embodiment includes: positioning a plurality of video cameras about a perimeter to be monitored, the perimeter comprising a plurality of designated areas; for each of the designated areas: capturing video data of the designated area using at least one video camera; analyzing the captured video data to detect an event; and sending at least one of image or video data to a central facility only in response to the detection of the event. | 04-11-2013 |
20130088971 | PARTIONING LARGE FLAT DATA CENTERS INTO MULTIPLE SWITCHING DOMAINS - A distributed fabric system includes multiple switches coupled to a cell-based switching fabric. A logical system port that is globally unique within the distributed fabric system is mapped to each physical network port in the distributed fabric system. To partition the system into multiple non-overlapping switching domains, each system port is associated with a look-up table having at least one table entry for each other system port to be allocated to the same switching domain as that system port. Each switch that receives a packet over a given system port is limited to switching the packet to only those other system ports for which the look-up table associated with the given system port has a table entry. | 04-11-2013 |
20130089197 | METHOD AND SYSTEM FOR CALL TO ROLE - Methods and systems are provided for routing incoming customer service requests directly to the most appropriate destination within a call center without requiring customer knowledge of a specific telephone number or extension to call and without requiring the customer to select from a series of menus. The receiving location or inbound call center accepts a voice call from a customer. The incoming voice call includes an associated data stream. This data stream is processed by the inbound call center and is used to route the call to the appropriate destination or customer service representative, for example a specific person, a department, a subsidiary, an individual either working within a facility or working outside the facility, a subcontractor and combinations thereof. Additional functionality is provided by including additional data, e.g. data in addition to routing data, along with voice data. | 04-11-2013 |
20130089815 | CHROMELESS PHASE-SHIFTING PHOTOMASK WITH UNDERCUT RIM-SHIFTING ELEMENT - A phase-shifting photomask with a self aligned undercut rim-shifting element and methods for its manufacture are provided. One embodiment of the invention provides a method of manufacturing a phase-shifting photomask having a self aligned rim-shifting element, the method comprising: applying a patterning film to a first portion of a transparent substrate; etching the substrate to a depth to remove a second portion of the substrate not beneath the patterning film; etching the first portion of the substrate to undercut an area beneath the patterning film; and removing the patterning film, wherein the etched substrate forms a self-aligned undercut rim-shifting element. | 04-11-2013 |
20130090903 | HIGH-FREQUENCY ENTROPY EXTRACTION FROM TIMING JITTER - A method for creating entropy in a virtualized computing environment includes waking one or more samplers, each sampler having a sampling frequency; sampling a sample source with each of the one or more samplers; placing each of the samplers in an inactive state when not sampling; determining a difference between an expected value and a sampled value at each sampler; and providing a function of the difference from each of the one or more samplers to an aggregator. | 04-11-2013 |
20130091068 | Formation of Special Interest Groups - Special interest subgroups are formed by a group of participants by establishing a profile for each participant. The profile defines contribution attributes dealing with contributions the profiled participant might make to a subgroup and attribution attributes dealing with benefits the profile participant might receive from participating in the subgroup. For each possible pairing of participants in the group, an overall contribution score and an overall benefit score is calculated for each participant. A mutual benefit score is calculated by combining the benefit scores for both participants in the pair. Participants are assigned to subgroups as a function of participant contribution and mutual benefit scores. | 04-11-2013 |
20130091100 | MANAGING AN ALIAS HOST AND DOMAIN NAMES ON A DNS SERVER - Embodiments of the invention provide a method of managing aliases on a server, including deleting unused aliases, while minimizing required administrator interaction. The server may include expirable alias records, containing an expiration value and an inactivity timer. An alias manager component may process the expirable records. If the alias manager component determines a particular record has expired, the alias manager component may delete that record. | 04-11-2013 |
20130091106 | AUDITING DATABASE ACCESS IN A DISTRIBUTED MEDICAL COMPUTING ENVIRONMENT - Methods, systems, and computer program products are provided for auditing database access in a distributed medical computing environment including receiving from a user a query of one or more databases within the distributed medical administration computing environment; determining by an audit manager in dependence upon audit policies for the medical computing environment whether any of the results of the query require auditing access; and if any of the results of the query require auditing access, creating an audit record and storing the audit record in an audit database. | 04-11-2013 |
20130091181 | MONITORING STORED PROCEDURE EXECUTION - A computer-implemented method, system, and/or computer program product monitors stored procedures that are executed in a database management system. A determination is made, for a stored procedure that is executed in a database management system, of an identification of the stored procedure and event data related to the stored procedure. The event data includes when the stored procedure began and ended executing, an SQL identifier for an SQL statement in the stored procedure, and a counter of how many times the SQL statement executed. The database management system provides values of parameters used when executing the SQL statement. These values are then correlated with the count of how many times the SQL statement executed. | 04-11-2013 |
20130091182 | Managing Computing Environment Entitlement Contracts and Associated Resources Using Cohorting - Mechanisms are provided for managing computing resources relative to a computing environment entitlement contract. These mechanisms generate one or more computing environment entitlement contract (CEEC) data structures, each CEEC data structure defining terms of a business level agreement between a contracting party and a provider of the data processing system. A CEEC cohort is generated comprising a collection of CEECs having similar terms. Utilization of a collection of computing resources in accordance with the similar terms of the collection of CEECs is monitored to identify a usage pattern within the CEEC cohort. Membership of a CEEC in the CEEC cohort based on the identified usage pattern is modified based on the monitored utilization. | 04-11-2013 |
20130091236 | REMOTE DIRECT MEMORY ACCESS ('RDMA') IN A PARALLEL COMPUTER - Remote direct memory access (‘RDMA’) in a parallel computer, the parallel computer including a plurality of nodes, each node including a messaging unit, including: receiving an RDMA read operation request that includes a virtual address representing a memory region at which to receive data to be transferred from a second node to the first node; responsive to the RDMA read operation request: translating the virtual address to a physical address; creating a local RDMA object that includes a counter set to the size of the memory region; sending a message that includes an DMA write operation request, the physical address of the memory region on the first node, the physical address of the local RDMA object on the first node, and a remote virtual address on the second node; and receiving the data to be transferred from the second node. | 04-11-2013 |
20130091293 | Service Oriented Architecture Enterprise Service Bus With Universal Ports - A universal ESB port for connecting applications to an enterprise service bus (ESB). The universal ESB port receives a message from an application requesting a service. The universal ESB port is capable of receiving and processing messages in any messaging format and any communication protocol. The universal ESB port determines, from the message, a communication protocol of the source application. The message is processed to extract a body of the message, and the message body is examined to determine a messaging format of the source application. The message is converted from the messaging format of the source application to a common messaging format understandable by the enterprise service bus to form a common formatted message. The common formatted message is then sent to the main body of the enterprise service bus. | 04-11-2013 |
20130091343 | OPERAND FETCHING CONTROL AS A FUNCTION OF BRANCH CONFIDENCE - Data operand fetching control includes calculating a summation weight value for each instruction in a pipeline, the summation weight value calculated as a function of branch uncertainty and a pendency in which the instruction resides in the pipeline relative to other instructions in the pipeline. The data operand fetching control also includes mapping the summation weight value of a selected instruction that is attempting to access system memory to a memory access control. Each memory access control specifies a manner of handling data fetching operations. The data operand fetching control further includes performing a memory access operation for the selected instruction based upon the mapping. | 04-11-2013 |
20130091385 | USER-COORDINATED RESOURCE RECOVERY - A computing system resource recovery method can include identifying a resource manager associated with a computing transaction, classifying the computing transaction to determine a predetermined metric, measuring an actual metric of the computing transaction, comparing the predetermined metric to the actual metric to detect abnormal behavior in the transaction and modeling the abnormal behavior to determine how the resource manager is affected by the abnormal behavior. | 04-11-2013 |
20130091386 | ADMINISTERING EVENT POOLS FOR RELEVANT EVENT ANALYSIS IN A DISTRIBUTED PROCESSING SYSTEM - Methods, systems, and computer program products for administering event pools for relevant event analysis are provided. Embodiments include assigning, by an incident analyzer, a plurality of events to an events pool; determining, by the incident analyzer, an event suppression duration; determining, by the incident analyzer in dependence upon event analysis rules, to suppress events having particular attributes indicating the events occurred during the event suppression duration; and suppressing, by the incident analyzer, each event assigned to the events pool having the particular attributes indicating the events occurred during the event suppression duration. | 04-11-2013 |
20130091424 | TIME RELEVANCE WITHIN A SOFT COPY DOCUMENT OR MEDIA OBJECT - A technique is provided for identifying time context in a media object to embed meta tags for the time context in the media object. Input is received of the media object including time relevant expressions. Each of the time relevant expressions in the media object are identified for display to a user. The time relevant expressions in the media object are displayed with an option for the user to enter values for each of the time relevant expressions. The values are respectively included in meta tags. The meta tags are assigned to the time relevant expressions in the media object, such that the meta tags include the values respectively corresponding to each of the time relevant expressions. The meta tags having the values for the time relevant expressions are embedded in the media object, such that the meta tags in the media object are available for searching and indexing. | 04-11-2013 |
20130091475 | Polarization Monitoring Reticle Design for High Numerical Aperture Lithography Systems - This invention relates to the manufacture of semiconductor substrates such as wafers and to a method for monitoring the state of polarization incident on a photomask in projection printing using a specially designed polarization monitoring reticle for high numerical aperture lithographic scanners. The reticle measures 25 locations across the slit and is designed for numerical apertures above 0.85. The monitors provide a large polarization dependent signal which is more sensitive to polarization. A double exposure method is also provided using two reticles where the first reticle contains the polarization monitors, clear field reference regions and low dose alignment marks. The second reticle contains the standard alignment marks and labels. For a single exposure method, a tri-PSF low dose alignment mark is used. The reticles also provide for electromagnetic bias wherein each edge is biased depending on that edge's etch depth. | 04-11-2013 |
20130091496 | OPTIMIZING JUST-IN-TIME COMPILING FOR A JAVA APPLICATION EXECUTING ON A COMPUTE NODE - Methods, systems, and products are disclosed for optimizing just-in-time (‘JIT’) compiling for a Java application executing on a compute node, the compute node having installed upon it a Java Virtual Machine (‘JVM’) capable of supporting the Java application, that include: identifying, by an application manager, a particular portion of the Java application; assigning, by the application manager, a JIT level to the particular portion of the Java application; and jitting, by the JVM installed on the compute node, the particular portion of the Java application in dependence upon the JIT level assigned to that particular portion of the Java application. | 04-11-2013 |
20130091498 | MAINTENANCE OF VIRTUAL APPLIANCES - Maintenance of a virtual appliance is provided. The virtual appliance comprises a set of software components each one installed on a corresponding virtual machine. A maintenance descriptor is provided comprising an indication of a new level of at least each one of the software components to be updated thereto. For each software component indicated in the maintenance descriptor, a current level is determined of the software component that is installed on the corresponding virtual machine. A set of old ones of the software components to be actually updated is determined according to a comparison between the corresponding current levels and new levels. The virtual appliance is then cloned. The cloned virtual appliance is updated by updating each old software component thereof to the new level. The virtual appliance is now replaced by the updated cloned virtual appliance. | 04-11-2013 |
20130091509 | OFF-LOADING OF PROCESSING FROM A PROCESSOR BLADE TO STORAGE BLADES - A processor blade determines whether a selected processing task is to be off-loaded to a storage blade for processing. The selected processing task is off-loaded to the storage blade via a planar bus communication path, in response to determining that the selected processing task is to be off-loaded to the storage blade. The off-loaded selected processing task is processed in the storage blade. The storage blade communicates the results of the processing of the off-loaded selected processing task to the processor blade. | 04-11-2013 |
20130091510 | DATA COMMUNICATIONS IN A PARALLEL ACTIVE MESSAGING INTERFACE OF A PARALLEL COMPUTER - Data communications in a parallel active messaging interface (‘PAMI’) of a parallel computer, the PAMI composed of data communications endpoints, each endpoint including a specification of data communications parameters for a thread of execution on a compute node, including specifications of a client, a context, and a task, endpoints coupled for data communications through the PAMI and through data communications resources, including receiving in an origin endpoint of the PAMI a SEND instruction, the SEND instruction specifying a transmission of transfer data from the origin endpoint to a first target endpoint; transmitting from the origin endpoint to the first target endpoint a Request-To-Send (‘RTS’) message advising the first target endpoint of the location and size of the transfer data; assigning by the first target endpoint to each of a plurality of target endpoints separate portions of the transfer data; and receiving by the plurality of target endpoints the transfer data. | 04-11-2013 |
20130091693 | THERMAL EXPANSION-ENHANCED HEAT SINK FOR AN ELECTRONIC ASSEMBLY - A heat sink and method of fabrication are provided for removing heat from an electronic component(s). The heat sink includes a heat sink base and frame. The base has a first coefficient of thermal expansion (CTE), and includes a base surface configured to couple to the electronic component to facilitate removal of heat. The frame has a second CTE, and is configured to constrain the base surface in opposing relation to the electronic component, wherein the first CTE is greater than the second CTE. At least one of the heat sink base or frame is configured so that heating of the heat sink base results in a compressive force at the base surface of the heat sink base towards the electronic component that facilitates heat transfer from the electronic component. A thermal interface material is disposed between the base surface and the electronic component. | 04-18-2013 |
20130091706 | COMBINED POWER AND COOLING RACK SUPPORTING AN ELECTRONICS RACK(S) - A method is provided for facilitating powering and cooling of one or more electronics racks. The method includes: providing a frame; associating at least one bulk power assembly with the frame, the at least one bulk power assembly being configured to provide power to the electronics rack(s), wherein the frame with the associated one or more bulk power assemblies is distinct from the electronics rack(s); and associating one or more heat exchange assemblies with the frame, the heat exchange assembly(ies) being configured to cool system coolant provided to the electronics rack(s). In operation, heat is transferred by the heat exchange assembly(ies) from the system coolant to a facility coolant, and the frame with the associated bulk power assembly(ies) and associated heat exchange assembly(ies) provides both power and cooling to the electronics rack(s). | 04-18-2013 |
20130091947 | DETERMINING A POWER SAVING MODE BASED ON A HARDWARE RESOURCE UTILIZATION TREND - Techniques are disclosed for managing the amount of power consumed by server components of a computer system, each server component having multiple power modes. The utilization of each server component is monitored. Based on the monitored utilization, a time period is determined in which to apply a selected power mode to the respective server component. The respective server component is configured to operate in the selected power mode for at least the determined time period. | 04-18-2013 |
20130092347 | DATA CENTER WITH DUAL RADIATOR CABINETS FOR REDUNDANT OPERATION - Methods of preventing overheating of computer equipment in a cabinet when a supply coolant to a cooler in the cabinet fails. An example embodiment is a data center that includes a plurality of cabinets and at least two main coolant supply lines. The cabinets are configured to house computer equipment and the main coolant supply lines provide coolant to the plurality of cabinets. Moreover, each cabinet includes a cooler and each of these coolers includes at least two radiators which receive coolant from different main coolant supply lines. | 04-18-2013 |
20130093021 | CARBON IMPLANT FOR WORKFUNCTION ADJUSTMENT IN REPLACEMENT GATE TRANSISTOR - A transistor includes a semiconductor body having a channel formed in the semiconductor body; a high dielectric constant gate insulator layer disposed over a surface of an upper portion of the channel; and a gate metal layer disposed over the high dielectric constant gate insulator layer. The channel contains Carbon implanted through the gate metal layer, the high dielectric constant gate insulator layer and the surface to form in the upper portion of the channel a Carbon-implanted region having a substantially uniform concentration of Carbon selected to establish a voltage threshold of the transistor. | 04-18-2013 |
20130093570 | UTILIZING AN RFID TAG IN MANUFACTURING - Data associated with supply chain events for a manufactured assembly is automatically stored. In preferred embodiments the supply chain events for the manufactured assembly are stored on an RFID tag attached to the assembly as it travels through the supply chain to insure the data concerning the assembly is readily available and not separated from the assembly. In other embodiments, the supply chain events or characteristic data is stored on the RFID tag in a hierarchical structure beginning with the original state of the assembly and with additional entries for each step in the assembly process. In other embodiments, as the product undergoes rework, conversion to a different assembly, or personalizations, the new state of the assembly is stored in the RFID tag. In other preferred embodiments, other information is also stored on the RFID tag such as country of origin, failure data, cycle times and a quality status indicator. | 04-18-2013 |
20130094348 | SWITCH FABRIC MANAGEMENT - Techniques are disclosed for managing a switch fabric. In one embodiment, a server system is provided that includes a midplane, one or more server cards, switch modules and a management controller. The midplane may include a fabric interconnect for a switch fabric. The one or more server cards and the switch modules may be operatively connected to the midplane. The switch modules may be configured to switch network traffic for the one or more server cards. The management controller may be configured to manage the switch modules via the fabric interconnect. | 04-18-2013 |
20130094351 | REDUCING IMPACT OF A SWITCH FAILURE IN A SWITCH FABRIC VIA SWITCH CARDS - Techniques are disclosed for reducing impact of a switch failure in a switch fabric. In one embodiment, a server system is provided that includes a midplane, one or more server cards and one or more switch cards. The midplane may include a fabric interconnect for a switch fabric. The one or more server cards may be coupled with the midplane, where each server card is hot-swappable from the midplane. The one or more switch cards may also be coupled with the midplane, where each switch card is also hot-swappable from the midplane. Each switch card includes one or more switch modules, and each switch module is configured to switch network traffic for at least one server card. | 04-18-2013 |
20130094394 | MAINTENANCE OF HIGH-SPEED CHANNELS BY INSERTING CHANNEL MAINTENANCE DATA IN A MOBILE DATA NETWORK TO AVOID CHANNEL TYPE SWITCHING - A mobile data network includes a radio access network and a core network. A first service mechanism in the radio access network breaks out data coming from a basestation. When data is broken out by the first service mechanism, the data is delivered at the edge, which means the true activity of the channel is not visible to the core network. The reduction of data in the core network due to serving data at the edge risks causing a switch from a high-speed channel to a low-speed channel by the mechanism in the core network that monitors and assigns channel speeds. In order to maintain the high-speed channel, the on-going data rate for a subscriber session is monitored and channel maintenance traffic is injected towards and from the radio network controller (in both directions). The amount of channel maintenance traffic depends on thresholds that determine a desired data rate. | 04-18-2013 |
20130094400 | MOBILE NETWORK SERVICES IN A MOBILE DATA NETWORK - Mobile network services are performed in a mobile data network in a way that is transparent to most of the existing equipment in the mobile data network. The mobile data network includes a radio access network and a core network. A first service mechanism in the radio access network breaks out data coming from a basestation, and performs one or more mobile network services based on the broken out data. A second service mechanism in the core network performs one or more mobile network services based on the network traffic remaining after the first service mechanism performs its breakout. An optional third service mechanism coupled to the core network provides one or more mobile network services in the mobile data network. An overlay network allows the first, second and third service mechanisms to communicate with each other. The overlay network is preferably a private virtual network. | 04-18-2013 |
20130095631 | BIPOLAR TRANSISTOR WITH LOW RESISTANCE BASE CONTACT AND METHOD OF MAKING THE SAME - Embodiments of the present invention provide a bipolar transistor with low resistance base contact and method of manufacturing the same. The bipolar transistor includes an emitter, a collector, and an intrinsic base between the emitter and the collector. The intrinsic base extends laterally to an extrinsic base. The extrinsic base further includes a first semiconductor material with a first bandgap and a second semiconductor material with a second bandgap that is smaller than the first bandgap. | 04-18-2013 |
20130096858 | SYSTEM, METHOD, AND PROGRAM FOR PREDICTING STATE OF BATTERY - A method and system for predicting degradation of a battery. Modeling of a battery is made to be separated into an aging section and a current-carrying section. The modeling is established such that the amount of degradation of a capacity retention ratio is determined by the linear sum of stay at each temperature and each SOC. The separation into degradation components at each temperature and each SOC enables predicting degradation under various degradation environments. A model for a battery separated into an aging section and a current-carrying section and a calculation model of a root law are combined into an objective function, and a table of discharge coefficients a | 04-18-2013 |
20130096894 | AUTOMATIC INSERTION POINT IDENTIFICATION IN MODEL MERGING OPERATIONS - Automatic identification of an insertion point is done by comparisons defining the correspondence between elements of models. The sequence order of elements in the second model is analyzed to identify predecessor and successor elements of the element t. The comparison is used to identify a set of transferred predecessors (P | 04-18-2013 |
20130096905 | DATA CENTER EFFICIENCY ANALYSES AND OPTIMIZATION - Method, system and computer program product for estimating the overall energy efficiency of a data center over a period of time. In one embodiment, a computer processor coupled to computer readable memory is configured to receive time parameters indicating the period of time over which the overall energy efficiency of the data center is to be estimated, receive component parameters indicating the performance characteristics of data center components and the operational interactions between the data center components, simulate the operation and interaction of the data center components based, at least in part, on the component parameters for the period of time over which the energy efficiency is estimated, and output results of the simulation to estimate the overall energy efficiency of the data center. | 04-18-2013 |
20130096951 | BUSINESS TRANSACTION CAPTURE AND REPLAY WITH LONG TERM REQUEST PERSISTENCE - Business transaction capture and replay with long term request persistence, including: creating, in response to a request for execution of a business transaction, an original business object representing the request for execution of the business transaction, the original business object comprising a data structure supported by the business transaction; executing the business transaction including: executing, in dependence upon the original business object, one or more business processes of the business transaction, including, for each business process, storing results of the business process as an interim business object; maintaining, in a failed request business object, the original business object and each interim business object; identifying a failure of a business process; and including, in the failed request business object, error information describing the failed business process; and re-executing the business transaction using the failed request business object. | 04-18-2013 |
20130096978 | DETERMINING COSTS FOR WORKFLOWS - Techniques are disclosed for modeling costs when editing a workflow process model. A request may be received to assign a cost factor to a workflow process step of the workflow process model. Responsive to the request, the cost factor may be assigned to the workflow process step, such that a traversal of the workflow process step by a transaction invoking the workflow process model results in the cost factor being included in a total cost to be charged for executing the transaction. | 04-18-2013 |
20130097109 | METHOD FOR DETERMINING A PREFERRED NODE IN A CLASSIFICATION AND REGRESSION TREE FOR USE IN A PREDICTIVE ANALYSIS - Techniques are described for determining what node of a classification and regression tree (CART) should be used by a predictive analysis application. A first approach is to use a standard deviation of the data at a given the level of the CART to determine whether data in the next, lower node is more consistent than the data in the current node. A second approach is to measure a correlation between data points in a given node and the time at which each point was sampled (or other correlation metric) to identify a preferred node. | 04-18-2013 |
20130097155 | PROGRAM INVOCATION FROM A QUERY INTERFACE TO PARALLEL COMPUTING SYSTEM - Techniques are disclosed for invoking an analysis routine running on a parallel computer system to analyze query results. A query generated using a query builder application for execution against a database to produce query results. Embodiments receive a selection of one of a plurality of analysis routines to apply to the query results. A command and the query results are transmitted to a compute node of a parallel computer system using an established network connection. Embodiments invoke the selected analysis routine on the parallel computing system using the translated command and the query results to produce analysis routine results. The analysis routine results are merged with the query results to produce merged results, and the merged results are output for display. | 04-18-2013 |
20130097191 | DISPLAYING LOGICAL STATEMENT RELATIONSHIPS BETWEEN DIVERSE DOCUMENTS IN A RESEARCH DOMAIN - Method and apparatus for analyzing a document against a managed research domain are provided. In one embodiment, a managed research domain provides an infrastructure where logical statements in research documents stored in the research domain repository are quantified and semantically analyzed. A user may submit a draft research document for analysis against the managed research domain to compare the draft against the current research knowledge in particular topics. The draft research document is annotated to reflect how the logical statements in the draft compare to the statements made on similar topics in the managed research domain. | 04-18-2013 |
20130097219 | APPARATUS AND METHOD FOR EXECUTING AGENT - Methods for selecting an initial agent for an agent transaction context (ATC) are presented, the ATC configured to process a transaction utilizing a number of active agents in a multiagent system using a computing device including: causing the computing device to determine whether an agent having a top priority message is present, where the agent is one of the number of active agents in the multiagent system: if the agent having the top priority message is present, causing the computing device to determine whether the agent having the top priority message is processing; and if the agent having the top priority message is not processing, causing the computing, device to select the agent having the top priority message as the initial agent for the ATC. | 04-18-2013 |
20130097263 | COMPLETION PROCESSING FOR DATA COMMUNICATIONS INSTRUCTIONS - Completion processing of data communications instructions in a distributed computing environment with computers coupled for data communications through communications adapters and an active messaging interface (‘AMI’), injecting for data communications instructions into slots in an injection FIFO buffer a transfer descriptor, at least some of the instructions specifying callback functions; injecting a completion descriptor for each instruction that specifies a callback function into an injection FIFO buffer slot having a corresponding slot in a pending callback list; listing in the pending callback list callback functions specified by data communications instructions; processing each descriptor in the injection FIFO buffer, setting a bit in a completion bit mask corresponding to the slot in the FIFO where the completion descriptor was injected; and calling by the AMI any callback functions in the pending callback list as indicated by set bits in the completion bit mask. | 04-18-2013 |
20130097310 | CONFIGURABLE ALERT DELIVERY IN A DISTRIBUTED PROCESSING SYSTEM - Configurable alert delivery in a distributed processing system include for each alert generated by an incident analyzer, applying active alert filters to the alert; wherein applying the active alert filters to the alert includes: creating a list of all active alert filters and a set of all active listeners; and for each active alert filter, running the active alert filter; if the active alert filter indicates that the alert should not go to one or more of the active listeners, removing the one or more active listeners from the set of all active listeners; if the active listeners set is empty, stopping processing of the alert; and if the active listeners set is not empty, selecting, by the incident analyzer, the next active alert filter from the active alert filter list. | 04-18-2013 |
20130097314 | MANAGING INVENTORY DATA FOR COMPONENTS OF A SERVER SYSTEM - Techniques are disclosed for managing inventory data for components of a server system. In one embodiment, a global management controller is provided, that is operatively connected to a plurality of local management controllers. Each local management controller is configured to manage a subset of the components of the server system. Each local management controller is also configured to generate, for each component, a checksum based on vital product data (VPD) of the component. Each local management controller is also configured to compute a composite checksum based on the checksums generated for the components in the subset. The global management controller is configured to maintain a global view of the VPD in the computer system, based on the checksums and/or composite checksums. | 04-18-2013 |
20130097407 | UNIFIED, WORKLOAD-OPTIMIZED, ADAPTIVE RAS FOR HYBRID SYSTEMS - A method, system, and computer program product for maintaining reliability in a computer system. In an example embodiment, the method includes managing workloads on a first processor with a first processor architecture by an agent process executing on a second processor with a second processor architecture. The method proceeds by activating redundant computation on the second processor by the agent process. The method continues by performing a same computation from a workload of the workloads at least twice. Finally, the method includes comparing results of the same computation. In this embodiment the first processor is coupled the second processor by a network, and the first processor architecture and second processor architecture are different architectures. | 04-18-2013 |
20130097457 | MANAGING FAILOVER OPERATIONS ON A CLUSTER OF COMPUTERS - Managing failover operations on a cluster of computers, including: identifying, by a failover hold module, a failure to access data storage in the cluster of computers; preventing the execution of all read operations directed to the data storage that were received after the failure to access data storage was identified; executing all write operations directed to the data storage that were received after the failure to access data storage was identified, including writing data to a cache; identifying that a failover to alternative data storage is complete; executing the held read operations, including reading data from the alternative data storage; and copying, from cache to the alternative data storage, the data written to the cache as part of the write operations. | 04-18-2013 |
20130097485 | TEMPLATE-BASED CONTENT CREATION - Methods, systems and program products for generating content from a user-created template. Embodiments of the invention may provide an interface configured to allow users to create templates, where the templates further include a user-specified formatting. Embodiments may receive a template created by a user using the provided interface, and responsive to receiving the template, may retrieve data associated with the variable fields in the received template. Embodiments may generate new content based on the received template and the retrieved data, where the generated new content is formatted according to the user-specified formatting in the received template. | 04-18-2013 |
20130097588 | HOLDING THREADS IN A SOFTWARE DEBUGGER - A debugger includes a thread holding mechanism that analyzes the code being executed by multiple threads, and delays holding each thread that is currently executing system code external to the program until the thread is no longer executing the system code external to the program, or until some threshold is exceeded. Delaying holding of a thread that is executing system code external to the program avoids potential conditions that could lock up the debugger. | 04-18-2013 |
20130097589 | EVENT MANAGEMENT IN A NON-STOP DEBUGGING ENVIRONMENT - Event management in a non-stop debugging environment that includes a debugger configured to debug a multi-threaded debuggee, where encountering an event by one of the threads stops execution of only the one thread without concurrently stopping execution of other threads and event management includes: receiving, by the debugger, a user request to establish a dynamically disabled event that is disabled for all threads upon a stop in execution of any thread; establishing, by the debugger, the dynamically disabled event; identifying, by the debugger, a stop in execution of a particular thread; and disabling, by the debugger, the dynamically disabled event for all threads of the debuggee. | 04-18-2013 |
20130097590 | IMPACT INDICATION OF THREAD-SPECIFIC EVENTS IN A NON-STOP DEBUGGING ENVIRONMENT - A non-stop debugging environment includes a debugger configured to debug a multi-threaded debuggee, where encountering an event by one of the threads stops execution of only the one thread without concurrently stopping execution of other threads. In such a non-stop debug environment, impact indication of thread-specific events includes tracking, by the debugger, activity associated with a thread-specific event and displaying, by the debugger in a graphical user interface (GUI), an indication of thread-specific event impact in dependence upon the tracked activity. | 04-18-2013 |
20130097591 | THREAD-SPECIFIC WATCH EVENT ADMINISTRATION IN A NON-STOP DEBUGGING ENVIRONMENT - A non-stop debugging environment includes a debugger configured to debug a multi-threaded debuggee, where encountering an event by one of threads stops execution of only the one thread without concurrently stopping execution of other threads. In the non-stop debugging environment, thread-specific watch event administration includes holding from execution, by the debugger, a thread triggering a watch event; determining, by the debugger, whether the watch event was set for the thread triggering the watch event; if the watch event was set for the thread triggering the watch event, setting, by the debugger, the debug perspective of a GUI to the thread triggering the watch event; and, if the watch event was not set for the thread triggering the watch event: retrieving, by the debugger, watch event information from the thread triggering the watch event; and resuming, by the debugger without user interaction, execution of the thread triggering the watch event. | 04-18-2013 |
20130097601 | OPTIMIZING VIRTUAL MACHINES PLACEMENT IN CLOUD COMPUTING ENVIRONMENTS - Placement of instances of virtual machine (VM) images in a cloud computing environment is optimized. A request is received to deploy a new VM image instance. User-specific and generic VM resource usage profiles are read that include resource consumption data. Resource pool profiles for multiple resource pools are read that include resource consumption data for the resource pools. For each of the resource pool profiles, a selected VM resource usage profile is analyzed and aligned with the resource pool profiles. An aggregated resource consumption profile is calculated. A determination is made of one of multiple resource pools with the least aggregated resource consumption. | 04-18-2013 |
20130097610 | DETERMINING SUITABLE NETWORK INTERFACE FOR PARTITION DEPLOYMENT/RE-DEPLOYMENT IN A CLOUD ENVIRONMENT - Migrating a logical partition (LPAR) from a first physical port to a first target physical port, includes determining a configuration of an LPAR having allocated resources residing on a computer and assigned to the first physical port of the computer. The configuration includes a label that specifies a network topology that is provided by the first physical port and the first target physical port has a port label that matches the label included in the configuration of the LPAR. The first target physical port with available capacity to service the LPAR is identified and the LPAR is migrated from the first physical port to the target physical port by reassigning the LPAR to the first target physical port. | 04-18-2013 |
20130097612 | DYNAMIC RUN TIME ALLOCATION OF DISTRIBUTED JOBS WITH APPLICATION SPECIFIC METRICS - A job optimizer dynamically changes the allocation of processing units on a multi-nodal computer system. A distributed application is organized as a set of connected processing units. The arrangement of the processing units is dynamically changed at run time to optimize system resources and interprocess communication. A collector collects application specific metrics determined by application plug-ins. A job optimizer analyzes the collected metrics and determines how to dynamically arrange the processing units within the jobs. The job optimizer may determine to combine multiple processing units into a job on a single node when there is an overutilization of an interprocess communication between processing units. Alternatively, the job optimizer may determine to split a job's processing units into multiple jobs on different nodes where one or more of the processing units are over utilizing the resources on the node. | 04-18-2013 |
20130097614 | FENCING DATA TRANSFERS IN A PARALLEL ACTIVE MESSAGING INTERFACE OF A PARALLEL COMPUTER - Fencing data transfers in a parallel active messaging interface (‘PAMI’) of a parallel computer, the PAMI including data communications endpoints, each endpoint including a specification of data communications parameters for a thread of execution on a compute node, including specifications of a client, a context, and a task; the compute nodes coupled for data communications through the PAMI and through data communications resources including at least one segment of shared random access memory; including initiating execution through the PAMI of an ordered sequence of active SEND instructions for SEND data transfers between two endpoints, effecting deterministic SEND data transfers through a segment of shared memory; and executing through the PAMI, with no FENCE accounting for SEND data transfers, an active FENCE instruction, the FENCE instruction completing execution only after completion of all SEND instructions initiated prior to execution of the FENCE instruction for SEND data transfers between the two endpoints. | 04-18-2013 |
20130097620 | ADMINISTERING INCIDENT POOLS FOR EVENT AND ALERT ANALYSIS - Administering incident pools including assigning an incident received from one or more components of the distributed processing system to a pool of incidents; assigning to each incident a particular combined minimum time for inclusion of the incident in the pool; in response to the pool closing, determining for each incident in the pool whether the incident has met its combined minimum time for inclusion in the pool; if the incident has been in the pool for its combined minimum time, including the incident in the closed pool; if the incident has not been in the pool for its combined minimum time, moving the incident from the closed pool to a next pool; applying incident suppression rules using the incidents assigned to the next pool; and applying incident creation rules to the incidents that were assigned to the next pool, while omitting any duplicate incidents caused by the assignment. | 04-18-2013 |
20130097622 | FRAMEWORK FOR SYSTEM COMMUNICATION FOR HANDLING DATA - Techniques for making internal data from a source application available through an application programming interface (“API”). Embodiments may analyze a source application to determine a storage location and a first storage format of internal data, wherein the source application does not provide an API through which the internal data is accessible. Embodiments may then extract the internal data from the storage location, and convert the extracted data from the first storage format to a common storage format. Embodiments may then provide an API to make the converted data available. | 04-18-2013 |
20130097642 | AGGREGATION OF MULTIPLE MEDIA STREAMS TO A USER - A media stream aggregation mechanism receives and analyzes multiple media streams and creates an aggregate media stream output based on user preferences. In this manner a media provider that uses the media stream aggregation mechanism potentially may provide a customized presentation to each user based on user preferences. By providing the aggregation of multiple media streams to a user, the user's experience is customized according to user preferences. | 04-18-2013 |
20130097862 | DRY-COOLING UNIT WITH GRAVITY-ASSISTED COOLANT FLOW - A method of fabricating a cooling unit is provided to facilitate cooling coolant passing through a coolant loop. The cooling unit includes one or more heat rejection units and an elevated coolant tank. The heat rejection unit(s) rejects heat from coolant passing through the coolant loop to air passing across the heat rejection unit. The heat rejection unit(s) includes one or more heat exchange assemblies coupled to the coolant loop for at least a portion of coolant to pass through the one or more heat exchange assemblies. The elevated coolant tank, which is elevated above at least a portion of the coolant loop, is coupled in fluid communication with the one or more heat exchange assemblies of the heat rejection unit(s), and facilitates return of coolant to the coolant loop at a substantially constant pressure. | 04-25-2013 |
20130098481 | MICROFLUIDIC DEVICE WITH INTERCONNECTS - A microfluidic device with interconnects. The device includes a first layer; a second layer, the first layer and the second layer assembled such as to face each other; a microchannel in said second layer; a tapered conduit having a tapered portion, wherein the tapered portion is inserted in a correspondingly shaped via formed in the first layer at the level of an end of the microchannel such that fluid communication is enabled between the microchannel and the conduit, and blocked in the via by way of the assembled first layer and second layer. | 04-25-2013 |
20130098599 | INDEPENDENT COMPUTER SYSTEM ZONE COOLING RESPONSIVE TO ZONE POWER CONSUMPTION - Methods are disclosed for independently cooling each of a plurality of zones of a computer system in relation to their respective zone power consumptions. In one example method, a power consumption of heat-generating devices is monitored at each of a plurality of zones of a computer system. A cooling fluid flow rate to each zone is independently controlled. One of the zones is targeted for increased cooling in response to a detected increase in power consumption in the targeted zone. The cooling fluid flow rate to the targeted zone is then increased in immediate response to the power consumption increase. | 04-25-2013 |
20130099316 | SELECTIVE FLOATING BODY SRAM CELL - A memory cell has N≧6 transistors, in which two are access transistors, at least one pair [say (N−2)/2] are pull-up transistors, and at least another pair [say (N−2)/2] are pull-down transistors. The pull-up and pull-down transistors are all coupled between the two access transistors. Each of the access transistors and the pull-up transistors are the same type, p-type or n-type. Each of the pull-down transistors is the other type, p-type or n-type. The access transistors are floating body devices. The pull-down transistors are non-floating body devices. The pull-up transistors may be floating or non-floating body devices. Various specific implementations and methods of making the memory cell are also detailed. | 04-25-2013 |
20130099362 | METHOD OF FORMING SELF-ASSEMBLED PATTERNS USING BLOCK COPOLYMERS, AND ARTICLES THEREOF - A method of forming a block copolymer pattern comprises providing a substrate comprising a topographic pre-pattern comprising a ridge surface separated by a height, h, greater than 0 nanometers from a trench surface; disposing a block copolymer comprising two or more block components on the topographic pre-pattern to form a layer having a thickness of more than 0 nanometers over the ridge surface and the trench surface; and annealing the layer to form a block copolymer pattern having a periodicity of the topographic pre-pattern, the block copolymer pattern comprising microdomains of self-assembled block copolymer disposed on the ridge surface and the trench surface, wherein the microdomains disposed on the ridge surface have a different orientation compared to the microdomains disposed on the trench surface. Also disclosed are semiconductor devices. | 04-25-2013 |
20130099712 | MULTI-PRESSURE-QUANTITY FAN CONTROL SYSTEM AND COMPUTER SYSTEM HAVING THE SAME - A multi-pressure-quantity fan control system for a computer system is provided. The multi-pressure-quantity fan control system includes a controller. The controller provides a pressure-quantity control signal to a fan of the computer system, in order to set the fan to operate in one of a first pressure-quantity setting and a second pressure-quantity setting, wherein under a predetermined rotating speed, the fan has a first pressure-quantity curve for the first pressure-quantity setting and a second pressure-quantity curve for the second pressure-quantity setting. | 04-25-2013 |
20130100358 | MULTIDIRECTIONAL DISPLAY SYSTEM - An optical display system includes a projection system for outputting images having an image refresh frequency and a two-dimensional screen for receiving the images. The screen is coupled to a motion unit which allows a motion of the screen at a frequency which is equal to the refresh frequency of the images. | 04-25-2013 |
20130100799 | REDUCING IMPACT OF REPAIR ACTIONS FOLLOWING A SWITCH FAILURE IN A SWITCH FABRIC - Techniques are disclosed for reducing impact of a switch failure and/or a repair action in a switch fabric. In one embodiment, a server system is provided that includes a first interposer card that operatively connects one or more server cards to a midplane. The first interposer card may include a switch module that switches network traffic for the one or more server cards. The first interposer card may be hot-swappable from the midplane, and the one or more server cards may be hot-swappable from the first interposer card. The server system may further include an interconnect between the first interposer card and a second interposer card. | 04-25-2013 |
20130101284 | ADAPTOR SYSTEM FOR AN ETHERNET NETWORK - An Ethernet adapter system may include a transmitter to insert a payload type identifier sequence in a generic frame procedure header to indicate that a network is a converged enhanced Ethernet network. The transmitter may insert idle sequences in a stream of data frames transmitted along a link. The system may include a receiver to recognize a condition and to force a loss of synchronization condition on the link that will be converted by the receiver into a loss of light condition. The receiver may scan the transmitted stream of data frames for invalid data frames and introduce a code into the stream of data frames whenever an invalid data frame is detected. | 04-25-2013 |
20130103178 | ENHANCING INVESTIGATION OF VARIABILITY BY INCLUSION OF SIMILAR OBJECTS WITH KNOWN DIFFERENCES TO THE ORIGINAL ONES - A system, method and/or computer program product for analyzing a functionality of at least two manufactured products obtain a first characteristic of a first manufactured product. The system acquires a second characteristic of a second manufactured product. The system identifies a common feature between the first characteristic and the second characteristic. The system identifies a distinguishable feature between the first characteristic and the second characteristic. The system determines a cause of a deviation of a functionality in the first manufactured product or the second manufactured product or both manufactured products based on the identified common feature or the identified distinguishable feature or both features. | 04-25-2013 |
20130103218 | PROVISIONING AGGREGATE COMPUTATIONAL WORKLOADS AND AIR CONDITIONING UNIT CONFIGURATIONS TO OPTIMIZE UTILITY OF AIR CONDITIONING UNITS AND PROCESSING RESOURCES WITHIN A DATA CENTER - Methods, apparatuses, and computer program products for provisioning aggregate computational workloads and air conditioning unit configurations to optimize utility of air conditioning units and processing resources within a data center are provided. Embodiments include for each air conditioning unit within the data center, determining a thermal zone generated by the air conditioning unit; for a given aggregate computational workload, identifying a plurality of computational workload configurations, each computational workload configuration indicating spatial assignments of the aggregate computational workload among a plurality of processing resources within the data center; for each computational workload configuration, calculating a total minimum energy consumption of the air conditioning units and the processing resources; and selecting the computational workload configuration with the lowest total minimum energy consumption and the determined lowest power air conditioning unit configuration corresponding with the selected computational workload configuration. | 04-25-2013 |
20130103329 | REDUCING IMPACT OF A REPAIR ACTION IN A SWITCH FABRIC - Techniques are disclosed for reducing impact of a repair action in a switch fabric. In one embodiment, a server system is provided that includes a first interposer card that operatively connects one or more server cards to a midplane. The first interposer card may include a switch module that switches network traffic for the one or more server cards. The first interposer card may be hot-swappable from the midplane, and the one or more server cards may be hot-swappable from the first interposer card. | 04-25-2013 |
20130103490 | SYSTEM AND METHOD FOR MARKETING MIX OPTIMIZATION FOR BRAND EQUITY MANAGEMENT - A method of marketing optimization with respect to brand lifetime management formulates a problem of brand equity maximization utilizing Markov Decision Process (MDP) thereby casting brand equity management as a long term regard optimization problem in MDP, The marketing mix is optimized by formulating the mix as actions in MDP and, utilizing historical marketing and transaction data, aspects of the MDP are estimated. | 04-25-2013 |
20130103589 | PROVIDING LICENSED CONTENT TO A USER - When a user requests licensed content, a dynamic licensing mechanism automatically requests and receives licenses for the licensed content when one or more criterion in a licensing policy is satisfied by license information relating to the content, without the user providing any further input to acquire the licenses. | 04-25-2013 |
20130103646 | EFFICIENT USE OF MIRRORED STORAGE CLOUDS - For distributing data requests between data centers, a cost reduction module selects a data center from a plurality of data centers to fulfill a data operation request corresponding to mirrored data stored at the plurality of data centers. The selection is based on data center cooling efficiency factors comprising a data storage device efficiency percentage. A response module sends the data operation request to the selected data center. | 04-25-2013 |
20130103652 | METHOD, PROGRAM, AND SYSTEM FOR SPECIFICATION VERIFICATION - A method, program, and system for specification verification. The method includes the steps of: (a) retaining a plurality of documents as groups of abstract documents that display values capable of indicating each metadata; (b) separating the group of abstract documents based on an input condition of an operation; (c) adding a new abstract document by using, based on an output condition, at least one the operation within a group of the operations; (d) separating the abstract documents according to overlapping ranges designated by the metadata; (e) unifying the abstract documents according to overlapping ranges designated by the metadata; (f) repeating the steps (b) to (e) until a termination condition is satisfied; and (g) verifying whether an incomplete abstract document exists when the termination condition is satisfied. | 04-25-2013 |
20130103706 | Method for Objectclass Versioning - Techniques for objectclass versioning in directory server schema are provided. The techniques include migrating from one version of a directory server to another version of the directory server, maintaining one or more versions of an objectclass while migrating from one version of a directory server to another version of the directory server, and selecting one of the one or more versions of an objectclass for normal functioning of an application. | 04-25-2013 |
20130103772 | METHOD FOR AN INSTANT MESSAGING SYSTEM AND INSTANT MESSAGING SYSTEM - Processing for an Instant Messaging IM system includes obtaining contents of an IM session, identifying participants of the IM session, extracting, using a central processing unit, keywords of the IM session from the contents, and associating the keywords of the IM session, the participants of the IM session with the corresponding IM session. | 04-25-2013 |
20130103800 | DYNAMICALLY OPTIMIZING DELIVERY OF MULTIMEDIA CONTENT OVER A NETWORK - A method includes connecting a communications device to an Internet Multimedia Subsystem network. The method includes transmitting presence information indicating multimedia capabilities of the communications device and requesting multimedia content from a multimedia server to cause the multimedia server to transmit multimedia content. The method includes detecting connection of a peripheral device to the communications device and determining that the multimedia capabilities have increased due to the connection of the peripheral device. The method includes updating the presence information to indicate the increased multimedia capabilities and transmitting the updated presence information to cause the multimedia server to transmit the multimedia content adjusted for the increased multimedia capabilities indicated in the updated presence information. The method includes receiving the multimedia content adjusted for the increased multimedia capabilities and presenting at least some of the adjusted multimedia content on the peripheral device. | 04-25-2013 |
20130103832 | STREAM APPLICATION PERFORMANCE MONITORING METRICS - Techniques are disclosed for calculating performance metrics associated with a data stream. A processing element configured to process data tuples flowing through a stream-based computing system receives data tuples via the data stream. Each of the one or more tuples is processed at the processing element, and each of the one or more tuples are associated with metadata that includes information related to the processing of the tuple by the processing element. Performance metrics are then calculated for the data stream based on the metadata associated with the one or more tuples. | 04-25-2013 |
20130103858 | FIBRE CHANNEL INPUT/OUTPUT DATA ROUTING SYSTEM AND METHOD - A method of performing an input/output (I/O) processing operation includes: generating at least one address control word (ACW) specifying one or more host memory locations for transfer of data between a host computer system and a control unit, and storing the at least one ACW in the local channel memory; generating an address control structure for each data transfer specified by the I/O operation and forwarding each address control structure from the at least one channel to the network interface; forwarding an I/O command message to the at least one I/O device via a network interface; receiving a data transfer request from the network interface that includes the address control structure; and routing the data to at least one host memory location specified by the corresponding ACW or routing the data from a host memory location specified by the ACW to the network interface. | 04-25-2013 |
20130103885 | ADMINISTERING THERMAL DISTRIBUTION AMONG MEMORY MODULES OF A COMPUTING SYSTEM - A computing system includes a number of memory modules and temperature sensors. Each temperature sensor measures a temperature of a memory module. In such a computing system a garbage collector during garbage collection, determines whether a temperature measurement of a temperature sensor indicates that a memory module is overheated and, if a temperature measurement of a temperature sensor indicates a memory module is overheated, the garbage collector reallocates one or more active memory regions on the overheated memory module to a non-overheated memory module. Reallocating the active memory regions includes copying contents of the active memory regions from the overheated memory module to the non-overheated memory module. | 04-25-2013 |
20130103916 | CLEARING BLOCKS OF STORAGE CLASS MEMORY - An abstraction for storage class memory is provided that hides the details of the implementation of storage class memory from a program, and provides a standard channel programming interface for performing certain actions, such as controlling movement of data between main storage and storage class memory or managing storage class memory. | 04-25-2013 |
20130103919 | ADMINISTERING THERMAL DISTRIBUTION AMONG MEMORY MODULES WITH CALL STACK FRAME SIZE MANAGEMENT - Administering thermal distribution among memory modules in a computing system that includes temperature sensors, where each temperature sensor measures temperature of a memory module and thermal distribution is effected by: determining, in real-time by a user-level application in dependence upon the temperature measurements of the temperature sensors, whether a memory module is overheated; if a memory module is overheated and if a current call stack frame is stored on the overheated memory module, increasing, by the user-level application, a size of the current call stack frame to fill remaining available memory space on the overheated memory module, ensuring a subsequent call stack frame is stored on a different memory module. | 04-25-2013 |
20130103926 | ESTABLISHING A DATA COMMUNICATIONS CONNECTION BETWEEN A LIGHTWEIGHT KERNEL IN A COMPUTE NODE OF A PARALLEL COMPUTER AND AN INPUT-OUTPUT ('I/O') NODE OF THE PARALLEL COMPUTER - Establishing a data communications connection between a lightweight kernel in a compute node of a parallel computer and an input-output (‘I/O’) node of the parallel computer, including: configuring the compute node with the network address and port value for data communications with the I/O node; establishing a queue pair on the compute node, the queue pair identified by a queue pair number (‘QPN’); receiving, in the I/O node on the parallel computer from the lightweight kernel, a connection request message; establishing by the I/O node on the I/O node a queue pair identified by a QPN for communications with the compute node; and establishing by the I/O node the requested connection by sending to the lightweight kernel a connection reply message. | 04-25-2013 |
20130103954 | KEY USAGE POLICIES FOR CRYPTOGRAPHIC KEYS - A computer program product for secure key management is provided. The computer program product includes a tangible storage medium readable by a processing circuit and storing instructions for execution by the processing circuit for performing a method. The method includes creating a token and populating the token with key material, and binding key control information to the key material. The key control information includes information relating to usage of the key material populating one or more key usage fields that define attributes that limit actions that may be performed with the key material. | 04-25-2013 |
20130104058 | SUGGESTION OF USER ACTIONS IN A VIRTUAL ENVIRONMENT BASED ON ACTIONS OF OTHER USERS - Embodiments of the invention provide techniques for suggesting actions to users of an immersive virtual environment based on previous user actions within the virtual environment. Generally, characteristics of actions performed by various users of the virtual environment may be stored in a searchable actions index. Subsequently, the actions index may be used to suggest actions based on similarity of the stored characteristics to those of a current user and/or actions. The suggested actions may be presented to the user as graphical indications visible within the user's view of the virtual environment. | 04-25-2013 |
20130104108 | PROTECTING BREAKPOINTS IN A SOFTWARE DEBUGGER - A debugger that includes a breakpoint protection mechanism that detects when the program being debugged has been modified to overwrite one or more instructions corresponding to existing breakpoints. When the debugger halts execution of a program being debugged, all of the set breakpoints are checked by determining whether the instruction corresponding to each breakpoint has changed. If any of the instructions corresponding to the breakpoints has changed, the corresponding breakpoint is removed. An optional warning may be provided to the user to inform the user of any removed breakpoints. | 04-25-2013 |
20130104110 | THERMAL-AWARE SOURCE CODE COMPILATION - Thermal-aware source code compilation including: receiving, by a compiler, an identification of a target computing system, the identification of the target computing system specifying temperature sensors that measure temperature of a memory module; compiling the source code into an executable application including inserting in the executable application computer program instructions for thermal-aware execution, the computer program instructions, when executed on the target computing system, carry out the steps of: retrieving temperature measurements of one or more of the target computing system's temperature sensors; determining, in real-time in dependence upon the temperature measurements, whether a memory module is overheated; if a memory module is overheated, entering a thermal-aware execution state including, for each memory allocation in the executable application, allocating memory on a different memory module than the overheated memory module; and upon the temperature sensors indicating the memory module is no longer overheated, exiting the thermal-aware execution state. | 04-25-2013 |
20130104111 | REAL-TIME TEMPERATURE SENSITIVE MACHINE LEVEL CODE COMPILATION AND EXECUTION - Methods, apparatuses, and computer program products for real-time temperature sensitive machine level code compilation and execution are provided. Embodiments include compiling and executing, by a just-in-time (JIT) compiler, machine level code; during execution of the machine level code, determining, by the JIT compiler, an execution temperature for the machine level code, including reading temperature measurements from one or more processor temperature sensors; based on the determined execution temperature, identifying, by the JIT compiler, a portion of the machine level code that, when executed, caused temperature measurements of one or more processor temperature sensors to exceed a predetermined threshold temperature; recompiling, by the JIT compiler, the machine level code including modifying the identified portion to generate a new execution temperature that is lower than the previously determined execution temperature; and executing, by the JIT compiler, the recompiled machine level code. | 04-25-2013 |
20130104143 | RUN-TIME ALLOCATION OF FUNCTIONS TO A HARDWARE ACCELERATOR - An accelerator work allocation mechanism determines at run-time which functions to allocate to a hardware accelerator based on a defined accelerator policy, and based on an analysis performed at run-time. The analysis includes reading the accelerator policy, and determining whether a particular function satisfies the accelerator policy. If so, the function is allocated to the hardware accelerator. If not, the function is allocated to the processor. | 04-25-2013 |
20130104144 | Application Switching in a Graphical Operating System - A method for application switching in an operating system may be provided. The method may comprise providing at least two active applications on the operating system, and providing a first list of actions related to the first active application, via a first interface, to an application switching manager, and providing a second list of actions related to the second active application, via a second interface, to the application switching manager. Additionally, the method may further comprise selecting an active application out of the at least two active applications together with selecting an action selected from the first list of actions for a first application or a second action for the second list for a second application using a graphical user interface. | 04-25-2013 |
20130104243 | PROTECTING PRIVACY WHEN COMMUNICATING WITH A WEB SERVER - Protecting privacy when communicating with a web server via a communication network, includes receiving by a first privacy agent a request from an application program of a client system for a connection to a web server having a target web server address, sending the request together with a first identification from the first privacy agent to the second privacy agent, forwarding the request together with a second identification from the second privacy agent to the third privacy agent. Further processing is performed responsive to determining that the target web server address matches a defined web server address which is accessible by the third privacy agent. | 04-25-2013 |
20130114396 | High Performance Virtual Converged Enhanced Ethernet With Persistent State Flow Control - Maintaining bandwidth in links betweens servers and storage arrays comprising a device. The device establishes the links. The device identifies a first link from the links. The first link has a high response time. The device transmits a plurality of data packets on the first link. Each data packet is associated with a corresponding acknowledgment (ACK). The transmission is performed without waiting for the corresponding ACK to be received. The device tracks the ACK received in response to each of the transmitted data packets. The device detects a failure of the first link. In response to the detection, the device identifies invalid data packets. The invalid data packets comprise data packets transmitted on the first link after the detected failure. | 05-09-2013 |
20130114397 | Soft Error Recovery for Converged Networks - Detecting and recovering from soft errors in a network comprising a first device. A first device receives a first data packet. Responsive to receiving a second data packet, the first device determines whether the two data packets are identical. Responsive to the determination that the two data packets are not identical, the first device discards the two data packets, and requests retransmission of the two data packets. | 05-09-2013 |
20130114412 | NETWORK DATA CONGESTION MANAGEMENT PROBE SYSTEM - A method to investigate congestion in a computer network may include network devices to route data packets throughout the network. The method includes, for example, sending a probe packet to network devices from a source node to gather information about the traffic queues at each network device that is examined by the probe packet. A routing table at each network device that receives the probe packet is based on the gathered information for respective each traffic queue. | 05-09-2013 |
20130114809 | UNIQUE SURROGATE KEY GENERATION USING CRYPTOGRAPHIC HASHING - The present invention relates to a method or system of generating a surrogate key using cryptographic hashing. One embodiment of the method of the present invention may have steps such as selecting a field or group of fields that is or are unique among all records in the database and for each record, extracting the data from the fields; concatenating the extracted data into an input message; running the input message through a hash generator, either in batches or one at a time, for testing purposes perhaps; and outputting a surrogate key. | 05-09-2013 |
20130115767 | Metal Alloy Cap Integration - A metal interconnect structure, which includes metal alloy capping layers, and a method of manufacturing the same. The originally deposited alloy capping layer element within the interconnect features will diffuse into and segregate onto top surface of the metal interconnect. The metal alloy capping material is deposited on a reflowed copper surface and is not physically in contact with sidewalls of the interconnect features. The metal alloy capping layer is also reflowed on the copper. Thus, there is a reduction in electrical resistivity impact from residual alloy elements in the interconnect structure. That is, there is a reduction, of alloy elements inside the features of the metal interconnect structure. The metal interconnect structure includes a dielectric layer with a recessed line, a liner material on sidewalls, a copper material, an alloy capping layer, and a dielectric cap. | 05-09-2013 |
20130116991 | TIME SERIES DATA ANALYSIS METHOD, SYSTEM AND COMPUTER PROGRAM - A method includes selecting, with a computer, a time lag that is the time delay until an explanatory variable time sequence applies an effect on a target variable time series, and a time window that is the time period for the explanatory variable time series to apply the impact on the target variable time series; converting, based upon the explanatory variable time series, to a cumulative time series structured by the cumulative values of each variable from each time point corresponding to a certain finite time; and solving the cumulative time series as an optimized problem introducing a regularization term, to obtain the value of the time lag and the value of the time window from the solved weight. | 05-09-2013 |
20130116992 | TIME SERIES DATA ANALYSIS METHOD, SYSTEM AND COMPUTER PROGRAM - A method includes selecting, with a computer, a time lag that is the time delay until an explanatory variable time sequence applies an effect on a target variable time series, and a time window that is the time period for the explanatory variable time series to apply the impact on the target variable time series; converting, based upon the explanatory variable time series, to a cumulative time series structured by the cumulative values of each variable from each time point corresponding to a certain finite time; and solving the cumulative time series as an optimized problem introducing a regularization term, to obtain the value of the time lag and the value of the time window from the solved weight. | 05-09-2013 |
20130117024 | STRUCTURED TERM RECOGNITION - A method, system and computer program product for recognizing terms in a specified corpus. In one embodiment, the method comprises providing a set of known terms t ∈ T, each of the known terms t belonging to a set of types Γ (t)={γ | 05-09-2013 |
20130117061 | CARBON MANAGEMENT FOR SOURCING AND LOGISTICS - Embodiments of the invention provide a method, system and computer program product for carbon management for sourcing and logistics. In one embodiment, the method comprises using a computer for quantifying both a cost and a carbon impact of one or more logistics policies relating to a manufacturing process; and minimizing the cost and carbon impact using a defined equation including a first component representing a transportation cost, and a second component representing a carbon cost. In an embodiment of the invention, the quantifying includes using an analytics engine to quantify the cost and carbon impact. The analytics engine may include a shipment analysis module to calculate an optimal transportation policy, a sourcing analysis module for testing alternate sourcing options, a scenario analysis module to find an optimal order frequency, and a sensitivity analysis module to test the impact of various changes. | 05-09-2013 |
20130117165 | MERCHANDISE HIERARCHY REFINEMENT BY INCORPORATION OF PRODUCT CORRELATION - A system for adjusting a representation of a merchandise hierarchy associated with an entity such as a retailer or wholesaler of products. Product correlation information discovered in that entity's customers' shopping records are obtained and incorporated into an existing merchandise hierarchy with a constraint on the consistency with the existing hierarchy. | 05-09-2013 |
20130117239 | Generating Information with Plurality of Files Enumerated Therein - A mechanism is provided for generating enumerated information in which a plurality of files is enumerated except entirely-invalidated files on a sequential medium. Management information for managing locations where the plurality of files on the sequential medium are recorded is acquired from the sequential medium. The enumerated information in which the plurality of files are enumerated is generated in an order according to the locations where the plurality of files are recorded on the basis of the acquired management information. | 05-09-2013 |
20130117398 | Annotating and Routing Message Content - Methods, systems, computer program products, and methods of doing business for improving communication, whereby message content is routed efficiently while allowing different recipients to receive different versions of the content. A portion of the electronic conversation in an instant messaging session (or of messages in other messaging systems) can be identified as being relevant to a third party (or parties), and information can be specified for routing the relevant information to that third party. A variety of delivery mechanisms may be used. Approval may be obtained prior to routing an annotated message. The recipients of annotated message may be human users and/or automated processes. The message author may also be a human or an automated process. | 05-09-2013 |
20130117481 | FIBRE CHANNEL N-PORT ID VIRTUALIZATION PROTOCOL - Disclosed is a computer implemented method, data processing system and computer program product to discover an SCSI target. The method comprises a client adapter transmitting an N_port ID virtualization (NPIV) login to a virtual I/O server (VIOS). The client adapter receives a successful login acknowledgement from the VIOS and issues a discover-targets command to the fabric. Upon determining that the SCSI target information is received, wherein the SCSI target information includes at least one SCSI identifier. Responsive a determination that SCSI target information is received the client adapter issues a port login to a target port, wherein the target port is associated with the at least one SCSI target. The client adapter makes a process login to form an initiator/target nexus between a client and at least one SCSI target. The client adapter queries the SCSI target by using a world wide port name associated with the target port. | 05-09-2013 |
20130117524 | MANAGEMENT OF RECYCLING BIN FOR THINLY-PROVISIONED LOGICAL VOLUMES - A method for data storage includes representing logical volumes by respective sets of pointers to physical partitions in which data used by the logical volumes is stored. One or more of the logical volumes are defined as provisionally deleted. A subset of the provisionally-deleted logical volumes is selected such that each logical volume in the subset has one or more private physical partitions whose data is used exclusively by that logical volume. One or more of the private physical partitions of the logical volumes in the subset are released for reallocation to another logical volume. | 05-09-2013 |
20130117544 | METHOD AND APPARATUS FOR RUN-TIME STATISTICS DEPENDENT PROGRAM EXECUTION USING SOURCE-CODING PRINCIPLES - Disclosed are a method and system for optimized, dynamic data-dependent program execution. The disclosed system comprises a statistics computer which computes statistics of the incoming data at the current time instant, where the said statistics include the probability distribution of the incoming data, the probability distribution over program modules induced by the incoming data, the probability distribution induced over program outputs by the incoming data, and the time-complexity of each program module for the incoming data, wherein the said statistics are computed on as a function of current and past data, and previously computed statistics; a plurality of alternative execution path orders designed prior to run-time by the use of an appropriate source code; a source code selector which selects one of the execution path orders as a function of the statistics computed by the statistics computer; a complexity measurement which measures the time-complexity of the currently selected execution path-order. | 05-09-2013 |
20130117545 | High-Word Facility for Extending the Number of General Purpose Registers Available to Instructions - A computer employs a set of General Purpose Registers (GPRs). Each GPR comprises a plurality of portions. Programs such as an Operating System and Applications operating in a Large GPR mode, access the full GPR, however programs such as Applications operating in Small GPR mode, only have access to a portion at a time. Instruction Opcodes, in Small GPR mode, may determine which portion is accessed. | 05-09-2013 |
20130117546 | Load Pair Disjoint Facility and Instruction Therefore - A Load/Store Disjoint instruction, when executed by a CPU, accesses operands from two disjoint memory locations and sets condition code indicators to indicate whether or not the two operands appeared to be accessed atomically by means of block-concurrent interlocked fetch with no intervening stores to the operands from other CPUs. In a Load Pair Disjoint form of the instruction, the accesses are loads and the disjoint data is stored in general registers. | 05-09-2013 |
20130117600 | MEMORY MANAGEMENT IN A NON-VOLATILE SOLID STATE MEMORY DEVICE - A computer-implemented method of managing a memory of a non-volatile solid state memory device by balancing write/erase cycles among blocks to level block usage. The method includes monitoring an occurrence of an error during a read operation in a memory unit of the device, where the error is correctable by error-correcting code, and programming the memory unit according to the monitored occurrence of the error, where the step of monitoring the occurrence of an error is carried out for at least one block, and wherein said step of programming includes wear-leveling the monitored block according the error monitored for the monitored block. | 05-09-2013 |
20130117642 | DATA RETURNED RESPONSIVE TO EXECUTING A START SUBCHANNEL INSTRUCTION - An abstraction for storage class memory is provided that hides the details of the implementation of storage class memory from a program, and provides a standard channel programming interface for performing certain actions, such as controlling movement of data between main storage and storage class memory or managing storage class memory. | 05-09-2013 |
20130117660 | AUTOMATED DOCUMENT REVISION MARKUP AND CHANGE CONTROL - Automated comparison of Darwin Information Typing Architecture (DITA) documents for revision mark-up includes reading document data from first and second DITA documents into respective document object model trees of nodes, and identifying and collapsing emphasis subtree nodes in the trees into their parent nodes, the collapsing caching emphasis data from the identified subtree nodes. A traversal transforms the model trees into respective node lists and captures adjacent sibling emphasis subtree nodes as single text nodes. The node lists are merged into a merged node list that recognizes matches node pairs having primary sort key information and document structure metadata meeting a match threshold, with differences between matching tokens of the node pairs saved. A merged document object model built from the refined merged node list is transformed into a hypertext mark-up language document. | 05-09-2013 |
20130117709 | Smart Window Creation in a Graphical User Interface - A method for controlling a graphical user interface (GUI) comprises preparing a window for displaying at an intended display location in a window display operation. The location of GUI control elements of the window at the intended display location and the location of a graphical cursor are identified. GUI control element location and the graphical cursor location are compared. The window display operation is modified if the two coincide, for example by moving the window display location so that they do not coincide. | 05-09-2013 |
20130117728 | COMPUTER PROGRAM INTERFACE - A method, apparatus and computer program product is disclosed for providing a software interface arranged to enable a first computer program to execute application logic of a second computer program, wherein said second program comprises display logic in close association with the application logic such that said application logic is operable via said display logic. | 05-09-2013 |
20130117811 | METHOD AND SYSTEM FOR ENCRYPTED FILE ACCESS - A method and system for encrypted file access are provided. The method includes the steps of: receiving ( | 05-09-2013 |
20130117860 | Controlling Access Within a Protected Data Environment - Controlling a user access through a computer network within a protected data environment of a computer environment. An exception list comprising an Identifier (URI) stored within the protected data environment for granting user access of an unauthorized user is defined. At least one allowed access property relation for user access is defined when accessed by the unauthorized user. A URI of a user access request of unauthorized user is checked in the exception list. A One-Time URI is created. The One-Time URI is assigned to the electronic data resource according to the allowed property relation. The requested is delivered to the unauthorized user by using the assigned One-Time URI. | 05-09-2013 |
20130118008 | THERMAL INTERFACE MATERIAL APPLICATION FOR INTEGRATED CIRCUIT COOLING - Techniques provide improved thermal interface material application in an assembly associated with an integrated circuit package. For example, an apparatus comprises an integrated circuit module, a printed circuit board, and a heat transfer device. The integrated circuit module is mounted on a first surface of the printed circuit board. The printed circuit board has at least one thermal interface material application via formed therein in alignment with the integrated circuit module. The heat transfer device is mounted on a second surface of the printed circuit board and is thermally coupled to the integrated circuit module. The second surface of the printed circuit board is opposite to the first surface of the printed circuit board. | 05-16-2013 |
20130119339 | MEMORY CELL WITH POST DEPOSITION METHOD FOR REGROWTH OF CRYSTALLINE PHASE CHANGE MATERIAL - A phase change memory cell with substantially void free crystalline phase change material. An example memory cell includes a substrate and a bottom electrode carried by the substrate. The bottom electrode is a thermal conductor. A phase change layer includes phase change material. The phase change layer is void free within a switching region when the phase change material is in a crystalline phase. A top electrode is positioned over the phase change layer. | 05-16-2013 |
20130119350 | SEMICONDUCTOR STRUCTURE AND CIRCUIT INCLUDING ORDERED ARRANGEMENT OF GRAPHENE NANORIBBONS, AND METHODS OF FORMING SAME - A semiconductor structure including an ordered array of parallel graphene nanoribbons located on a surface of a semiconductor substrate is provided using a deterministically assembled parallel set of nanowires as an etch mask. The deterministically assembled parallel set of nanowires is formed across a gap present in a patterned graphene layer utilizing an electric field assisted assembly process. A semiconductor device, such as a field effect transistor, can be formed on the ordered array of parallel graphene nanoribbons. | 05-16-2013 |
20130119447 | NON-UNIFORM GATE DIELECTRIC CHARGE FOR PIXEL SENSOR CELLS AND METHODS OF MANUFACTURING - A non-uniform gate dielectric charge for pixel sensor cells, e.g., CMOS optical imagers, and methods of manufacturing are provided. The method includes forming a gate dielectric on a substrate. The substrate includes a source/drain region and a photo cell collector region. The method further includes forming a non-uniform fixed charge distribution in the gate dielectric. The method further includes forming a gate structure on the gate dielectric. | 05-16-2013 |
20130119483 | SILICIDE CONTACTS HAVING DIFFERENT SHAPES ON REGIONS OF A SEMICONDUCTOR DEVICE - A structure and method for fabricating silicide contacts for semiconductor devices is provided. Specifically, the structure and method involves utilizing chemical vapor deposition (CVD) and annealing to form silicide contacts of different shapes, selectively on regions of a semiconductor field effect transistor (FET), such as on source and drain regions. The shape of silicide contacts is a critical factor that can be manipulated to reduce contact resistance. Thus, the structure and method provide silicide contacts of different shapes with low contact resistance, wherein the silicide contacts also mitigate leakage current to enhance the utility and performance of FETs in low power applications. | 05-16-2013 |
20130119536 | METHOD FOR FORMING STUDS USED FOR SELF-ALIGNMENT OF SOLDER BUMPS - A method and a combination of studs, silicon chips, and solder bumps configured to restrict motion of a plurality of silicon chips. The combination includes: a plurality of studs, a plurality of silicon chips, a plurality of target solder bumps, where the plurality of solder bumps are melted between the plurality of silicon chips, where lateral positions of the plurality of studs are in accord with a pitch of the plurality of target solder bumps by using the pitch as a reference, where (i) lateral positions and lateral widths of studs of the plurality of studs located at a first silicon chip of the plurality of silicon chips and (ii) lateral positions and lateral widths of studs of the plurality of studs located at a second silicon chip of the plurality of silicon chips are restricted such that relative lateral motion on the respective silicon chips is restricted. | 05-16-2013 |
20130120055 | SOLUTIONS FOR CONTROLLING BULK BIAS VOLTAGE IN AN EXTREMELY THIN SILICON-ON-INSULATOR (ETSOI) INTEGRATED CIRCUIT CHIP - Solutions for optimizing a bulk bias across a substrate of an ETSOI device are disclosed. In one embodiment, an apparatus for optimizing a bulk bias across a substrate of an ETSOI device is disclosed, including: a sensing circuit for sensing at least one predetermined circuit parameter; a charging circuit for applying a bias voltage to the substrate of the ETSOI device; and a processing circuit connected to the sensing circuit and the charging circuit, the processing circuit configured to receive an output of the sensing circuit, and adjust the bias voltage applied to substrate of the ETSOI device in response to determining whether the bias voltage deviates from a target amount. | 05-16-2013 |
20130120612 | Content Storage Management in Cameras - An embodiment of the invention includes a method for managing media files created by a digital camera. More specifically, a content analyzing module tags a media file with one or more location media tags, event media tags, and/or content media tags. The location media tags are indicative of the geographic location where the media file was created. The event media tags are indicative of an event where the media file was created; and, the content media tags are indicative of individual(s) in the media file. A priority analyzing module connected to the content analyzing module determines a priority of the media file based on priority rules and the location media tags, event media tags, and/or the content media tags. A storage management module connected to the priority analyzing module stores the media file based on the determined priority. | 05-16-2013 |
20130120872 | MAGNETIC WRITER HAVING MULTIPLE GAPS WITH MORE UNIFORM MAGNETIC FIELDS ACROSS THE GAPS - A magnetic device according to one embodiment includes a source of flux; a magnetic pole coupled to the source of flux, the magnetic pole having two or more gaps; and a low reluctance path positioned towards at least one of the gaps and not positioned towards at least one other of the gaps for affecting a magnetic field formed at the at least one of the gaps when the source of flux is generating flux. Other disclosed embodiments include devices having coil turns with a non-uniform placement in the magnetic yoke for altering a magnetic field formed at the at least one of the gaps during writing. In further embodiments, a geometry of the magnetic pole near or at one of the gaps is different than a geometry of the magnetic pole near or at another of the gaps to help equalize fields formed at the gaps when the source of flux is generating flux. | 05-16-2013 |
20130121134 | FAIL TO WIRE REMOVABLE MODULE FOR NETWORK COMMUNICATION LINK - A fail-to-wire (FTW) module that preserves a primary data path connection from an upstream computer to a downstream computer if there is any kind of failure in a breakout data path to a breakout system. The FTW module provides switches between the incoming data network data and the breakout system such that when the breakout system encounters a failure, the switches are de-activated to bypass the breakout system. The switches in the FTW module are activated by a system health signal from the breakout system. The breakout system can be serviced without interrupting the data network connections since the FTW module can be extracted from the failed breakout system with data network connections intact. The FTW module is preferably a compact modular element that fits within the breakout chassis and is easily inserted and removed from the breakout system during servicing operations. | 05-16-2013 |
20130121135 | DATA BREAKOUT APPLIANCE AT THE EDGE OF A MOBILE DATA NETWORK - Mobile network services are performed in an appliance in a mobile data network in a way that is transparent to most of the existing equipment in the mobile data network. The mobile data network includes a radio access network and a core network. The appliance in the radio access network breaks out data coming from a basestation, and performs one or more mobile network services at the edge of the mobile data network based on the broken out data. The appliance has defined interfaces and defined commands on each interface that allow performing all needed functions on the appliance without revealing details regarding the hardware and software used to implement the appliance. This appliance architecture allows performing new mobile network services at the edge of a mobile data network within the infrastructure of an existing mobile data network. | 05-16-2013 |
20130121250 | DATA BREAKOUT AT THE EDGE OF A MOBILE DATA NETWORK - Mobile network services are performed in a mobile data network in a way that is transparent to most of the existing equipment in the mobile data network. The mobile data network includes a radio access network and a core network. A first service mechanism in the radio access network breaks out data coming from a basestation, and performs one or more mobile network services at the edge of the mobile data network based on the broken out data. These services may include caching of data, data or video compression techniques, push-based services, charging, application serving, analytics, security, data filtering, and new revenue-producing services, as well as others. This architecture allows performing new mobile network services at the edge of a mobile data network within the infrastructure of an existing mobile data network. | 05-16-2013 |
20130121339 | SPLITTING AND SHARING ROUTING INFORMATION AMONG SEVERAL ROUTERS ACTING AS A SINGLE BORDER ROUTER - Routers split and share routing information among several routers within a group of routers acting as a single border router in an Internet protocol (IP) network, each router comprising a routing table. A router of the group selects routes in the routing table of the router; requests other routers of the group to replace, in their routing tables, each selected route with the router as next hop; associates parts of-non selected routes, each one with another router of the group; and removes and replaces, in the routing table, each-non selected route associated with a router of the group by the associated router as next hop. | 05-16-2013 |
20130121582 | HANDWRITTEN CHARACTER RECOGNITION BASED ON FREQUENCY VARIATIONS IN CHARACTERS - A system for recognizing handwriting. A handwritten character is captured as an image of black pixels and white pixels. The image is partitioned into segments, each of which having a pixel ratio of a total number of black pixels in the segment to a total number of black pixels in the image. A reference character has segments corresponding to the image segments. Each reference character segment has a value range of a pixel ratio of a total number of black pixels in the segment of the reference character to a total number of black pixels in the reference character. It is ascertained that the pixel ratio of more than a predetermined number of segments in the image are within the value range of the pixel ratio of the corresponding segments of the reference character, from which, the handwritten character is recognized as the reference character. | 05-16-2013 |
20130121583 | HANDWRITTEN CHARACTER RECOGNITION BASED ON FREQUENCY VARIATIONS IN CHARACTERS - A method and computer program product for recognizing handwriting. A handwritten character is captured as an image of black pixels and white pixels. The image is partitioned into segments, each of which having a pixel ratio of a total number of black pixels in the segment to a total number of black pixels in the image. A reference character has segments corresponding to the image segments. Each reference character segment has a value range of a pixel ratio of a total number of black pixels in the segment of the reference character to a total number of black pixels in the reference character. It is ascertained that the pixel ratio of more than a predetermined number of segments in the image are within the value range of the pixel ratio of the corresponding segments of the reference character, from which, the handwritten character is recognized as the reference character. | 05-16-2013 |
20130121893 | MICROFLUIDIC DEVICE WITH DEFORMABLE VALVE - A microfluidic device includes a first microchannel, a second microchannel, and a valve comprising at least an input port and an output port, the ports respectively connected to the first microchannel and the second microchannel, the valve designed to control a flow of a liquid along a flow direction (z) defined by the ports; wherein the valve further comprises one or more walls joining the ports and defining a hollow chamber that is wider than each of the microchannels in a direction perpendicular to the flow direction, the walls at least partly deformable along a deformation direction (−y) intersecting the flow direction, such that the walls can be given at least a first deformation state and a second deformation state, such that the liquid can be pulled along the flow direction substantially more in the second deformation state than in the first deformation state. | 05-16-2013 |
20130122661 | UNDERFILL FLOW GUIDE STRUCTURES AND METHOD OF USING SAME - Underfill flow guide structures and methods of using the same are provided with a module. In particular the underfill flow guide structures are integrated with a substrate and are configured to prevent air entrapment from occurring during capillary underfill processes. | 05-16-2013 |
20130122665 | Method of Manufacturing a Thin Box Metal Backgate Extremely Thin SOI Device - SOI structures with silicon layers less than 20 nm thick are used to form ETSOI semiconductor devices. ETSOI devices are manufactured using a thin tungsten backgate encapsulated by thin nitride layers to prevent metal oxidation, the tungsten backgate being characterized by its low resistivity. The structure includes at least one FET having a gate stack formed by a high-K metal gate and a tungsten region superimposed thereon, the footprint of the gate stack utilizing the thin SOI layer as a channel. The SOI structure thus formed controls the Vt variation from the thin SOI thickness and dopants therein. The ETSOI high-K metal backgate fully depleted device in conjunction with the thin BOX provides an excellent short channel control and lowers the drain induced bias and sub-threshold swings. The structure supports the evidence of the stability of the wafer having a tungsten film during thermal processing, during STI and contact formation. | 05-16-2013 |
20130122668 | METHOD FOR FORMING AND STRUCTURE OF A RECESSED SOURCE/DRAIN STRAP FOR A MUGFET - A method and semiconductor structure includes an insulator layer on a substrate, a plurality of parallel fins above the insulator layer. Each of the fins has a central semiconductor portion and conductive end portions. At least one conductive strap is positioned within the insulator layer below the fins. The conductive strap can be perpendicular to the fins and contact the fins. The conductive strap includes recessed portions disposed within the insulator layer, below the plurality of fins, and between each of the plurality of fins, and projected portions disposed above the insulator layer, collinear with each of the plurality of fins. The conductive strap is disposed in at least one of a source region and a drain region of the semiconductor structure. A gate insulator contacts and covers the central semiconductor portion of the fins, and a gate conductor covers and contacts the gate insulator. | 05-16-2013 |
20130122856 | DATA CACHING AT THE EDGE OF A MOBILE DATA NETWORK - Mobile network services are performed in a mobile data network in a way that is transparent to most of the existing equipment in the mobile data network. The mobile data network includes a radio access network and a core network. An edge caching mechanism is provided within a basestation to perform caching of data at the edge of the mobile data network. The edge caching mechanism analyzes historical usage data that indicates historical accesses to the basestation. Data patterns in the historical usage data may be used to define cache load criteria and to optionally define cache flush criteria. This architecture allows caching of data at the edge of a mobile data network within the infrastructure of an existing mobile data network. | 05-16-2013 |
20130122894 | MITIGATING EFFECTS OF PREDICTED FAILURES IN A MOBILE NETWORK BASESTATION DUE TO WEATHER - Basestation equipment in a mobile data network is subject to harsh environmental conditions at many remote locations. International Business Machines Corporation (IBM) has introduced a Mobile Internet Optimization Platform (MIOP) appliance, referred herein as the MIOP@NodeB. This appliance is placed at the edge or basestation of a mobile data network to provide a platform for hosting applications and enhancing mobile network services. The introduction of an edge appliance provides a platform for additional reliability functions. A predictive failure mechanism in the basestation appliance mitigates the effects of predicted failures in a mobile network basestation due to weather conditions. The predictive failure mechanism considers historical data, ambient environmental conditions, weather alerts and weather forecasts to take pre-emptive action to avert partial or total failure of the basestation equipment. | 05-16-2013 |
20130123458 | CATALYTIC POLYMERIZATION OF POLYMERS CONTAINING ELECTROPHIIC LINKAGES USING NUCLEOPHILIC REAGENTS - The disclosure relates to methods and materials useful for polymerizing a monomer. In one embodiment, for example, the disclosure provides a method for polymerizing a monomer containing a plurality of electrophilic groups, wherein the method comprises contacting the monomer with a nucleophilic reagent in the presence of a guanidine-containing catalyst. The methods and materials of the disclosure find utility, for example, in the field of materials science. | 05-16-2013 |
20130124086 | GENERATING OPTIMAL ITINERARIES BASED ON NETWORK CONNECTIVITY - An approach for generating optimal itineraries based on network connectivity is presented. A computer receives a first message from which a current position of a vehicle on a trip, destination, a service being requested for a mobile device in the vehicle, service providers and network(s) are extracted. Network connectivity measures are retrieved and signal qualities in respective cells are determined based on the network connectivity measures. Based on the signal qualities, an itinerary is determined as paths and a hop indicating a position on one of the paths at which a connectivity change from a first to a second service provider is to occur. A response to the first message indicates the itinerary. Sending the response results in the mobile device making the connectivity change when the vehicle reaches the position indicated by the hop. | 05-16-2013 |
20130124087 | GENERATING OPTIMAL ITINERARIES BASED ON NETWORK CONNECTIVITY - An approach for generating optimal itineraries is presented. As a response to a first message sent to a remote computer system by a global positioning device transported by a vehicle on a trip, the device receives a second message indicating optimal itineraries of the trip. The device receives a selection of an optimal itinerary indicating a path, a hop, an updated service provider to provide a service to a mobile device transported by the vehicle, and an updated network for providing the service. The device detects the vehicle at a position indicated by the hop, and in response, generates a third message indicating the updated service provider and the updated network. The global positioning device sends the third message to the mobile device and as a result, the mobile device makes a connectivity change to the updated service provider and/or to the updated network. | 05-16-2013 |
20130124245 | Determining Availability Based on Percentage Available - An embodiment of the invention provides a system and method for displaying the scheduling availability of a user. The system includes an occupancy module for analyzing the schedule of the user to determine the occupancy for a time period in the schedule. The time period includes an available time slot and/or an occupied time slot. A processor connected to the occupancy module determines whether the occupancy for the time period is above a threshold level of occupancy. The threshold level of occupancy includes a maximum number of occupied time slots, a maximum percentage of occupied time slots, a maximum amount of occupied time, and/or a maximum percentage of occupied time. A scheduling module connected to the processor automatically displays the scheduling availability of the user as unavailable for the time slot when the occupancy for the time period is above the threshold level of occupancy. | 05-16-2013 |
20130124246 | CATEGORY BASED ORGANIZATION AND MONITORING OF CUSTOMER SERVICE HELP SESSIONS - A method and computer readable medium are provided for monitoring customer support sessions based on at least one category associated with the customer support sessions. A request from an administrator for information associated with unresolved support sessions is received. A set of support categories is presented to the administrator. A selection of at least one support category is received from the administrator. A set of first level customer support agent identifiers associated with at least one support session queued under the at least one support category which has been selected is presented to the administrator. | 05-16-2013 |
20130124251 | OPTIMAL PLANNING OF BUILDING RETROFIT FOR A PORTFOLIO OF BUILDINGS - Generating an optimal planning of building retrofit for a portfolio of buildings may include providing a plurality of objective functions that may be selected for maximizing cost reduction, maximizing green house gas emission reduction, or maximizing energy reduction, or combinations thereof. The objective function may be solved based on information including at least a retrofit cost for retrofitting a building, payback period specifying the length of time needed to recover the retrofit cost, a budget available for retrofitting the building, expected price of energy, estimated energy savings from retrofitting and estimated green house gas emission from retrofitting. The planning of building retrofit may be generated based on the solutions of one or more of the objective functions, which may provide for an optimal plan of building retrofit. | 05-16-2013 |
20130124267 | Cohort Cost Analysis and Workload Migration - An approach is provided to analyze data center performance. The approach includes analyzing the data centers, with each of the data centers are represented by a plurality of data center records. Available capacity at each of the data centers is identified based on the selected data center's set of data center records. In addition, a current workload currently being performed by each of the data centers is identified. The approach compares the identified current workload of each data center with the identified available capacity at the other data centers. Data centers are added to a consolidation consideration list in response to the comparisons. The consolidation consideration list is further analyzed to select data centers for consolidation or elimination. | 05-16-2013 |
20130124279 | Location of Available Passenger Seats in a Dynamic Transporting Pool - An embodiment of the invention provides a system including an interface for receiving a request from a rider, wherein the request includes a start location of the rider and an end location of the rider. A vehicle identification module connected to the interface identifies at least one vehicle located within a threshold distance from the start location. A communications module connected to the vehicle identification module sends the request to a driver of the vehicle. A validation module connected to the interface verifies that the rider and the driver and/or the vehicle were each located at the start location at the same time, and verifies that the rider and thdriver and/or the vehicle were each located at the end location at the same time. | 05-16-2013 |
20130124446 | DETECTING DISALLOWED COMBINATIONS OF DATA WITHIN A PROCESSING ELEMENT - Techniques are described for detecting disallowed combinations of data within a processing element. Embodiments of the invention may generally receive data to be processed using the processing element and determine whether the received data and a current working state violate one or more rules describing disallowed combinations of data. If a disallowed combination is detected, embodiments of the invention may handle the processing of the received data in an alternate way that prevents disallowed combinations of data within the processing element. | 05-16-2013 |
20130124450 | ADAPTIVE BUSINESS PROCESS AUTOMATION - A method, system and computer software product are provided for process automation. The method includes monitoring one or more workstations to obtain multiple sets of character and graphical information including user actions, times and durations associated with the user actions, user-entered data, and retrieved character and graphical screen data. The method further includes identifying functional events from the sets, defining focal states as respective historic patterns of the functional events, and generating a set of facilitating scripts associated with respective ones of the focal states. The facilitating scripts each provide one or more automatic actions in the workstations. At least a portion of the set of facilitating scripts are then executed. | 05-16-2013 |
20130124468 | REPLICATION OF DEDUPLICATED DATA - Various embodiments for replicating deduplicated data using a processor device are provided. A block of the deduplicated data, created in a source repository, is assigned a global block identifier (ID) unique in a grid set inclusive of the source repository. The global block ID is generated using at least one unique identification value of the block, a containing grid of the grid set, and the source repository. The global block ID is transmitted from the source repository to a target repository. If the target repository determines the global block ID is associated with an existing block of the deduplicated data located within the target repository, the block is not transmitted to the target repository during a subsequent replication process. | 05-16-2013 |
20130124486 | DATA STORAGE WITH SNAPSHOT-TO-SNAPSHOT RECOVERY - Embodiments of the present invention provide methods, apparatuses, systems, and computer software products for data storage. A corrupted node under a first meta-volume node in a hierarchical tree structure is deleted. The hierarchical tree structure further includes a source node under the first meta-volume node. The corrupted node and the source node each include a respective set of local pointers. The corrupted node and the source node represent respective copies of a logical volume. The source node is reconfigured to become a second meta-volume node having the same set of local pointers as the source node. A first new node is created under the second meta-volume node in the hierarchical tree structure to represent the corrupted node. A second new node is created under the second meta-volume node to represent the source node. The first and second new nodes are configured to have no local pointers. | 05-16-2013 |
20130124487 | Deduplication of data object over multiple passes - In each of a number of passes to deduplicate a data object, a transaction is started. Where an offset into the object has previously been set, the offset is retrieved; otherwise, the offset is set to reference a beginning of the object. A portion of the object beginning at the offset is deduplicated until an end-of-transaction criterion has been satisfied. The transaction is ended to commit deduplication; where the object has not yet been completely deduplicated, the offset is moved just past where deduplication has already occurred. The object is locked during each pass; other processes cannot access the object during each pass, but can access the object between passes. Each pass is relatively short, so the length of time in which the object is inaccessible is relatively short. By comparison, deduplicating an object within a single pass prevents other processes from accessing the object for a longer time. | 05-16-2013 |
20130124489 | COMPRESSING A MULTIVARIATE DATASET - A method, computer program product and system for compressing a multivariate dataset. A dataset is selected that includes a plurality of variates. A first compression method is applied to the values of a first variate of the dataset. A second compression method is applied to the values of a second variate of the dataset, where the second compression method is arranged to compress the second variate values relative to the variation of the corresponding first variate values. | 05-16-2013 |
20130124514 | HIERARCHICAL RANKING OF FACIAL ATTRIBUTES - In response to a query of discernable facial attributes, the locations of distinct and different facial regions are estimated from face image data, each relevant to different attributes. Different features are extracted from the estimated facial regions from database facial images, which are ranked in base layer rankings by matching feature vectors to a base layer ranking sequence as a function of edge weights. Second-layer rankings define second-layer attribute vectors as combinations of the base-layer feature vectors and associated base layer parameter vectors for common attributes, which are matched to a second-layer ranking sequence as a function of edge weights. The images are thus ranked for relevance to the query as a function of the second-layer rankings. | 05-16-2013 |
20130124520 | GENERATION OF SERVICE SPECIFICATION OF A SERVICE ORIENTED ARCHITECTURE (SOA) SOLUTION - A system and associated method for automatically generating a service specification of a Service Oriented Architecture (SOA) solution. A process model framework and a data model framework are received as inputs. Processes in the process model framework perform services of various complexity levels. Processes are decomposed into a respective set of atomic service processes in the lowest complexity level and data objects are extracted from the decomposed atomic service processes. The data objects are associated with data elements of the data model framework. The data model framework is extended and flexibility patterns are added for reusability of the service specification. The service specification of the SOA solution is generated as process interfaces represented with the data objects according to inputs from a user customizing aspects of the service specification, for either a desired service of the SOA solution or a desired process in the process model framework. | 05-16-2013 |
20130124521 | METHOD, APPARATUS, AND PROGRAM FOR SUPPORTING CREATION AND MANAGEMENT OF METADATA FOR CORRECTING PROBLEM IN DYNAMIC WEB APPLICATION - Method to support creation and management of metadata for correcting a problem in a dynamic web application. A support apparatus includes a metadata creation section, a block extraction section, a similar-block search section, and a metadata generalizing section. In response to correction of a problem by a corrector, the support apparatus implements methods to create metadata including the details of the correction and application conditions, that is, a global variable group and cookie information that identify the state of the web application in which the problem has occurred, extracts another block to which the created metadata is applicable from an operation log by using a semantic block including the location of the problem as a clue, and generalizes the application conditions of the created metadata by converting them to a key-value pair structure by using the other block and by extracting a common portion therefrom. | 05-16-2013 |
20130124541 | COLLABORATIVE BOOKMARKING - A method and system for collaborating tags in a bookmarking system wherein the bookmarking system includes a plurality of tags applied to content items by a plurality of users, the method and system including, examining all the tags that are applied to all the content items, determining whether two tags have been assigned to the same content item, if two tags have been assigned to the same content item, computing the relative strength of each of the two tags with respect to each other. | 05-16-2013 |
20130124543 | SYSTEM AND METHOD FOR PROVIDING AND/OR OBTAINING ELECTRONIC DOCUMENTS - A system and method comprising filtering electronic data on a transaction per transaction basis and replicating the filtered electronic data in response to a request to obtain electronic data on the transaction per transaction basis. The system and method further includes providing the filtered replicated electronic data for viewing. | 05-16-2013 |
20130124570 | REGISTRATION OF CIM AGENT TO MANAGEMENT AGENT AND SYSTEM - A Common Information Model (CIM) agent is registered to a management agent in a computing environment by a processor device. The management agent is discovered by the CIM agent in a management domain in response to an insertion of the CIM agent into the management domain. At least one of information of the CIM agent and the discovered management agent is registered by the CIM agent. The management agent is compliant with the CIM agent. The management agent converts a CIM schema of the CIM agent into a schema at the management agent identifiable by the management agent. | 05-16-2013 |
20130124599 | DYNAMIC RESOURCE ADJUSTMENT FOR A DISTRIBUTED PROCESS ON A MULTI-NODE COMPUTER SYSTEM - A method dynamically adjusts the resources available to a processing unit of a distributed computer process executing on a multi-node computer system. The resources for the processing unit are adjusted based on the data other processing units handle or the execution path of code in an upstream or downstream processing unit in the distributed process or application. | 05-16-2013 |
20130124658 | INTEGRATION OF COLLABORATION SYSTEMS IN AN INSTANT MESSAGING APPLICATION - A user of an instant messaging application may interact with instant messaging contacts in other collaboration applications (e.g., e-mail, calendar applications, etc.). IM conversations may relate to information in the collaboration applications. For example, an employee may send an e-mail containing marketing data to his manager and wishes to discuss the data further. The employee sends an IM to the manager referencing the data. The manager should read the e-mail before responding, but the manager may not know that she received the e-mail. Information from a plurality of collaboration applications can be integrated into an instant messaging application. A collaboration integration unit can collect and associate information from the collaboration applications with instant messaging contacts and provide suggestions based on the information. In the previous example, the manager's instant messaging application collects information from an e-mail application and indicates that she has an unread e-mail from the employee. | 05-16-2013 |
20130124665 | ADMINISTERING AN EPOCH INITIATED FOR REMOTE MEMORY ACCESS - Methods, systems, and products are disclosed for administering an epoch initiated for remote memory access that include: initiating, by an origin application messaging module on an origin compute node, one or more data transfers to a target compute node for the epoch; initiating, by the origin application messaging module after initiating the data transfers, a closing stage for the epoch, including rejecting any new data transfers after initiating the closing stage for the epoch; determining, by the origin application messaging module, whether the data transfers have completed; and closing, by the origin application messaging module, the epoch if the data transfers have completed. | 05-16-2013 |
20130124666 | MANAGING INTERNODE DATA COMMUNICATIONS FOR AN UNINITIALIZED PROCESS IN A PARALLEL COMPUTER - A parallel computer includes nodes, each having main memory and a messaging unit (MU). Each MU includes computer memory, which in turn includes, MU message buffers. Each MU message buffer is associated with an uninitialized process on the compute node. In the parallel computer, managing internode data communications for an uninitialized process includes: receiving, by an MU of a compute node, one or more data communications messages in an MU message buffer associated with an uninitialized process on the compute node; determining, by an application agent, that the MU message buffer associated with the uninitialized process is full prior to initialization of the uninitialized process; establishing, by the application agent, a temporary message buffer for the uninitialized process in main computer memory; and moving, by the application agent, data communications messages from the MU message buffer associated with the uninitialized process to the temporary message buffer in main computer memory. | 05-16-2013 |
20130124723 | BUILDING AND SWITCHING IP MULTIMEDIA SESSIONS - Embodiments of the present disclosure include methods and systems of building an IP multimedia session without the use of a central server and switching IP multimedia session among devices. The method of building an IP multimedia session includes receiving a registering request from a plurality of IP multimedia session participant devices, electing a service device from the plurality of participant devices, and building IP multimedia session among the plurality of participant devices by using the elected service device. | 05-16-2013 |
20130124726 | DYNAMIC RESOURCE ADJUSTMENT FOR A DISTRIBUTED PROCESS ON A MULTI-NODE COMPUTER SYSTEM - A method dynamically adjusts the resources available to a processing unit of a distributed computer process executing on a multi-node computer system. The resources for the processing unit are adjusted based on the data other processing units handle or the execution path of code in an upstream or downstream processing unit in the distributed process or application. | 05-16-2013 |
20130124786 | MEMORY MODULE AND MEMORY CONTROLLER FOR CONTROLLING A MEMORY MODULE - The memory module having a plurality of memory chips and a plurality of connections for connecting the memory module to a processor. At least part of the connections is configurable to be grouped into N sets of address and control connections for N separatively controllable groups of memory chips of the plurality of memory chips (N≧2). | 05-16-2013 |
20130124812 | FACILITATION OF SIMULTANEOUS STORAGE INITIALIZATION AND DATA DESTAGE - Various embodiments for storage initialization and data destage in a computing storage environment are provided. At least a portion of data on a storage device is initialized using a background process, while one of simultaneously and subsequently destaging the at least the portion of the data to the storage device using a foreground process is performed. A persistent metadata bitmap, adapted to indicate whether the at least the portion of the data has been initialized, is staged to cache, the cache operable in the computing storage environment. The background process maintains a volatile bitmap indicating a status of the initialization of the at least the portion of the data in direct correspondence to the metadata bitmap. As the background process initializes the at least the portion of the data, an applicable bit on the persistent metadata bitmap is cleared and a corresponding bit is set on the volatile bitmap. | 05-16-2013 |
20130124813 | METHODS AND SYSTEMS FOR FORMATTING STORAGE VOLUMES - Methods, systems, and computer storage mediums including a computer program product method for formatting storage volumes are provided. One method includes creating a storage volume including a global counter and partitioned into multiple storage segments including a segment counter and partitioned into multiple stripes, wherein the global counter and each segment counter match at an initial time. The method further includes releasing the storage segments prior to completely reformatting the storage volume and subsequently incrementing the global counter such that the global counter and each segment counter no longer match at a subsequent time. The method further includes enabling a user to write data to the storage segments prior to completely reformatting the storage volume in response to incrementing the global counter. One system includes a processor for performing the above method and one computer storage medium includes a computer program product configured to perform the above method. | 05-16-2013 |
20130124817 | INFORMATION PROCESSING APPARATUS - Performing data processing for data sets stored in each of a plurality of storage devices includes collecting access data indicating details of accesses to each of the plurality of storage devices and computing predicted changes in access frequency for each of the storage devices on the basis of the access data for each of the plurality of storage devices in response to a request to reserve a storage area for storing a new data set. A storage device in which the storage area for storing the new data set is to be reserved is selected from among the plurality of storage devices on the basis of the predicted changes for each storage device. | 05-16-2013 |
20130124882 | Smart Power Sockets, Boards, and Plugs - An approach is provided where a smart socket receives a request over a power line and generates a request based on the received request. The second request is transmitted over a power cord connecting the smart power socket to a device. A response is received from the device and a power setting is identified therefrom. The smart socket regulates electrical current flowing from the smart power socket to the device using the identified setting. In a related approach, the device receives a power down request over a power cord from a smart power socket. The device determines whether power is still needed at the device in order to perform one or more device operations. The device then returns a response to the smart power socket, with the response indicating whether power is still needed at the device. | 05-16-2013 |
20130125030 | ACCESSIBLE LIST NAVIGATION - Embodiments of the present invention provide a method, apparatus and computer program product for accessible list navigation. In one embodiment of the invention, a user interface article of manufacture configured for accessible list navigation can include markup defining a list including multiple list item, an obscured marker associated with at least one of the list items, and jump logic disposed in the obscured marker. Specifically, the jump logic can be programmed to navigate to a specified different one of the list items responsive to an activation of the obscured marker. Finally, alternate text can be disposed in the obscured marker which identifies the different one of the list items. As such, assistive technology can be programmed to read back the alternate text responsive to an activation of the obscured marker. | 05-16-2013 |
20130125079 | ON CHIP INDUCTOR WITH FREQUENCY DEPENDENT INDUCTANCE - A set of metal line structures including a signal transmission metal line and a capacitively-grounded inductively-signal-coupled metal line is embedded in a dielectric material layer. A capacitor is serially connected between the capacitively-grounded inductively-signal-coupled metal line and a local electrical ground, which may be on the input side or on the output side. The set of metal line structures and the capacitor collective provide a frequency dependent inductor. The Q factor of the frequency dependent inductor has multiple peaks that enable the operation of the frequency dependent inductor at multiple frequencies. Multiple capacitively-grounded inductively-signal-coupled metal lines may be provided in the frequency-dependent inductor, each of which is connected to the local electrical ground through a capacitor. By selecting different capacitance values for the capacitors, multiple values of the Q-factor may be obtained in the frequency dependent inductor at different signal frequencies. | 05-16-2013 |
20130125095 | AUTOMATED ANALYSIS OF COMPOSITE APPLICATIONS - An application analysis mechanism analyzes a composite application for conformance to a set of component interaction rules that define one or more conditions that should be satisfied between components written in different languages, along with an action that is performed depending on the analysis of the conditions. Once the component interaction rules are defined, the composite application is received. Next, a model of the composite application is built that separates components of the composite application into categories based on the programming language used, and includes metadata to describe interaction between components. The set of component interaction rules is then run against the model of the composite application. The results of the analysis are then output. The automated analysis of composite applications removes much of the process that is prone to human errors to provide an efficient and consistent approach for analyzing composite applications. | 05-16-2013 |
20130125103 | Information Processing Device, Profile Target Determining Program, and Method - The information processing system of the present invention includes a profile information collection unit for storing access-related profile information in response to detecting access to an object set as a profile target on the basis of the sampling frequency for each class or object allocation site during the execution of a program, and a sampling frequency updating unit for calculating the allocation percentage of an object having properties used in the optimization of the program at each class or object allocation site on the basis of profile information, and for reducing the sampling frequency regarding a class or object allocation site in which the calculated percentage is below a predetermined threshold value. | 05-16-2013 |
20130125110 | SOFTWARE INSTALLATION - A method of installing software receives installation task data including a plurality of installation tasks. The plurality of installation tasks includes at least one user-modifiable installation task and at least one user-unmodifiable installation task. The method receives task order data defining a requisite order of execution. The method executes each of the plurality of installation tasks in accordance with the requisite order, wherein executing each user-modifiable installation task comprises altering and executing at least one installation command for the user-modifiable installation task in response to receiving a first user input. | 05-16-2013 |
20130125128 | REALIZING JUMPS IN AN EXECUTING PROCESS INSTANCE - A method for realizing jumps in an executing process instance can be provided. The method can include suspending an executing process instance, determining a current wavefront for the process instance and computing both a positive wavefront difference for a jump target relative to the current wavefront and also a negative wavefront difference for the jump target relative to the current wavefront. The method also can include removing activities from consideration in the process instance and also adding activities for consideration in the process instance both according to the computed positive wavefront difference and the negative wavefront difference, creating missing links for the added activities, and resuming executing of the process instance at the jump target. | 05-16-2013 |
20130125135 | INTRANODE DATA COMMUNICATIONS IN A PARALLEL COMPUTER - Intranode data communications in a parallel computer that includes compute nodes configured to execute processes, where the data communications include: allocating, upon initialization of a first process of a compute node, a region of shared memory; establishing, by the first process, a predefined number of message buffers, each message buffer associated with a process to be initialized on the compute node; sending, to a second process on the same compute node, a data communications message without determining whether the second process has been initialized, including storing the data communications message in the message buffer of the second process; and upon initialization of the second process: retrieving, by the second process, a pointer to the second process's message buffer; and retrieving, by the second process from the second process's message buffer in dependence upon the pointer, the data communications message sent by the first process. | 05-16-2013 |
20130125140 | INTRANODE DATA COMMUNICATIONS IN A PARALLEL COMPUTER - Internode data communications in a parallel computer that includes compute nodes that each include main memory and a messaging unit, the messaging unit including computer memory and coupling compute nodes for data communications, in which, for each compute node at compute node boot time: a messaging unit allocates, in the messaging unit's computer memory, a predefined number of message buffers, each message buffer associated with a process to be initialized on the compute node; receives, prior to initialization of a particular process on the compute node, a data communications message intended for the particular process; and stores the data communications message in the message buffer associated with the particular process. Upon initialization of the particular process, the process establishes a messaging buffer in main memory of the compute node and copies the data communications message from the message buffer of the messaging unit into the message buffer of main memory. | 05-16-2013 |
20130125787 | PLANARIZATION OVER TOPOGRAPHY WITH MOLECULAR GLASS MATERIALS - Molecular glass based planarizing compositions for lithographic processing are disclosed. The processes generally include casting the planarizing composition onto a surface comprised of lithographic features, the planarizing composition comprising at least one molecular glass and at least one solvent; and heating the planarizing composition to a temperature greater than a glass transition temperature of the at least one molecular glass. Exemplary molecular glasses include polyhedral oligomeric silsesquioxane derivatives, calixarenes, cyclodextrin derivatives, and other non-polymeric large molecules. | 05-23-2013 |
20130125977 | Structure and Method of Fabricating a CZTS Photovoltaic Device by Electrodeposition - Techniques for using electrodeposition to form absorber layers in diodes (e.g., solar cells) are provided. In one aspect, a method for fabricating a diode is provided. The method includes the following steps. A substrate is provided. A backside electrode is formed on the substrate. One or more layers are electrodeposited on the backside electrode, wherein at least one of the layers comprises copper, at least one of the layers comprises zinc and at least one of the layers comprises tin. The layers are annealed in an environment containing a sulfur source to form a p-type CZTS absorber layer on the backside electrode. An n-type semiconductor layer is formed on the CZTS absorber layer. A transparent conductive layer is formed on the n-type semiconductor layer. A diode is also provided. | 05-23-2013 |
20130126610 | PAYMENT USING BOOKMARK IN MOBILE SHOPPING - System and method implementing functionality in physical stores that enables customers to bookmark an item which they will possibly purchase in the future, and to purchase the bookmarked item under the same condition as when the item was bookmarked if they decide to purchase the item later. A customer can purchase the item by bookmarking the item in advance. If a customer once misses a chance to purchase an item at a physical store, the customer needs to re-visit the store to purchase the item. In this system, a mobile device creates, as a bookmark, shopping information of an item that may be purchased in the future. Accordingly, the customer can make payment later under the condition that was offered when the shopping information was created, by loading this bookmark without visiting the store. | 05-23-2013 |
20130126881 | IMPLEMENTING SEMICONDUCTOR SOC WITH METAL VIA GATE NODE HIGH PERFORMANCE STACKED TRANSISTORS - A method and structures are provided for implementing metal via gate node high performance stacked vertical transistors in a back end of line (BEOL) on a semiconductor System on Chip (SoC). The high performance stacked vertical transistors include a pair of stacked vertical field effect transistors (FETs) formed by polycrystalline depositions in a stack between planes of a respective global signal routing wire. A channel length of each of the stacked vertical FETs is delineated by the polycrystalline depositions with sequential source deposition, channel deposition and drain deposition; and a wire via defines the gate node. | 05-23-2013 |
20130126911 | STRESS ENHANCED JUNCTION ENGINEERING FOR LATCHUP SCR - A latchup silicon controlled rectifier (SCR) includes a p+ region and an n+ region located in a p-well of the latchup SCR; and a p+ region and an n+ region located in a n-well of the latchup SCR, wherein the latchup SCR further comprises one of embedded silicon germanium (eSiGe) in the p+ region in the n-well of the latchup SCR and silicon carbide (SiC) in the n+ region in the p-well of the latchup SCR. | 05-23-2013 |
20130127035 | THICK BOND PAD FOR CHIP WITH CAVITY PACKAGE - Disclosed herein an image sensor chip, including a substrate having at least one via extending through at least one inter layer dielectric (ILD); a first conductive layer over the ILD, wherein the first conductive layer has a first thickness; a second conductive layer over the first conductive layer, wherein the second conductive layer has a second thickness of less than the first thickness; a polymer layer over the second conductive layer, the polymer layer including a cavity; a plurality of cavity components in the cavity; and protective layer contacting the polymer layer and covering the cavity. | 05-23-2013 |
20130127063 | SEMICONDUCTOR DEVICE HEAT DISSIPATION STRUCTURE - A heat generating component of a semiconductor device is located between two heavily doped semiconductor regions in a semiconductor substrate. The heat generating component may be a middle portion of a diode having a light doping, a lightly doped p-n junction between a cathode and anode of a silicon controlled rectifier, or a resistive portion of a doped semiconductor resistor. At least one thermally conductive via comprising a metal or a non-metallic conductive material is place directly on the heat generating component. Alternatively, a thin dielectric layer may be formed between the heat generating component and the at least one thermally conductive via. The at least one thermally conductive via may, or may not, be connected to a back-end-of-line metal wire, which may be connected to higher level of metal wiring or to a handle substrate through a buried insulator layer. | 05-23-2013 |
20130127067 | THROUGH SILICON VIA IN N+ EPITAXY WAFERS WITH REDUCED PARASITIC CAPACITANCE - A semiconductor device includes an epitaxy layer formed on semiconductor substrate, a device layer formed on the epitaxy layer, a trench formed within the semiconductor substrate and including a dielectric layer forming a liner within the trench and a conductive core forming a through-silicon via conductor, and a deep trench isolation structure formed within the substrate and surrounding the through-silicon via conductor. A region of the epitaxy layer formed between the through-silicon via conductor and the deep trench isolation structure is electrically isolated from any signals applied to the semiconductor device, thereby decreasing parasitic capacitance. | 05-23-2013 |
20130127723 | COLLAPSIBLE TRACKBALL - Some embodiments of the inventive subject matter may include a trackball to provide input to electronic computing device. The trackball can include and upper portion having an upper surface. The trackball can include a lower portion having a lower surface. The lower portion can be operatively connected to the upper portion, wherein the upper portion can combine with the lower portion to form a complete sphere, and wherein the upper portion can be housed inside the lower portion in a collapsed position. | 05-23-2013 |
20130127750 | FACILITATING OPERATION OF CONTROLS DISPLAYED IN A DISPLAY SURFACE INDEPENDENTLY OF THE SIZE OF THE DISPLAY SURFACE - A method, computer program product and system for facilitating operation of controls displayed in a display surface independently of the size of the display surface. The system includes a touchscreen which displays a screen on the display surface and which inputs an operation point operated by a user on the display surface, and a conversion unit that defines a point which is in a predetermined positional relationship with an operation point operated by the user on the touchscreen as an operation point to be operated. In response to input of an operation on an edge of the display surface, the conversion unit may define as the operation point to be operated a point that is positioned in a center area of the display surface except its edges and that is in a predetermined positional relationship with the operation point at which the edge of the display surface was operated. | 05-23-2013 |
20130128103 | Real-Time Adjustment Of Illumination Color Temperature For Digital Imaging Applications - An approach is provided to adjust illumination color temperature at a flash unit of a camera. Data that corresponds to the ambient light of a physical environment is collected, such as at a color temperature meter included in the camera. The ambient light has a distribution of color temperatures that cycle over a fixed time period. When a flash request is received, a time is calculated at which the flash unit will flash. One of the color temperatures is identified from the distribution of color temperatures with the identified color temperature being the predicted color of the ambient light that will be present in the physical environment when the flash unit flashes. The color temperature of the flash unit is set to the identified color temperature. | 05-23-2013 |
20130128413 | HIGH ENERGY DENSITY STORAGE MATERIAL DEVICE USING NANOCHANNEL STRUCTURE - A capacitor includes a plurality of nanochannels formed in a dielectric material. A conductive film is formed over interior surfaces of the nanochannels, and a charge barrier is formed over the conductive film. An electrolytic solution is disposed in the nanochannels. An electrode is coupled to the electrolytic solution in the nanochannels to form the capacitor. | 05-23-2013 |
20130128721 | SYSTEM TO IMPROVE AN ETHERNET NETWORK - A system to improve a Fibre Channel over Convergence Enhanced Ethernet (FCoCEE) network may include a sender in an FCoCEE network in which data packets having different data link layer structures are transmitted by the sender on a single data link. The system may also include a receiver to receive the data packets at the data link layer and to transmit an ACK and/or NAK in response to a sequence number in the data packets. The system may further include a replay buffer to retransmit the data packets where the replay buffer is sized by the length of the data link, data rate of the data link, the ACK and/or NAK processing time at either the sender and/or the receiver, and/or a threshold time for transmission and/or reception of the data packets. | 05-23-2013 |
20130130162 | Reticle Carrier - A reticle carrier for a polishing tool capable of accommodating a reticle includes a base plate with an obverse and reverse surfaces, a retaining ring secured to the obverse surface of the base plate forming a recess defined by the obverse surface of the rigid base plate and internal edges of the retaining ring. A reticle pad supports a reticle in the recess. The base plate and the reticle pad having an array of matching, aligned passageway holes therethrough for exhaustion of air from space between the base plate and a the reticle and for supply of air to that space so a vacuum can retain a the reticle in place on the reticle carrier under vacuum conditions and application of air under pressure can eject a reticle from the reticle carrier. | 05-23-2013 |
20130130462 | TUNABLE SEMICONDUCTOR DEVICE - Embodiments of the present invention include a method for forming a tunable semiconductor device. In one embodiment, the method comprises: forming a semiconductor substrate; patterning a first mask over the semiconductor substrate; doping regions of the semiconductor substrate not protected by the first mask to form a first discontinuous subcollector; removing the first mask; patterning a second mask over the semiconductor substrate; doping regions of the semiconductor substrate not protected by the second mask and on top of the first discontinuous subcollector to form a second discontinuous subcollector; removing the second mask; and forming a single continuous collector above the second discontinuous subcollector. | 05-23-2013 |
20130130489 | SEALED AIR GAP FOR SEMICONDUCTOR CHIP - A method for forming a sealed air gap for a semiconductor chip including forming a gate over a substrate; forming a sacrificial spacer adjacent to the gate; forming a first dielectric layer about the gate and the sacrificial spacer; forming a contact to the gate; substantially removing the sacrificial spacer, wherein a space is formed between the gate and the first dielectric layer; and forming a sealed air gap in the space by depositing a second dielectric layer over the first dielectric layer. | 05-23-2013 |
20130130515 | CONNECTOR WITH COMPLIANT SECTION - An apparatus, method and computing device including a card edge contact system is provided. A card edge connector housing for receiving a card is provided. A substrate is provided and spaced a distance away from a housing base portion of the card edge connector housing to form a space therebetween. Contact pins collectively defining an upper contact section, a lower contact section and a compliant section connecting the upper and lower contact sections are disposed within the card edge connector housing and the substrate. The upper contact section has an open end with a restricted contact portion for contacting the card. The resilient, compliant section is disposed within the space and is configured to compress to absorb a force from the substrate that would otherwise be transmitted to the upper contact section via the lower contact section. | 05-23-2013 |
20130131556 | USE OF A SUPPORT DEVICE - A method and system for determining a pose of a subject. The subject is placed onto a surface of a platform having a first radio-frequency transceiver and a second radio-frequency transceiver. A first radio-frequency transponder is placed onto an upper extremity of the subject. A second radio-frequency transponder is placed onto a lower extremity of the subject. A first signal is transmitted from the first transceiver to the first transponder, resulting in the first transponder sending a second signal. A third signal is transmitted from the second transceiver to the second transponder, resulting in the second transponder sending a fourth signal. The second signal is received by the first transceiver. The fourth signal is received by the second transceiver. A pose of the subject is determined based on receiving the second signal and the fourth signal. | 05-23-2013 |
20130132137 | Online Registration and Block Tracking for Travel Wholesalers, Agencies and Hotels - A system and method for providing reservation capabilities to a reservation or property management system via a plurality of Internet web sites. Each booking party is directed to a web site containing common content and content specific to the type of booking party, such as a travel agent, wholesaler, or traveler. The system ensures the complete and accurate collection of data regarding a customer and the customer's reservation request through the use of distributed software components and association with customer profiles. Each booking party may create or modify customer profile information to be associated with reservations. The product or service provider may establish and maintain its own set of commission rates, fee amounts and discount values for each type of booking party, thereby allowing the provider to control accuracy and completeness of reservations, as well as revenue flow to the booking parties. | 05-23-2013 |
20130132147 | MANAGING FRESH-PRODUCT INVENTORY - Freshness inventory control problem may be formulated as a stochastic dynamic program. In one aspect, a stochastic dynamic programming formulation takes as input inventory status, stochastic demand forecast and cost information associated with on-hand inventory. The stochastic dynamic programming formulation is maximized to determine order quantity and depletion quantity of the product per period. | 05-23-2013 |
20130132173 | PROVIDING PRIZE IN PAYMENT PROCESS IN MOBILE SHOPPING - A shopping-payment management system and method applies pre-registered prize data of a manufacturer or retail store to payment information on condition that a specific item is contained in shopping information before completing payment, and may notify a mobile device of the result. The system encourages a customer to actively use the system. | 05-23-2013 |
20130132180 | PROVIDING PRIZE IN PAYMENT PROCESS IN MOBILE SHOPPING - A shopping-payment management system and method applies pre-registered prize data of a manufacturer or retail store to payment information on condition that a specific item is contained in shopping information before completing payment, and may notify a mobile device of the result. The system encourages a customer to actively use the system. | 05-23-2013 |
20130132193 | ADDITIONAL INFORMATION FOR SHOPPING INFORMATION IN MOBILE SHOPPING - A mobile device acquires additional information, such as color and/or size variation information of an item, from an additional information tag when creating shopping information, and reflects the additional information in the shopping information, whereby the number of item tags may be reduced. Also, a code for related item information is contained in an item tag as additional information, allowing the mobile device to acquire the related item information when acquiring item information and to present the information to a customer. By including a common campaign code in an item tag for a certain group of items, the group of items may be set as targets of the common campaign. Also, the meaning of the campaign code may be personalized for each customer. Furthermore, various campaigns and promotions, such as proposal/cross-selling of related items, may be provided. | 05-23-2013 |
20130132218 | POS INTERFACE (IF) EMULATOR - A point of sale interface (POS IF) emulator system includes a payment data processing-verification device that processes payment information in accordance with POS device information, emulates a POS input operation, and inputs the processed payment information to a POS device through a keyboard interface; the payment information being created from payment information extracted from shopping information and payment information acquired from user registration information, the shopping information being created by a mobile phone by acquiring item information from an item tag for an item and by performing the acquisition one or more times; wherein payment is made at the POS device corresponding to the POS device information. | 05-23-2013 |
20130132324 | APPLICATION OF NORMATIVE RULES IN A VIRTUAL UNIVERSE - The present invention teaches methods for applying an avatar behavior rule within a virtual universe environment. An avatar behavior rule and a violation threshold for the rule are defined for a virtual universe environment. The behavior of a collective plurality of avatars within the virtual universe is monitored for compliance with the rule, including determining an amount of compliance with the rule and comparing the compliance amount with the violation threshold. If the compliance amount does not exceed the violation threshold but avatars are complaining that the monitored behavior of another of the avatars is at an objectionable level, then the rule or the violation threshold is revised until the monitored behavior of the collective plurality of avatars within the virtual universe exceeds the revised violation threshold, or none of the avatars is complaining. | 05-23-2013 |
20130132343 | SYNCHRONIZATION OF REPLICATED SEQUENTIAL ACCESS STORAGE COMPONENTS - Various embodiments for synchronization of source and replicated instances of sequential access storage components in a computing environment by a processor device are provided. A replication synchronization table (RST) of a source instance is updated at a source component with the compacted information. Based on the RST of the source instance and the RST of the replicated instance, data representative of a most recent position on the source component from which data should be transmitted to a replicated component to achieve a full synchronization is determined. | 05-23-2013 |
20130132354 | MULTIPLE SOURCE DATA MANAGEMENT USING A CONFLICT RULE - A solution for managing data received from multiple sources is provided. In particular, when conflicting data values are received from multiple sources for a data element, a conflict rule is used to determine the main data value for the data element, which is subsequently stored for use. The conflict rule can define any automatic, semi-automatic, or manual procedure for resolving the conflict. The conflict rule, or an identifier thereof, can be stored with each data value and the main data value for the data element. | 05-23-2013 |
20130132405 | Dynamically Associating Different Query Execution Strategies with Selective Portions of a Database Table - A query facility for database queries dynamically determines whether selective portions of a database table are likely to benefit from separate query execution strategies, and constructs an appropriate separate execution strategies accordingly. Preferably, the database contains at least one relatively large table comprising multiple partitions, each sharing the definitional structure of the table and containing a different respective discrete subset of the table records. The query facility compares metadata for different partitions to determine whether sufficiently large differences exist among the partitions, and in appropriate cases selects one or more partitions for separate execution strategies. Preferably, partitions are ranked for separate evaluation using a weighting formula which takes into account: (a) the number of indexes for the partition, (b) recency of change activity, and (c) the size of the partition. | 05-23-2013 |
20130132414 | IMAGE INFORMATION SEARCH - To inform a user that image data including an image of the user or the like is posted on a network, an information providing apparatus includes an acquisition unit for acquiring an action log including a history of a set of a user's positions and dates and times when the user was at the positions. The apparatus further includes a search unit for searching for image data that has been captured at a location and a date and time corresponding to a position of the user and a date and time included in the action log from image data posted on a network. The apparatus also includes a matching unit for detecting image data that includes an image relating to the user from pieces of image data found by the search unit. | 05-23-2013 |
20130132429 | CONTENT MANAGEMENT SYSTEM THAT RETRIEVES DATA FROM AN EXTERNAL DATA SOURCE AND CREATES ONE OR MORE OBJECTS IN THE REPOSITORY - A content management system (CMS) provides a way to include data from an external source as an object in the repository managed by the CMS. The CMS includes an external data mechanism that processes a document with a query to a data source external to the repository. The external data mechanism executes the query, and creates one or more objects in the repository according to the type of data returned. Each object containing data returned from the query is linked to that query via a formal relationship in the CMS. When an object that has a formal relationship with a query is checked out of the repository, the query corresponding to the object may be executed, and the data returned from the query may be stored in the object. Alternatively, the data may be used directly if a corresponding lifecycle policy indicates the corresponding query should not be executed. | 05-23-2013 |
20130132441 | CONTENT MANAGEMENT SYSTEM THAT RETRIEVES DATA FROM AN EXTERNAL DATA SOURCE AND CREATES ONE OR MORE OBJECTS IN THE REPOSITORY - A content management system (CMS) provides a way to include data from an external source as an object in the repository managed by the CMS. The CMS includes an external data mechanism that processes a document with a query to a data source external to the repository. The external data mechanism executes the query, and creates one or more objects in the repository according to the type of data returned. Each object containing data returned from the query is linked to that query via a formal relationship in the CMS. When an object that has a formal relationship with a query is checked out of the repository, the query corresponding to the object may be executed, and the data returned from the query may be stored in the object. Alternatively, the data may be used directly if a corresponding lifecycle policy indicates the corresponding query should not be executed. | 05-23-2013 |
20130132460 | ADMINISTERING INCIDENT POOLS FOR EVENT AND ALERT ANALYSIS - Administering incident pools including receiving, by an incident analyzer from an incident queue, a plurality of incidents from one or more components of the distributed processing system; assigning, by the incident analyzer, each received incident to a pool of incidents; assigning, by the incident analyzer, to each incident a particular combined minimum time for inclusion in one or more pools, each particular combined minimum time corresponding to a particular incident; in response to the pool closing, determining, by the incident analyzer, for each incident in the pool whether the incident has met its combined minimum time for inclusion in one or more pools; and if the incident has been in the pool for its combined minimum time, including, by the incident analyzer, the incident in the closed pool; and if the incident has not been in the pool for its combined minimum time, including the incident in a next pool. | 05-23-2013 |
20130132483 | Fake Check-In Entries Posted on Behalf of Social Network Users - An approach is provided in a fake check-in event is received at a software application corresponding to a user of the software application. Fake check-ins are initiated on behalf of the user in response to the fake check-in event. The software application posts a set of fake check-in entries on behalf of the user while the user is away. The posted fake check-ins are visible by other users of the software application and are used to obfuscate others that the user is out-of-town. In one embodiment, check-in entries that are received from the user after fake check-ins have been initiated are cached. In this embodiment, a local check-in event is received when the user is within the user's geographical local area and the cached check-in entries are posted so that they are now visible by the other users of the software application. | 05-23-2013 |
20130132492 | Electronic Mail Display Device - To provide a technique for facilitating the management of an attachment file attached to an electronic mail. A client apparatus acquires attachment position information in a text of the electronic mail and stores it associated with an identifier of the electronic mail, in receiving the electronic mail with attachment file. Upon receiving an instruction for displaying the electronic mail from the user, the client apparatus arranges a graphic representing the attachment file of the electronic mail on a child screen that displays the reduced screen of a parent screen that displays the electronic mail based on the corresponding attachment position information, and displays the child screen together with the parent screen. | 05-23-2013 |
20130132551 | REDUCTION OF ALERTS IN INFORMATION TECHNOLOGY SYSTEMS - Aspects of the present invention dynamically reduce a frequency at which IT infrastructure automatically generates alerts. Historical data across a plurality of data sources in the IT infrastructure is analyzed. An opportunity to reduce the frequency at which the IT infrastructure automatically generates the alerts is identified. A new alert policy addressing the opportunity to reduce alert frequency is generated. An impact of the new alert policy on a set of predefined service level objectives (SLOs) and service level agreements (SLAs) is evaluated. The new alert policy is deployed in the IT infrastructure. | 05-23-2013 |
20130132552 | Application-Aware Quality Of Service In Network Applications - An approach is provided in which a number of requests are received from a variety of clients over a computer network. The system uses a processor to calculate request priority values pertaining to the received requests. The calculation of the request priority values is based on one or more attributes that correspond to the respective requests. For example, the attributes could include network level attributes, session attributes, and application specific attributes. Each of the requests is assigned a request priority value. A request may receive the same request priority value as other requests. The requests are queued in a memory based on the request priority values that were assigned to the requests. The queued requests are then serviced in order of request priority so that queued requests assigned higher request priority values are processed before queued requests with lower request priority values. | 05-23-2013 |
20130132655 | REDUCING WRITE AMPLIFICATION IN A CACHE WITH FLASH MEMORY USED AS A WRITE CACHE - Embodiments of the invention are directed to reducing write amplification in a cache with flash memory used as a write cache. An embodiment of the invention includes partitioning at least one flash memory device in the cache into a plurality of logical partitions. Each of the plurality of logical partitions is a logical subdivision of one of the at least one flash memory device and comprises a plurality of memory pages. Data are buffered in a buffer. The data includes data to be cached, and data to be destaged from the cache to a storage subsystem. Data to be cached are written from the buffer to the at least one flash memory device. A processor coupled to the buffer is provided with access to the data written to the at least one flash memory device from the buffer, and a location of the data written to the at least one flash memory device within the plurality of logical partitions. The data written to the at least one flash memory device are destaged from the buffer to the storage subsystem. | 05-23-2013 |
20130132663 | READING FILES STORED ON A STORAGE SYSTEM - A system and method for reading files stored on a storage system is disclosed. The method includes communicatively coupling one or more remote systems for reading files stored in storage with a first set of files according to a predetermined data format and in a cache memory with a second set of files, the second set of files being a subset of the first set of files. Next one or more remote systems are received at least one read request for reading a sequence of files. A determination is made, among the files of the sequence of files, whether one or more cached files are already stored in the cache memory and whether one or more remaining files are not already stored in the cache memory. Creating, within the one or more remaining files, an order according to which the remaining files should be read on the storage system. | 05-23-2013 |
20130132677 | OPTIMIZING DATA CACHE WHEN APPLYING USER-BASED SECURITY - A secure caching system and caching method include receiving a user request for data, the request containing a security context, and searching a cache for the requested data based on the user request and the received security context. If the requested data is found in cache, returning the cached data in response to the user request. If the requested data is not found in cache, obtaining the requested data from a data source, storing the obtained data in the cache and associating the obtained data with the security context, and returning the requested data in response to the user request. The search for the requested data can include searching for a security list that has the security context as a key, the security list including an address in the cache of the requested data. | 05-23-2013 |
20130132709 | METHOD AND SYSTEM FOR PROCESSING INSTRUCTION INFORMATION - A method and system for processing instruction information. Each instruction information character string of a sequence of instruction information character strings are sequentially extracted and processed. Each instruction information character string pertains to an associated target object wrapped in a target object storage unit by an associated operation target model. It is independently ascertained for each instruction information character string whether to generate a code line for each instruction information character string, by: determining whether a requirement is satisfied and generating the code line and storing the code line in a code buffer if the requirement has been determined to be satisfied and not generating the code line if the requirement has been determined to not be satisfied. The requirement relates to whether the instruction information character string being processed comprises a naming instruction or a generation instruction. The generated code lines stored in the code buffer are displayed. | 05-23-2013 |
20130132739 | STORAGE DEVICE - A storage device started when connected to a computer so as to be able to communicate. The storage device includes: an interface for controlling communication with the computer, a data storage unit for storing data received from the computer via the interface, a radio signal processing unit for receiving radio signals including ID information at a predetermined timing and for authenticating the received ID information, and a control unit for encrypting data using the authenticated ID information as a key, for sending the encrypted data to a data storage unit, and for disabling communication with the computer via the interface when radio signals including the authenticated ID information are not received by the radio signal processing unit within a predetermined period of time. | 05-23-2013 |
20130132762 | AUTOMATED NODE FENCING INTEGRATED WITHIN A QUORUM SERVICE OF A CLUSTER INFRASTRUCTURE - A quorum service within a cluster infrastructure layer of a cluster environment comprising a plurality of nodes automatically triggers at least one automated fencing operation integrated within the quorum service, to reliably maintain a node usability state of each node of the plurality of nodes indicating an availability of each node to control and access at least one shared resource of the cluster. The quorum service reports the node usability state of each node as a cluster health status to at least one distributed application within an application layer of the cluster environment, to provide a reliable cluster health status of the plurality of nodes to the at least one distributed application for a failover of said at least one shared resource from control by a failed node from among the plurality of nodes to another node from among the plurality of nodes. | 05-23-2013 |
20130132801 | ERROR CHECKING ADDRESSABLE BLOCKS IN STORAGE - Provided are a method, system, and article of manufacture for error checking addressable blocks in storage. Addressable blocks of data are stored in a storage in stripes, wherein each stripe includes a plurality of data blocks for one of the addressable blocks and at least one checksum block including checksum data for the addressable block. A write request is received to modify data in one of the addressable blocks. The write and updating the checksum are performed in the stripe having the modified addressable block. An indication is made to perform an error checking operation on the stripe for the modified addressable block in response to the write request, wherein the error checking operation reads the data blocks and the checksum in the stripe to determine if the checksum data is accurate. An error handling operation is initiated in response to determining that the checksum data is not accurate. | 05-23-2013 |
20130132832 | RANGE-BASED TEXT EDITING - Embodiments relate to text editing. An aspect includes changing a first word or phrase in a sentence for a second word or phrase while maintaining semantic content of the first word or phrase and such that the sentence falls within a predetermined range by, in response to the second word or phrase having more characters or words than the first word or phrase, changing a third word or phrase within the sentence for a fourth word or phrase, such that the sentence falls within the predetermined range; and in response the second word or phrase having fewer characters or words than the first word or phrase, changing a fifth word or phrase within the sentence for a sixth word or phrase, such that the sentence falls within the predetermined range. Another aspect includes displaying the sentence including the second word or phrase on the display screen. | 05-23-2013 |
20130132924 | METHOD, STRUCTURE AND DESIGN STRUCTURE FOR CUSTOMIZING HISTORY EFFECTS OF SOI CIRCUITS - A design structure is embodied in a machine readable medium for designing, manufacturing, or testing a design. The design structure includes a structure which comprises a high-leakage dielectric formed in a divot on each side of a segmented FET comprised of active silicon islands and gate electrodes thereon, and a low-leakage dielectric on the surface of the active silicon islands, adjacent the high-leakage dielectric, wherein the low-leakage dielectric has a lower leakage than the high-leakage dielectric. Also provided is a structure and method of fabricating the structure. | 05-23-2013 |
20130132954 | Image Deployment in a Cloud Environment - The invention relates to a mechanism for image deployment in a cloud environment comprising at least two hosts coupled to at least one disk, and at least one virtual machine created in at least one host of the at least two hosts by deploying an additional image to the at least one disk. The method is characterized in that the at least one host for deploying an additional image is selected according to a deployment factor representing a communality relationship between one or more existing base images in the cloud environment and the additional image. | 05-23-2013 |
20130132955 | Customizable File-Type Aware Cache Mechanism - A caching mechanism is provided in a computer server system including at least one host coupled to at least one disk, one or more virtual machines, one or more images of the one or more virtual machines created in a file system of the disk, and a virtual machine manager for assigning hardware resources of the computer server system to the one or more virtual machines. The mechanism provides a masking cache layer between the one or more virtual machines and the virtual machine manager, the masking cache layer including at least one separate entry for every cacheable data block of the file system to be referenced, collects profiling information related to one or more of the cacheable data blocks ( | 05-23-2013 |
20130132956 | VIRTUAL IMAGE OVERLOADING FOR SOLUTION DEPLOYMENT - An instantiable virtual machine part definition and part configuration metadata of an instantiable virtual machine capable of deployment as at least a portion of a service solution is received from a master overloaded virtual image. A determination is made as to whether to configure the instantiable virtual machine to reuse, using virtual image sharing, at least one portion of the master overloaded virtual image during deployment of the instantiable virtual machine within a service solution. A minimal executable virtual machine part core of the instantiable virtual machine that reuses, using the virtual image sharing, the at least one portion of the master overloaded virtual image during execution is identified. A minimal executable virtual machine part instantiable from the identified minimal executable virtual machine part core as the instantiable virtual machine is configured to utilize, using the virtual image sharing, a shared resource within the master overloaded virtual image during execution. | 05-23-2013 |
20130132990 | Efficiently Identifying Television Stations in a User Friendly Environment - An entertainment unit is configured to receive broadcast signals for a plurality of television stations may display folders. Each folder may comprise one or more as the folder. Furthermore, the entertainment unit may display one or more indications associated with one or more television stations based on content-based input from the viewer. Upon the viewer selecting a particular indication, the television station associated with that indication may be displayed. | 05-23-2013 |
20130132992 | APPARATUS AND METHOD FOR BLOCKING TELEVISION COMMERCIALS AND PROVIDING AN ARCHIVE INTERROGATION PROGRAM - An apparatus and method is disclosed for blocking specific television commercials from the viewer's television based upon the characteristics of the television commercial. In the method, characteristics from a first signal containing a television commercial received at a television are determined. The characteristics may include video and/or audio characteristics, and the characteristics may define a signature. Characteristics from a second signal, also containing the television commercial, are also received and are compared to the first signature. Upon recognizing the second signal, the television commercial is blocked. | 05-23-2013 |
20130133016 | PROVIDING A REGIONAL CHANNEL IN A DIGITAL BROADCAST ENVIRONMENT - A method and system of broadcasting a regional television channel to a user. A digital subscriber line access multiplex (DSLAM) receives a broadcast of multicast channels intended for delivery to the user. The multicast channels include national channels and a regional channel. The DSLAM broadcasts the multicast channels to the user and receives a regional channel control signal specifying a broadcast during a specified period of time of the regional channel instead of a national channel previously scheduled to be broadcast to the user during the specified period of time. The DSLAM switches the multicast channels broadcasted to the user during the specified period of time from the national channel to the regional channel such that the regional channel is in a specific channel slot of the national channel during the specified period of time during broadcasting the multicast channels to the user. | 05-23-2013 |
20130133043 | AUTHENTICATION IN VIRTUAL PRIVATE NETWORKS - Systems and methods are provided for controlling access to a network. An access request is received from a client application running on a computing device for accessing a remote network. The access request is received over a secure virtual private network connection (VPN) connection established by a user-mode VPN client running in non-privileged user space of the computing device. The access request includes contextual information for use in authenticating a user to access a remote network, wherein the contextual information includes contextual information about the client application requesting access to the remote network. An authentication process is performed using the contextual information to authenticate the user, and a secure VPN connection is established between the client application and the remote network, if the user is authenticated. | 05-23-2013 |
20130133177 | MANUFACTURING A MICROFLUID MIXER - A method of manufacturing a microfluid mixer includes pouring a ceramic precursor into a first mold, a second mold and a third mold. The first mold forms a base plate, the second mold forms a top plate, and the third mold forms a first plate. The ceramic precursors are gelled in the first mold, the second mold and the third mold to form a green base plate, a green top plate and a green first plate. The plates are removed from the respective molds. The green base plate, the green top plate, and the green first plate are co-fired to form a ceramic base plate, a ceramic top plate and a ceramic first plate. The ceramic base plate is interlocked with the ceramic top plate and the ceramic first plate, and a diaphragm is disposed upon one of the first plate and the top plate. | 05-30-2013 |
20130133873 | DIRECT FACILITY COOLANT COOLING OF A RACK-MOUNTED HEAT EXCHANGER - A method is provided for dissipating heat from a rack. The method includes: disposing a coolant-cooled heat exchanger within the rack, and providing a coolant control apparatus. The coolant control apparatus includes at least one coolant recirculation conduit coupled in fluid communication between a facility coolant supply and return, wherein the facility coolant supply and return facilitate providing facility coolant to the heat exchanger. The control apparatus further includes a coolant pump(s) associated with the recirculation conduit(s) and a controller which monitors a temperature of facility coolant supplied to the heat exchanger, and redirects facility coolant, via the coolant recirculation conduit(s) and coolant pump(s), from the facility coolant return to the facility coolant supply to, at least in part, ensure that facility coolant supplied to the heat exchanger remains above a dew point temperature. | 05-30-2013 |
20130134444 | STRESSED TRANSISTOR WITH IMPROVED METASTABILITY - An embedded, strained epitaxial semiconductor material, i.e., an embedded stressor element, is formed at the footprint of at least one pre-fabricated field effect transistor that includes at least a patterned gate stack, a source region and a drain region. As a result, the metastability of the embedded, strained epitaxial semiconductor material is preserved and implant and anneal based relaxation mechanisms are avoided since the implants and anneals are performed prior to forming the embedded, strained epitaxial semiconductor material. | 05-30-2013 |
20130134517 | BORDERLESS CONTACT FOR ULTRA-THIN BODY DEVICES - After formation of a semiconductor device on a semiconductor-on-insulator (SOI) layer, a first dielectric layer is formed over a recessed top surface of a shallow trench isolation structure. A second dielectric layer that can be etched selective to the first dielectric layer is deposited over the first dielectric layer. A contact via hole for a device component located in or on a top semiconductor layer is formed by an etch. During the etch, the second dielectric layer is removed selective to the first dielectric layer, thereby limiting overetch into the first dielectric layer. Due to the etch selectivity, a sufficient amount of the first dielectric layer is present between the bottom of the contact via hole and a bottom semiconductor layer, thus providing electrical isolation for the ETSOI device from the bottom semiconductor layer. | 05-30-2013 |
20130134523 | CMOS TRANSISTORS HAVING DIFFERENTIALLY STRESSED SPACERS - CMOS transistors are formed incorporating a gate electrode having tensely stressed spacers on the gate sidewalls of an n channel field effect transistor and having compressively stressed spacers on the gate sidewalls of a p channel field effect transistor to provide differentially stressed channels in respective transistors to increase carrier mobility in the respective channels. | 05-30-2013 |
20130134527 | STRUCTURE AND METHOD TO FABRICATE A BODY CONTACT - A structure and method to fabricate a body contact on a transistor is disclosed. The method comprises forming a semiconductor structure with a transistor on a handle wafer. The structure is then inverted, and the handle wafer is removed. A silicided body contact is then formed on the transistor in the inverted position. The body contact may be connected to neighboring vias to connect the body contact to other structures or levels to form an integrated circuit. | 05-30-2013 |
20130134557 | METAL-INSULATOR-METAL CAPACITORS WITH HIGH CAPACITANCE DENSITY - Metal-insulator-metal (MIM) capacitors and methods for fabricating MIM capacitors. The MIM capacitor includes an interlayer dielectric (ILD) layer with apertures each bounded by a plurality of sidewalls and each extending from the top surface of the ILD layer into the first interlayer dielectric layer. A layer stack, which is disposed on the sidewalls of the apertures and the top surface of the ILD layer, includes a bottom conductive electrode, a top conductive electrode, and a capacitor dielectric between the bottom and top conductive electrodes. | 05-30-2013 |
20130134590 | FORMATION OF AIR GAP WITH PROTECTION OF METAL LINES - A microelectronic substrate which includes a dielectric layer overlying a semiconductor region of a substrate, the dielectric layer having an exposed top surface; a plurality of metal lines of a first metal disposed within the dielectric layer, each metal line having edges and a surface exposed at the top surface of the dielectric layer; a dielectric cap layer having a first portion overlying the surfaces of the metal lines and a second portion overlying the dielectric layer between the metal lines, the first portion has a first height above the surface of the dielectric layer, and the second portion has a second height above the surface of the dielectric layer, the second height being greater than the first height; and an air gap disposed between the metal lines, the air gap underlying the second portion of the cap layer. | 05-30-2013 |
20130135006 | HIGH-SPEED DRIVER CIRCUIT - An inverter-type high speed driver circuit having a first inverter branch and a second inverter branch wherein each of the inverter branches comprising a parallel circuit of a serial connection of a first impedance tuning unit and a respective first clocking transistor and a serial connection of a second impedance tuning unit and a respective second clocking transistor. The impedance tuning units are configured to adapt the conductivity of the respective inverter branch to set the output impedance of the driver circuit and each of the impedance tuning units is controlled in accordance with a data stream. | 05-30-2013 |
20130135094 | DETERMINATION OF A STATUS OF A DOOR LOCK - A method and system for determining a status of a door lock. A receiver device receives a broadcast signal from a broadcast device built into a door. The door lock is configured to lock and unlock the door. The receiver device is located remotely from the door. The broadcast signal includes a door lock status of the door lock. The door lock status includes a first input bit of 0 or 1 and a second input bit of 0 or 1. A main receiver circuit within the receiver device uses a first AND gate and a second AND gate within the main receiver circuit to process the first input bit and the second input bit to generate a first output bit and a second output bit, respectively. The main receiver circuit stores the first and second output bits in a memory location within the receiver device. | 05-30-2013 |
20130135304 | GENERATING THREE-DIMENSIONAL VIRTUAL SCENE - A method and system for generating a three-dimensional (3D) virtual scene are disclosed. The method includes: identifying a two-dimensional (2D) object in a 2D picture and the position of the 2D object in the 2D picture; obtaining the three-dimensional model of the 3D object corresponding to the 2D object; calculating the corresponding position of the 3D object corresponding to the 2D object in the horizontal plane of the 3D scene according to the position of the 2D object in the picture; and simulating the falling of the model of the 3D object onto the 3D scene from a predetermined height above the 3D scene, wherein the position of the landing point the model of the 3D object in the horizontal plane is the corresponding position of the 3D object in the horizontal plane of the 3D scene. | 05-30-2013 |
20130135773 | Automatic Unthread and Store of Data Storage Device Medium - An apparatus, system, and method for automatic unthreading and storage of storage media helps prevent damage to the media, which can otherwise occur when the storage media is left threaded in a storage media drive over an extended period or under adverse conditions. A sensing device may generate a signal or a detector may receive a signal indicating that a predetermined period of time has lapsed or that some other criteria has been met indicating that the storage media should be removed from the storage media drive. An unthread module in the storage media drive receives the signal and automatically unthreads and stores the storage media. A location on the storage media can be marked by the unthread module prior to unthreading such that the media may be returned to the location upon rethreading. | 05-30-2013 |
20130135833 | Circuit Board Assembly, Electronic Device Having the Same, and Lifting and Lowering Apparatus Thereof - A circuit board assembly is provided. The circuit board assembly includes: a first circuit board; a second circuit board; a bracket for supporting the second circuit board; and an interconnect apparatus for a connection and a disconnection of the first circuit board and the second circuit board, wherein the interconnect apparatus includes a first connector disposed on the first circuit board and a second connector disposed on the second circuit board (one is a male connector and the other is a female connector). The circuit board assembly includes a lifting and lowering apparatus for vertically lifting or lowering the bracket so that after the connection the second connector is vertically disconnected from the first connector and after the disconnection the second connector is vertically connected to the first connector. An electronic device having the circuit board assembly is further provided. | 05-30-2013 |
20130135924 | PROGRAMMING OF PHASE-CHANGE MEMORY CELLS - Methods and apparatus are provided for programming a phase-change memory cell having s>2 programmable cell states. At least one control signal is applied to produce a programming pulse for programming the cell. At least one control signal is varied during the programming pulse to shape the programming pulse in dependence on the cell state to be programmed and produce a selected one of a plurality of programming pulse waveforms corresponding to respective programming trajectories for programming the cell states. The selected programming pulse waveform corresponds to a programming trajectory containing the cell state to be programmed. | 05-30-2013 |
20130136123 | METHOD AND APPARATUS FOR IMPLEMENTING A FLEXIBLE VIRTUAL LOCAL AREA NETWORK - A method and apparatus for implementing a virtual local area network. The method includes determining a global virtual local area network for transmitting a data frame in response to receiving the data frame at a first switch, encapsulating the data frame based at least in part on said determination and transmitting it to at least one second switch over the determined global virtual local area network. The data frame is received at the second switch and an identifier of the global virtual local area network is obtained according to the data frame. Based at least in part on the identifier of the global virtual local area network, it is determined that which local virtual local area network served by the second switch the de-capsulated data frame can be sent to. | 05-30-2013 |
20130136399 | FERRULE OF MULTILAYER WAVEGUIDE CONNECTOR - A ferrule for a multilayer waveguide connector includes a face having mechanical alignment slots arranged in a bidirectional lattice structure, the mechanical alignment slots including first slots disposed in a first direction, the first slots configured to respectively receive one end of waveguide layers, and second slots disposed in a second direction different from the first direction, the second slots configured to respectively receive protrusions transverse from a main surface of the waveguide layers. | 05-30-2013 |
20130137202 | TEMPERATURE CONTROL DEVICE FOR OPTOELECTRONIC DEVICES - Current may be passed through an n-doped semiconductor region, a recessed metal semiconductor alloy portion, and a p-doped semiconductor region so that the diffusion of majority charge carriers in the doped semiconductor regions transfers heat from or into the semiconductor waveguide through Peltier-Seebeck effect. Further, a temperature control device may be configured to include a metal semiconductor alloy region located in proximity to an optoelectronic device, a first semiconductor region having a p-type doping, and a second semiconductor region having an n-type doping. The temperature of the optoelectronic device may thus be controlled to stabilize the performance of the optoelectronic device. | 05-30-2013 |
20130137233 | HYDROGEN BARRIER LINER FOR FERRO-ELECTRIC RANDOM ACCESS MEMORY (FRAM) CHIP - A method for forming a hydrogen barrier liner for a ferro-electric random access memory chip including forming a first dielectric layer over a substrate; forming a gate over the first dielectric layer; forming a first aluminum oxide layer over the gate and the first dielectric layer; forming a second dielectric layer over the first aluminum oxide layer; etching a trench through the second dielectric layer and the first aluminum oxide layer to the gate; forming a hydrogen barrier liner over the second dielectric layer, the hydrogen barrier liner lining the trench and contacting the gate; forming a silicon dioxide layer over the first aluminum dioxide layer, the silicon dioxide layer substantially filling the trench; and substantially removing the silicon dioxide layer leaving a silicon dioxide plug in the trench. | 05-30-2013 |
20130137248 | Doping Carbon Nanotubes and Graphene for Improving Electronic Mobility - A method for doping a graphene or nanotube thin-film field-effect transistor device to improve electronic mobility. The method includes selectively applying a dopant to a channel region of a graphene or nanotube thin-film field-effect transistor device to improve electronic mobility of the field-effect transistor device. | 05-30-2013 |
20130138235 | PRODUCT QUALITY TRACING TO LOCATE UNSAFE PRODUCT MATERIAL - A method and apparatus of tracing product quality to improve product safety. The method and apparatus can rapidly locate sources of product raw materials causing product quality safety incidents, which prevent further development of incidents and additional losses. The method is based on using a product production plan, and creating product raw material combinations corresponding to product batches and decision rules for determining sources of unqualified product raw materials. In response to when a product quality issue arises using the decision rules when producing products according to the product raw material combinations helps to quickly determine the sources of unqualified product raw materials and improves product quality safety. | 05-30-2013 |
20130138253 | DYNAMICALLY LIMITING ENERGY CONSUMED BY COOLING APPARATUS - Cooling methods are provided which include providing: one or more coolant-cooled structures associated with an electronics rack, a coolant loop coupled in fluid communication with one or more passages of the coolant-cooled structure(s), one or more heat exchange units coupled to facilitate heat transfer from coolant within the coolant loop, and N controllable components associated with the coolant loop or the heat exchange unit(s), wherein N≧1. The N controllable components facilitate circulation of coolant through the coolant loop or transfer of heat from the coolant via the heat exchange unit(s). A controller is also provided to dynamically adjust operation of the N controllable components, based on Z input parameters and one or more specified constraints, and provide a specified cooling to the coolant-cooled structure(s), while limiting energy consumed by the N controllable components, wherein Z≧1. | 05-30-2013 |
20130138531 | SOCIAL NETWORK-BASED RECOMMENDATION - Embodiments of the invention provide methods and program products for making a recommendation to a purchaser and/or member of a social network. A first aspect of the invention provides a method of making a recommendation to a purchaser, the method comprising: determining a plurality of features of a first product selected by a purchaser; prioritizing the plurality of features of the first product; and making at least one recommendation to the purchaser, the at least one recommendation being selected from a group consisting of: a second product sharing at least one feature of the first product and a social network connection determined to have purchased another product sharing at least one feature of the first product. | 05-30-2013 |
20130138592 | DATA PROCESSING - A method and apparatus for data processing. The method calculates correlations between a plurality of attributes in a dataset. The attributes are factors involved in transaction processing. The method generates a relationship graph by using the plurality of attributes and the correlations between the plurality of attributes; and extracts a sub-graph from the relationship graph to represent a hypothesis. The hypothesis describes the impacts of the factors on the transaction processing. Also provided is an apparatus for implementing the above data processing method. | 05-30-2013 |
20130138597 | TREE STRUCTURED DATA TRANSFORM - A transformation process determines whether a predicate of a rule matches a given node of tree structured data containing a set of nodes and, when the predicate of a rule matches the given node, applies a function of an identified rule to the node to generate a compound result and an interim mutated output document, and when the compound result contains a next node, determines whether the next node is compared with the predicate of a next rule. The transform process includes determining that the next node is processed by a next rule, using the interim mutated output document as input, and determining whether a predicate of a rule matches the next node in a set of nodes. When the predicate of a rule matches the next node, a function of an identified rule is applied to the next node to generate a compound result and interim mutated output document. When the compound result does not contain a next node, a final mutated output document is generated. | 05-30-2013 |
20130138610 | DYNAMIC VOLUME COMPARE FOR ASYNCHRONOUS REMOTE COPY VERIFICATION - A method, device, and computer program product for performing asynchronous remote copy verification is provided. An initial track-to-track comparison between a primary and a secondary volume pair is performed. A first predetermined time is waited for. Upon completion of the first predetermined time, a subsequent primary volume track-to-secondary volume track comparison is performed only on the noncompare tracks. A query is performed for determining whether all of the noncompare tracks have been resolved. If any of the noncompare tracks have not been resolved, a query is performed for determining if a predetermined number of iterations of the primary volume track-to-secondary volume track comparison have been performed, and an additional subsequent primary volume track-to-secondary volume track comparison is performed only on unresolved noncompare tracks. | 05-30-2013 |
20130138611 | TIERED XML SERVICES IN A CONTENT MANAGEMENT SYSTEM - A content management system (CMS) includes a value-add application with a first set of XML content services, one or more dedicated XML processing servers with a second and other sets of XML content services, and a core CMS with a third set of XML content services. The content management system may be designed to provide XML content services at any of these three tiers of processing. A first threshold is defined that allows the value-add application to determine when to offload XML content services to a dedicated XML processing server. A second threshold is defined that allows the core CMS to determine when to offload XML content services to a dedicated XML processing server. Callback services are included that allow each tier of XML content services to send or receive additional information to complete the XML processing. The result is a content management system that is very powerful and flexible. | 05-30-2013 |
20130138630 | ESTIMATION OF A FILTER FACTOR USED FOR ACCESS PATH OPTIMIZATION IN A DATABASE - A computer program product and method for estimating a filter factor for access path optimization in a database are provided. The method includes extracting from a statement segment for database query a relation condition which defines the relationship between a variable and a first table. The method includes obtaining first statistics information according to the relation condition and the statistics information of the first table. The method includes extracting from the statement segment a filter condition which defines the relationship between the variable and a second table. The method includes obtaining second statistics information according to the filter condition and the statistics information of the second table, and according to the first statistics information and the second statistics information, estimating the filter factor of the filter condition. The method and computer program product according to the embodiments of the disclosure may more accurately estimate filter factor of the filter condition. | 05-30-2013 |
20130138647 | EXTENDING TAGS FOR INFORMATION RESOURCES - A method for extending a tag of an information resource in an information network may be provided. The method may include providing a group of tags, stored in a database, in a user interface, and selecting at least one tag out of the group of tags and linking the tag to an information resource using the user interface. Furthermore, the method may include extending the tag, such that the resulting extended tag may be a semantically structured string of characters building a first expression including a first operand, a first operator and a first value, wherein the tag may be the operand, such that the extended tag is machine-interpretable by a parser, such that the information resource may be tagged with the machine-interpretable, extended tag. | 05-30-2013 |
20130138678 | FILE MANAGEMENT METHOD AND SYSTEM - The invention provides a file management method and system for managing file retrieval and access. The method operates at the operating system level within a file system of a computer device and allows creating file move links upon detection of a file move request. The file move link associates the file source location with the file target location and is stored within a file move link table of the file system for subsequent file access request. File path to target location is automatically retrieved and file reached transparently for the user. | 05-30-2013 |
20130138687 | METHOD AND SYSTEM FOR OBSERVING AND ENHANCING COMPLIANCE WITH INSTRUCTION VIA A SOCIAL NETWORK - A method and a system for observing and enhancing compliance with instructions via a social network by a computer system are provided. The method includes the following steps:
| 05-30-2013 |
20130138699 | MANAGING ADAPTER ASSOCIATION FOR A DATA GRAPH OF DATA OBJECTS - The present invention provides a method, system, and program product for managing adapter association for a data graph of data objects. Specifically, under the present invention, a data graph of data objects is generated (e.g., on a server), and then serialized. In performing the serialization, the data graph is translated into bits. In one embodiment, the bits are communicated to a client over a network, and then translated back into the data graph (i.e., deserialized). An adapter is associated with each of the data objects after the data graph is deserialized. This avoids the “overhead” involved with associating and having active adapters during deserialization. | 05-30-2013 |
20130138702 | MIGRATION OF DATA FROM A LEGACY SYSTEM - A method and system for translating data to be migrated from an older legacy database to a newer recipient database. A translation table in the older legacy database is updated. The translation table defines one or more recipient data elements in the newer recipient database based upon metadata of the older legacy database. The metadata of the older legacy database describes one or more legacy data fields in the older legacy database. The older legacy database utilizes a first operating system. The newer recipient database utilizes a second operating system. Legacy data elements in the older legacy database are translated to correspond to the one or more recipient data elements in the newer recipient database by utilizing the updated translation table. The legacy data elements translated in the older legacy database are used to migrate the legacy data elements translated from the older legacy database to the newer recipient database. | 05-30-2013 |
20130138704 | MANAGING RULE SETS AS WEB SERVICES - Rule sets are managed as a web service. A web service request having at least a body and an endpoint address is received from a client. The endpoint address includes a service description parameter and a parameter identifying a path to a given rule set in a rule set. The body of the web service request is parsed to determine whether the body identifies a first type of method or a second type of method. If a first type of method is identified, a service description file is dynamically generated in a format specified by the service description parameter in the web service request. The service description file is based on the rule set path, information from the rule set database and model data. If a second type of method is identified, the identified rule set is executed. The first type of method may be a GET method while the second type of method may be a POST method. | 05-30-2013 |
20130138754 | Displaying A Known Sender's Identifier To A Recipient Of A Joint Senders' Message - An approach is provided in which a request is received from a requestor to send a new email message to one or more recipients on behalf of a selected joint sender group (JSG). The selected JSG includes multiple JSG members with one of the JSG members being the requestor. Permissions corresponding to the JSG are then retrieved and compared to the requestor and the contents of the new email message are identified. The new email message is then sent to the recipients in response to determining, based on the comparison, that the requestor has permission to send the new email message on behalf of the selected JSG. On the other hand, the sending of the new email message is inhibited in response to determining that the requestor lacks permission to send the new email message on behalf of the selected JSG. | 05-30-2013 |
20130138759 | NETWORK SUPPORT FOR SYSTEM INITIATED CHECKPOINTS - A system, method and computer program product for supporting system initiated checkpoints in parallel computing systems. The system and method generates selective control signals to perform checkpointing of system related data in presence of messaging activity associated with a user application running at the node. The checkpointing is initiated by the system such that checkpoint data of a plurality of network nodes may be obtained even in the presence of user applications running on highly parallel computers that include ongoing user messaging activity. | 05-30-2013 |
20130138762 | FACILITATING COMMUNICATION BETWEEN ISOLATED MEMORY SPACES OF A COMMUNICATIONS ENVIRONMENT - Automatically converting a synchronous data transfer to an asynchronous data transfer. Data to be transferred from a sender to a receiver is initiated using a synchronous data transfer protocol. Responsive to a determination that the data is to be sent asynchronously, the data transfer is automatically converted from the synchronous data transfer to the asynchronous data transfer. | 05-30-2013 |
20130138782 | TIERED XML SERVICES IN A CONTENT MANAGEMENT SYSTEM - A content management system (CMS) includes a value-add application with a first set of XML content services, one or more dedicated XML processing servers with a second and other sets of XML content services, and a core CMS with a third set of XML content services. The content management system may be designed to provide XML content services at any of these three tiers of processing. A first threshold is defined that allows the value-add application to determine when to offload XML content services to a dedicated XML processing server. A second threshold is defined that allows the core CMS to determine when to offload XML content services to a dedicated XML processing server. Callback services are included that allow each tier of XML content services to send or receive additional information to complete the XML processing. The result is a content management system that is very powerful and flexible. | 05-30-2013 |
20130138833 | METHOD, APPARATUS AND SYSTEM TO DYNAMICALLY MANAGE LOGICAL PATH RESOURCES - System, apparatus, and methods for dynamically managing logical path resources are provided. The logical path resources are managed by adding, removing, and establishing logic paths based on specified priority schemes associated with the logical path resources. Information associated with the logical path resources is updated in a logical path resource table. | 05-30-2013 |
20130138907 | USE OF TEST PROTECTION INSTRUCTION IN COMPUTING ENVIRONMENTS THAT SUPPORT PAGEABLE GUESTS - Management of storage used by pageable guests of a computing environment is facilitated. A query instruction is provided that details information regarding the storage location indicated in the query. It specifies whether the storage location, if protected, is protected by host-level protection or guest-level protection. | 05-30-2013 |
20130138912 | SCHEDULING REQUESTS IN A SOLID STATE MEMORY DEVICE - An apparatus and method for a memory controller for managing scheduling requests in a solid state memory device. The memory includes a set of units wherein a unit within the set of units is erasable as a whole by a unit reclaiming process resulting in a free unit available for writing data to. The memory controller further includes a first queue for queuing user requests for reading and/or writing data from/to the memory, and a second queue for queuing unit reclaiming requests for executing the unit reclaiming process. A scheduler is provided for selecting user requests from the first queue and unit reclaiming requests from the second queue for execution according to a defined ratio. The defined ratio is a variable ratio, is dependent on the current number of free units, and permits the memory controller to select requests from both the first queue and the second queue. | 05-30-2013 |
20130138914 | INFORMATION PROCESSING APPARATUS AND PROGRAM AND METHOD FOR ADJUSTING INITIAL ARRAY SIZE - An adjustment apparatus includes a storage device, an execution target program, an execution unit, a first API, a second API, a profiler, and a dynamic compiler. The execution unit interprets the program, and calls and executes a function of an API in response to the API description. The first and second API are callable by the execution unit, to respectively allocate an array of a predetermined size, and extend the array. The first and second APIs are converted into code to store an array allocation call context of the pre-extension array into a profile information storage area of the allocated array. The profiler profiles access to arrays. The dynamic compiler inline-expands an array allocation call context included in a code part to be dynamically compiled and embeds an array size determined based on context based access information, as an allocation initial size of the array, into the code part. | 05-30-2013 |
20130138962 | CONTROL METHOD, PROGRAM AND SYSTEM FOR LINK ACCESS - A plurality of users is assumed in which user A is the owner of content providing the source of a link, user B is the owner of the content providing the destination of the link, and user C is a viewer. Each user has a private key and a public key, and the public keys are shared by the users. User B selects user C in advance as a viewer. User B creates data including a value in which an encryption key with a proxy signature generated on the basis of the public key of user C and its own private key is encrypted using the public key of user A, and distributes the data to user A, which is the owner of the content providing the source of the link. User A decrypts the received data including the value using its own private key. This makes a function available based on encryption with the proxy signature. User A converts the link information using this function, signs the information using its own private key, and sends it to user C. User C verifies the signature by checking the received information using the public key of user A and the public key of user B, extracts the link information generated by user A using the function, decrypts it using its own private key, and obtains the link information. | 05-30-2013 |
20130138965 | CONTROL METHOD, PROGRAM AND SYSTEM FOR LINK ACCESS - A plurality of users is assumed in which user A is the owner of content providing the source of a link, user B is the owner of the content providing the destination of the link, and user C is a viewer. Each user has a private key and a public key, and the public keys are shared by the users. User B selects user C in advance as a viewer. User B creates data including a value in which an encryption key with a proxy signature generated on the basis of the public key of user C and its own private key is encrypted using the public key of user A, and distributes the data to user A, which is the owner of the content providing the source of the link. User A decrypts the received data including the value using its own private key. This makes a function available based on encryption with the proxy signature. User A converts the link information using this function, signs the information using its own private key, and sends it to user C. User C verifies the signature by checking the received information using the public key of user A and the public key of user B, extracts the link information generated by user A using the function, decrypts it using its own private key, and obtains the link information. | 05-30-2013 |
20130138994 | Preventing Disturbance Induced Failure in A Computer System - A method to prevent failure on a server computer due to internally and/or externally induced shock and/or vibration. The method includes acquiring, by at least one sensor, analog acceleration data of components in a server computer. The data is then converted to digital format and stored within a motor drive assembly processor memory unit. The processor analyzes the stored data for existence of machine degradation. In response to detecting the existence of machine degradation, the motor drive assembly processor initiates remediation procedures. The remediation procedures include controlling rotating speed of moving devices or performing a complete system shut down. | 05-30-2013 |
20130139010 | CIRCUIT AND METHOD FOR EFFICIENT MEMORY REPAIR - A circuit and method of testing a memory and calculating a repair solution for a given address location includes pausing a built in self test (BIST) operation on detection of a failing memory output data of an integrated circuit. During the pause, the circuit and method analyzes “n” number of groups of the failing memory output data during “n” cycles using analysis logic and calculating a repair solution. Normal operations can be resumed. | 05-30-2013 |
20130139050 | METHOD AND SYSTEM FOR REUSING HTML CONTENT - Reusing HyperText Markup Language (HTML) content includes receiving, using a processor, a HyperText Transfer Protocol (HTTP) request; determining that the received HTTP request has a special parameter that specifies to request part of the HTML content; acquiring the part of the HTML content according to the special parameter; and outputting the acquired part of the HTML content. | 05-30-2013 |
20130139097 | CONTROLLING ACCELERATION OF MOUSE CURSOR MOVEMENT BASED ON SCREEN SEGMENTS AND IMAGE FEATURES - A method for controlling movements of a pointer through computer processing, where the pointer is displayed on a display device, includes the steps of: dividing a display area in which the pointer is movable into a predetermined number of regions, acquiring a current position of the pointer, determining in which of the divided regions the pointer is present (hereinafter referred to as a present region), computing a speed scale-factor for the pointer based on an image characteristic in the present region, and setting, as a moving speed in the present region, a normal moving speed of the pointer multiplied by the speed scale-factor. | 05-30-2013 |
20130139124 | USER FEEDBACK METHOD AND SYSTEM FOR BUSINESS SUITE CUSTOMIZATION - A computer-implemented user feedback method, system and program product for customizing business suite software is executable on a computer system. The method includes: acquiring a business logic software function associated with a feedback mechanism in a business suite software user interface for the business suite software; acquiring an existing customization requirement related to the business logic software function and the relationship between the existing customization requirement related to the business logic software function and another existing customization requirement related to the business logic software function; and presenting the acquired existing customization requirement and the relationship between the existing customization requirement related to the business logic software function and the other existing customization requirement related to the business logic software function. The system includes: a business logic software function collector; a customization requirement manager; and a customization requirement presenter. | 05-30-2013 |
20130139131 | SOURCE CODE PROCESSING METHOD, SYSTEM AND PROGRAM - A method, system, and computer readable article of manufacture to enable parallel execution of a divided source code in a multiprocessor system. The method includes the steps of: inputting an original source code by an input device into the computing apparatus; finding a critical path in the original source code by a critical path cut module; cutting the critical path in the original source code into a plurality of process block groups by the critical path cut module; and dividing the plurality of process block groups among a plurality of processors in the multiprocessor system by a CPU assignment code generation module to produce the divided source code. The system includes an input device; a critical path cut module; and a CPU assignment code generation unit to produce the divided source code. The computer readable article of manufacture includes instructions to implement the method. | 05-30-2013 |
20130139132 | METHOD AND SYSTEM FOR PROGRAM BUILDING - An improved method for program building uses predefined source files and predefined build scripts comprising a sequence of build commands; wherein each build command comprises an origin command line interpretable by an operating system and addressed to at least one compiling tool. | 05-30-2013 |
20130139150 | Platform Specific Payload Management - A computer-implemented method, program product and apparatus for platform specific payload management. The method receives a request containing an OS override value, calls a set of selection bundles using the OS override value and receives true for all selection bundles in the set of selection bundles applicable to the OS override value to form applicable selections and false for all selection bundles not applicable to the OS override value. The computer-implemented method further identifies OS specific payloads using the applicable selections, selects an OS specific payload as a deployable image from a repository, downloads the deployable image to a target system from the repository and unpacks the deployable image on the target system to form an installed image. | 05-30-2013 |
20130139167 | Identification of Thread Progress Information - Embodiments relate to a method, apparatus and program product and for capturing thread specific state timing information. The method includes associating a time field and a time valid field to a thread data structure and setting a current time state by determining a previous time state and updating it according to a previously identified method for setting time states. The method further includes determining status of a time valid bit to see if it is set to valid or invalid. When the status is valid, it is made available for reporting. | 05-30-2013 |
20130139168 | Scaleable Status Tracking Of Multiple Assist Hardware Threads - A processor includes an initiating hardware thread, which initiates a first assist hardware thread to execute a first code segment. Next, the initiating hardware thread sets an assist thread executing indicator in response to initiating the first assist hardware thread. The set assist thread executing indicator indicates whether assist hardware threads are executing. A second assist hardware thread initiates and begins executing a second code segment. In turn, the initiating hardware thread detects a change in the assist thread executing indicator, which signifies that both the first assist hardware thread and the second assist hardware thread terminated. As such, the initiating hardware thread evaluates assist hardware thread results in response to both of the assist hardware threads terminating. | 05-30-2013 |
20130139172 | CONTROLLING THE USE OF COMPUTING RESOURCES IN A DATABASE AS A SERVICE - A method and apparatus controls use of a computing resource by multiple tenants in DBaaS service. The method includes intercepting a task that is to access a computer resource, the task being an operating system process or thread; identifying a tenant that is in association with the task from the multiple tenants; determining other tasks of the tenant that access the computing resource; and controlling the use of the computing resource by the task, so that the total amount of usage of the computing resource by the task and the other tasks does not exceed the limit of usage of the computing resource for the tenant. | 05-30-2013 |
20130139174 | DYNAMIC RUN TIME ALLOCATION OF DISTRIBUTED JOBS - A job optimizer dynamically changes the allocation of processing units on a multi-nodal computer system. A distributed application is organized as a set of connected processing units. The arrangement of the processing units is dynamically changed at run time to optimize system resources and interprocess communication. A collector collects metrics of the system, nodes, application, jobs and processing units that will be used to determine how to best allocate the jobs on the system. A job optimizer analyzes the collected metrics to dynamically arrange the processing units within the jobs. The job optimizer may determine to combine multiple processing units into a job on a single node when there is an overutilization of interprocess communication between processing units. Alternatively, the job optimizer may determine to split a job's processing units into multiple jobs on different nodes where the processing units are over utilizing the resources on the node. | 05-30-2013 |
20130140157 | INTEGRATED ELECTRO-MECHANICAL ACTUATOR - The present invention provides an integrated electro-mechanical actuator and a manufacturing method for manufacturing such an integrated electro-mechanical actuator. The integrated electro-mechanical actuator comprises an electrostatic actuator gap between actuator electrodes and an electrical contact gap between contact electrodes. An inclination with an inclination angle is provided between the actuator electrodes and the contact electrodes. The thickness of this electrical contact gap is equal to the thickness of a sacrificial layer which is etched away in a manufacturing process. | 06-06-2013 |
20130140565 | TEST STRUCTURE FOR DETECTION OF GAP IN CONDUCTIVE LAYER OF MULTILAYER GATE STACK - A semiconductor structure including a test structure for detection of a gap in a conductive layer of the semiconductor structure includes a semiconductor substrate; the test structure, the test structure being located on the semiconductor substrate, the test structure comprising a multilayer gate stack, wherein the multilayer gate stack includes a single conductive layer region including: a gate dielectric located on the semiconductor substrate; the conductive layer located on the gate dielectric; and an undoped amorphous silicon layer located on the conductive layer; and wherein the test structure is configured to detect the presence of the gap in the conductive layer. | 06-06-2013 |
20130140566 | BIPOLAR JUNCTION TRANSISTOR WITH A SELF-ALIGNED EMITTER AND BASE - Methods for fabricating bipolar junction transistors with self-aligned emitter and extrinsic base, bipolar junction transistors made by the methods, and design structures for a BiCMOS integrated circuit. The bipolar junction transistor is fabricated using a sacrificial emitter pedestal that provides a sacrificial mandrel promoting self-alignment between the emitter and the extrinsic base. The sacrificial emitter pedestal is subsequently removed to open an emitter window extending to the intrinsic base. An emitter is formed in the emitter window that lands on the intrinsic base. | 06-06-2013 |
20130140636 | STRESSED CHANNEL FET WITH SOURCE/DRAIN BUFFERS - A stressed channel field effect transistor (FET) includes a substrate; a gate stack located on the substrate; a channel region located in the substrate under the gate stack; source/drain stressor material located in cavities in the substrate on either side of the channel region; and vertical source/drain buffers located in the cavities in the substrate between the source/drain stressor material and the substrate, wherein the source/drain stressor material abuts the channel region above the source/drain buffers. | 06-06-2013 |
20130140638 | HIGH DENSITY SIX TRANSISTOR FINFET SRAM CELL LAYOUT - Dual orientation of finFET transistors in a static random access memory (SRAM) cell allows aggressive scaling to a minimum feature size of 15 nm and smaller using currently known masking techniques that provide good manufacturing yield. A preferred layout and embodiment features inverters formed from adjacent, parallel finFETs with a shared gate and different conductivity types developed through a double sidewall image transfer process while the preferred dimensions of the inverter finFETs and the pass transistors allow critical dimensions of all transistors to be sufficiently uniform despite the dual transistor orientation of the SRAM cell layout. | 06-06-2013 |
20130140670 | STRUCTURE AND METHOD FOR REDUCTION OF VT-W EFFECT IN HIGH-K METAL GATE DEVICES - A substrate is provided. An STI trench is formed in the substrate. A fill material is formed in the STI trench and then planarized. The substrate is exposed to an oxidizing ambient, growing a liner at a bottom and sidewalls of the STI trench. The liner reduces the Vt-W effect in high-k metal gate devices. | 06-06-2013 |
20130140681 | SUPERFILLED METAL CONTACT VIAS FOR SEMICONDUCTOR DEVICES - In accordance with one aspect of the invention, a method is provided for fabricating a semiconductor element having a contact via. In such method, a hole can be formed in a dielectric layer to at least partially expose a region including at least one of semiconductor or conductive material. A seed layer can be deposited over a major surface of the dielectric layer and over a surface within the hole. In one embodiment, the seed layer can include a metal selected from the group consisting of iridium, osmium, palladium, platinum, rhodium, and ruthenium. A layer consisting essentially of cobalt can be electroplated over the seed layer within the hole to form a contact via in electrically conductive communication with the region. | 06-06-2013 |
20130140695 | SOLDER BUMP CONNECTIONS - Solder bump connections and methods for fabricating solder bump connections. The method includes forming a layer stack containing first and second conductive layers, forming a dielectric passivation layer on a top surface of the second conductive layer, and forming a via opening extending through the dielectric passivation layer to the top surface of the second conductive layer. The method further includes forming a conductive plug in the via opening. The solder bump connection includes first and second conductive layers comprised of different conductors, a dielectric passivation layer on a top surface of the second conductive layer, a via opening extending through the dielectric passivation layer to the top surface of the second conductive layer, and a conductive plug in the via opening. | 06-06-2013 |
20130140718 | CHIP IDENTIFICATION FOR ORGANIC LAMINATE PACKAGING AND METHODS OF MANUFACTURE - A chip identification for organic laminate packaging and methods of manufacture is provided. The method includes forming a material on a wafer which comprises a plurality of chips. The method further includes modifying the material to provide a unique identification for each of the plurality of chips on the wafer. The organic laminate structure includes a chip with a device and a material placed on the chip which is modified to have a unique identification mark for the chip. | 06-06-2013 |
20130141147 | PULSE WIDTH ADJUSTING CIRCUIT AND METHOD - The pulse width adjusting circuit includes a pulse delaying circuit for inputting an inputted pulse signal a and for outputting a plurality of different delayed pulse signals b | 06-06-2013 |
20130141148 | PULSE STRETCHING CIRCUIT AND METHOD - A pulse stretching circuit having a pulse delay circuit for receiving an input pulse signal and for outputting a delay pulse signal, and a pulse adjustment circuit, connected to the pulse delay circuit, receiving the input pulse signal and the delay pulse signal and for outputting an output pulse signal having a pulse width longer than a pulse width of the input pulse signal. The pulse adjustment circuit causes a leading edge of the output pulse signal in response to a leading edge of the input pulse signal, keeps a state in which the output pulse signal is displaced with the leading edge thus caused longer than a total time of times for both pulse widths of the input pulse signal and the delay pulse signal, and causes a trailing edge of the output pulse signal in response to a trailing edge of the delay pulse signal. | 06-06-2013 |
20130141256 | USING VARIABLE ENCODINGS TO COMPRESS AN INPUT DATA STREAM TO A COMPRESSED OUTPUT DATA STREAM - Provided are a computer program product, system, method, and data structure for compressing an input data stream. A determination is made of consecutive data units in the input data stream that match consecutive data units in a history buffer. A copy pointer symbol indicates a copy pointer symbol referencing previously received data units in the history buffer. A determination is made of a relative displacement count in the history buffer at which the number of matching consecutive data units start. A determination is made of a range of relative displacement counts comprising one of a plurality of ranges of displacement counts including the determined relative displacement count. A determination is made of the encoding scheme associated with the determined range. An encoding of the relative displacement count is determined from the determined encoding scheme. The determined encoding of the relative displacement count is indicated in the copy pointer. | 06-06-2013 |
20130141367 | ADAPTIVE TOUCH PANEL DISPLAY - An aspect of the invention includes a display device that operates controls on a display surface independent of a size of the display surface. A touch panel displays a screen on a display surface and inputs a user operation point on the display surface. An extracting part extracts controls that receive a touch operation of a user from content to be displayed on the display surface. A display controller displays auxiliary buttons for providing instructions for input of an extracted control, together with the content on the display surface. | 06-06-2013 |
20130142023 | METHOD FOR EXTENDED DIAGNOSTIC OVERLAY CONTROL FOR TAPE STORAGE DEVICES - According to one embodiment, a method includes monitoring a plurality of parameters relating to operation of a tape drive to collect data from the operation of the tape drive, receiving a specification of one or more user-specified parameters to log during one or more collection windows, wherein the one or more user-specified parameters are specified from the plurality of parameters, logging at least some of the data collected from the operation of the tape drive to a memory during the one or more collection windows, wherein the at least some of the data collected is stored in a tape map comprising a plurality of fields, the plurality of fields including at least one histogram field, at least one per-channel field, and at least one per-channel indicator field, and dynamically overlaying one or more fields from the plurality of fields with data collected from the one or more user-specified parameters. | 06-06-2013 |
20130142063 | VERIFYING THE FUNCTIONALITY OF AN INTEGRATED CIRCUIT - Verifying the functionality of an integrated circuit, the integrated circuit being operable for processing a data packet thereby generating a data processing result. A data packet to be processed is evaluated to determine if the data packet is an erroneous data packet. If the data packet is identified as an erroneous data packet, a modified data packet is generated by modifying the erroneous data packet and providing the modified data packet to the integrated circuit. A determination is made as to whether the data processing result comprises the modification; and a malfunction of the integrated circuit is signaled, if the data processing result comprises the modification. | 06-06-2013 |
20130142202 | DISTRIBUTING FUNCTIONS IN A DISTRIBUTED AND EMBEDDED ENVIRONMENT - The different switch modules making up a distributed virtual switch may route configuration commands for hardware resources to different modules within the distributed switch using a distribution and routing layer. At least one of the switch modules maintains a routing table that defines which switch modules are responsible for which hardware resources. The switch module uses the routing tables to forward the commands on the distribution and routing layer to the responsible switch module which then ensures that the relevant hardware resources are configured. | 06-06-2013 |
20130142211 | PARALLEL OPTICAL TRANSCEIVER MODULE - A silicon-on-insulator wafer is provided. The silicon-on-insulator wafer includes a silicon substrate having optical vias formed therein. In addition, an optically transparent oxide layer is disposed on the silicon substrate and the optically transparent oxide layer is in contact with the optical vias. Then, a complementary metal-oxide-semiconductor layer is formed over the optically transparent oxide layer. | 06-06-2013 |
20130143335 | METHOD AND APPARATUS FOR OPTICAL MODULATION - The present invention is a method and an apparatus for optical modulation, for example for use in optical communications links. In one embodiment, an apparatus for optical modulation includes a first silicon layer having one or more trenches formed therein, a dielectric layer lining the first silicon layer, and a second silicon layer disposed on the dielectric layer and filling the trenches. | 06-06-2013 |
20130143369 | CHIP IDENTIFICATION FOR ORGANIC LAMINATE PACKAGING AND METHODS OF MANUFACTURE - A chip identification for organic laminate packaging and methods of manufacture is provided. The method includes forming a material on a wafer which comprises a plurality of chips. The method further includes modifying the material to provide a unique identification for each of the plurality of chips on the wafer. The organic laminate structure includes a chip with a device and a material placed on the chip which is modified to have a unique identification mark for the chip. | 06-06-2013 |
20130143371 | DUAL-DEPTH SELF-ALIGNED ISOLATION STRUCTURE FOR A BACK GATE ELECTRODE - Doped semiconductor back gate regions self-aligned to active regions are formed by first patterning a top semiconductor layer and a buried insulator layer to form stacks of a buried insulator portion and a semiconductor portion. Oxygen is implanted into an underlying semiconductor layer at an angle so that oxygen-implanted regions are formed in areas that are not shaded by the stack or masking structures thereupon. The oxygen implanted portions are converted into deep trench isolation structures that are self-aligned to sidewalls of the active regions, which are the semiconductor portions in the stacks. Dopant ions are implanted into the portions of the underlying semiconductor layer between the deep trench isolation structures to form doped semiconductor back gate regions. A shallow trench isolation structure is formed on the deep trench isolation structures and between the stacks. | 06-06-2013 |
20130143377 | STRUCTURE AND METHOD FOR REPLACEMENT GATE MOSFET WITH SELF-ALIGNED CONTACT USING SACRIFICIAL MANDREL DIELECTRIC - The present disclosure provides a method for forming a semiconductor device that includes forming a replacement gate structure overlying a channel region of a substrate. A mandrel dielectric layer is formed overlying source and drain regions of the substrate. The replacement gate structure is removed to provide an opening exposing the channel region of the substrate. A functional gate structure is formed over the channel region including a work function metal layer. A protective cap structure is formed over the functional gate structure. At least one via is etched through the mandrel dielectric layer selective to the protective cap structure to expose a portion of at least one of the source region and the drain region. A conductive fill is then formed in the vias to provide a contact to the at least one of the source region and the drain region. | 06-06-2013 |
20130143400 | METAL-CONTAMINATION-FREE THROUGH-SUBSTRATE VIA STRUCTURE - A through-substrate via (TSV) structure that is immune to metal contamination due to a backside planarization process is provided. After forming a through-substrate via (TSV) trench, a diffusion barrier liner is conformally deposited on the sidewalls of the TSV trench. A dielectric liner is formed by depositing a dielectric material on vertical portions of the diffusion barrier liner. A metallic conductive via structure is formed by subsequently filling the TSV trench. Horizontal portions of the diffusion barrier liner are removed. The diffusion barrier liner protects the semiconductor material of the substrate during the backside planarization by blocking residual metallic material originating from the metallic conductive via structure from entering into the semiconductor material of the substrate, thereby protecting the semiconductor devices within the substrate from metallic contamination. | 06-06-2013 |
20130144673 | AUTONOMIC MANUFACTURING OPERATOR AUTHORIZATION ADJUSTMENT - Operator authorizations are autonomically adjusted in many ways to automatically account for many different variables. Operator authorization may be adjusted according to an operator's past activity record so previous experience is not lost when the operator is rehired. Operator authorization may be adjusted according to the operator's quality and performance. Operator authorization may also be adjusted by recognizing similar operations to those the operator is authorized to perform, and authorizing the operator to perform one or more similar operations. Operator authorization may also be adjusted to a lesser level or may be revoked for an operation based on the passage of time. A manufacturing system may efficiently track operators taking into account different activity periods, the passage of time, the operator's performance, and similar operations to autonomically adjust the authorization of the operators as needed. | 06-06-2013 |
20130144676 | Cohort Manipulation and Optimization - An approach is provided to visually depict cohort data to a user. The approach includes receiving a cohort display request (e.g., from a user, etc.). In response to the request, the approach retrieves a plurality of cohort data records, with each of the cohort data records corresponding to a cohort selected from a group of cohorts. In this approach, the group of cohorts represent similar computer systems. The approach displays a set of graphic user interface (GUI) controls on a display device, with each of the GUI controls corresponds to one of the retrieved cohort data records. A user selection is received that corresponds to a selected one of the GUI controls. The system responds by executing a cohort action on the cohort corresponding to the selected GUI control. | 06-06-2013 |
20130144678 | System and Method for Evolving Processes In Workflow Automation - Gradually automation of a workflow by a configuration change management system is provided by a first workflow template having a relatively low level of automation and complexity in which at least a plurality of tasks require manual input, manual response, or manual approval, operating the first workflow by a workflow automation system engine, monitoring a measurement of the maturity level of the process during the operation the first workflow, and responsive to detecting the maturity level meeting pre-determined benchmark, automatically selecting, engaging, and operating a second workflow template which increases automation by automating one or more subprocesses, adding one or more subprocesses, or eliminating one or more subprocesses. | 06-06-2013 |
20130144680 | COMPUTER-IMPLEMENTED METHOD, COMPUTER PROGRAM PRODUCT AND SYSTEM FOR ANALYZING A CONTROL-FLOW IN A BUSINESS PROCESS MODEL - A new technique to analyze the control-flow, i.e., the workflow graph of a business process model, which is called symbolic execution, is provided. Acyclic workflow graphs that may contain inclusive OR-gateways are considered; a symbolic execution for them is defined, which runs in quadratic time. In particular, this symbolic execution essentially comprises labeling edges of nodes of the graph such that a label assigned to a first edge comprises a set of one or more edge identifiers, each identifying a second edge that is an outgoing edge of an XOR-split or an IOR-split node in the graph, whereby executing the second edge ensures that the first edge will be executed. Such a scheme may permit a decision for any pair of control-flow edges or tasks of the workflow graph whether they are sometimes, never, or always reached concurrently. This has different applications in finding control- and data-flow errors. | 06-06-2013 |
20130144803 | Method and System for Generating One Flow Models from Runtime Service Delivery Process - A method and system for generating new abstractions for existing process models and modifying existing process models in order to generate new process models by mining run-time service delivery processes. The method and system also “learns” new abstractions for business process models by modifying existing models. The method and system generates new business process models utilizing an online learning mechanism that mines run-time service delivery processes. | 06-06-2013 |
20130144824 | CALCULATING RISK ASSESSMENT VALUE OF EVENT SEQUENCE - Provided are a method, an apparatus and a computer program for calculating a risk assessment value for an event sequence, which are capable of calculating the risk assessment value of each even sequence by calculating a totally ordered set on the basis of a partially ordered set indicating the event sequence. The risk assessment value of an event sequence that is a partially ordered set indicating some events of an event group of M kinds of events (M is a finite natural number) in a time series. The partially ordered set is converted into an approximate totally ordered set, and an M-dimensional feature vector is calculated based on the totally ordered set obtained by the conversion. A projection matrix for calculating the risk assessment value is calculated using the calculated M-dimensional feature vector. | 06-06-2013 |
20130144825 | CALCULATING RISK ASSESSMENT VALUE OF EVENT SEQUENCE - Provided are a method, an apparatus and a computer program for calculating a risk assessment value for an event sequence, which are capable of calculating the risk assessment value of each even sequence by calculating a totally ordered set on the basis of a partially ordered set indicating the event sequence. The risk assessment value of an event sequence that is a partially ordered set indicating some events of an event group of M kinds of events (M is a finite natural number) in a time series. The partially ordered set is converted into an approximate totally ordered set, and an M-dimensional feature vector is calculated based on the totally ordered set obtained by the conversion. A projection matrix for calculating the risk assessment value is calculated using the calculated M-dimensional feature vector. | 06-06-2013 |
20130144829 | TRANSFORMATION OF A SOURCE MODEL TO A TARGET MODEL - An aspect of the invention includes transforming a source model to a target model. A source model is received and a transformation specification that includes a set of rules is accessed. Each rule includes a pattern description and a production component. The pattern description includes a pattern in the source model and the production component includes an algorithm for generating an output in the target model from the pattern. For each expression in the source model, the expression is decomposed into sub-expressions, matching rules in the transformation specification for the expression and the sub-expressions are detected, one of the matching rules having the most specific pattern description is selected, and the production component of the selected rule is executed to generate the output in the target model. The target model is created from the generated output. | 06-06-2013 |
20130144830 | METHOD AND DEVICE FOR DISTRIBUTING PATTERNS TO SCANNING ENGINES FOR SCANNING PATTERNS IN A PACKET STREAM - A method and a device for distributing patterns to scanning engines for scanning packets in a packet stream are provided. The method includes providing a plurality of scanning engines and patterns, calculating a respective distance metric for every pair of patterns, and providing a plurality of distribution functions. Further, the method includes calculating a respective sum of the calculated distance metrics for distributing the patterns for each of the distribution functions, and utilizing the sums for selecting a distribution function of the D distribution functions for distributing the patterns to the M scanning engines. A device for implementing the method is also provided. | 06-06-2013 |
20130144833 | PROCESSING DATA IN A DATA WAREHOUSE - Data of a database environment, which includes hierarchy information and a matrix of values, is processed. The hierarchy information includes at least two sets of identification codes and defines at least two groups of identification codes. The matrix of values includes at least two columns of identification values. At least one simple filter object is generated based on a user input. Each simple filter object defines an ad hoc group of identification codes selected from a respective one of the sets of identification codes. A filtered operation object that specifies an operation and at least one of the simple filter objects is generated based on a user input. Each of the ad hoc groups differs from each of the groups defined by the hierarchy information. | 06-06-2013 |
20130144840 | OPTIMIZING RESTORES OF DEDUPLICATED DATA - For restoring deduplicated data, a method maintains a chunk index on a client computing system coupled to a client data store. The chunk index tracks chunks within files remaining on the client data store after storage of the files to a deduplicated server data store coupled to a server computing system. The method determines whether a valid entry for a first chunk exists in the chunk index. In addition, the method retrieves the first chunk from the server data store responsive to determining the valid entry for the first chunk does not exist in the chunk index. The method further retrieves the first chunk from the client data store specified in the valid entry of the chunk index responsive to determining that the valid entry exists in the chunk index and the first chunk resides in a first file at a first offset. | 06-06-2013 |
20130144848 | DEDUPLICATED DATA PROCESSING RATE CONTROL - Workers are configured for parallel processing of deduplicated data entities in chunks. The deduplicated data processing rate is regulated using a rate control mechanism. The rate control mechanism incorporates a debt/credit algorithm specifying which of the workers processing the deduplicated data entities must wait for each of a multiplicity of calculated required sleep times. | 06-06-2013 |
20130144850 | STREAM COMPRESSION AND DECOMPRESSION - A method for compressing a sequence of records, each record comprising a sequence of fields, comprises steps of buffering a record in a line of a matrix, reordering the lines of the matrix according to locality sensitive hash values of the buffered records such that records with similar contents in corresponding fields are placed in proximity, and consolidating fields in columns of the matrix into a block of codes. In this, consolidating yields codes of one of a first type comprising a sequence of individual fields and a second type comprising a sequence of fields with at least one repetition. The second type of code comprises a presence field indicating repeated fields and an iteration field indicating a number of respective repetitions. Decompression of the records from the block codes compressed above is also described. | 06-06-2013 |
20130144851 | EFFICIENT DATA EXTRACTION BY A REMOTE APPLICATION - A query controller accesses a cache comprising information related to data that is newly added to a database, responsive to detecting a data extraction application is ready to query the database for at least one data extraction rule. The information is added to the cache for each new data event received by a data processing application, prior to the data processing application adding the data parsed from each new data event to the database. The query controller evaluates each data extraction rule against the information in the cache to determine whether the information is relevant to at least one data extraction rule. The query controller sends a separate query by the data extraction application to the database only for any particular data extraction rules to which the information is relevant, such that the query controller reduces the number of queries sent for each query period to only queries based on data extraction rules that need to be reevaluated based on the information updated about data that is newly added to the database. | 06-06-2013 |
20130144853 | DEVICE AND METHOD FOR ACQUIRING RESOURCE LOCK - A lock control device receives a lock acquisition request from an application and transmits a lock acquisition request to a DBMS, receives a lock acquisition response from the DBMS, and transmits a lock acquisition response to the application, and each time, acquires statistical time information including the lock request counter, subsequent lock request counter, lock request time, lock acquisition time, initial lock acquisition time, and subsequent lock request time. The statistical processing device calculates the lock request count for each resource, subsequent lock request count for each resource, average lock wait time, and average lock acquisition delay expectation time, based on the statistical time information. Based on these values, the statistical processing device calculates an evaluation value which is the degree of expectation for the time eliminated from the lock wait time when lock acquisition is postponed, and outputs the lock order of the resources arranged in order of smallest evaluation value to a lock order table. | 06-06-2013 |
20130144886 | METHOD FOR MANAGING EMAIL - This disclosure includes method for managing email that includes providing a list of topics, a reference associated with the topics, and a defined importance value for each reference. The method also includes preparing a topic relevance algorithm for each topic, each topic having associated attributes, and each topic relevance algorithm formed by estimating a relationship value between a topic and its associated attributes based on defined relevance of reference. The method further includes preparing an importance algorithm having a weighted importance attributes formed by estimating a weight for each of the importance attributes based on defined importance of the reference, assessing a target mail using the topic relevance algorithms to determine a topic relevance and against the importance algorithm to determine an importance and determining that a target mail has a threshold importance for a particular topic relevance. | 06-06-2013 |
20130144892 | METHOD AND APPARATUS FOR PERFORMING EXTENDED SEARCH - A method and apparatus for performing extended search are provided. The method includes receiving user-inputted keywords; extending the user-inputted keywords according to geographical information to acquire extended keywords; performing a search by using the extended keywords; and returning search results to the user. With the present technical solutions, privilege control can be effectively performed in a cloud storage system. With the present embodiments, more information may be provided to a user for reference. | 06-06-2013 |
20130144931 | CANDIDATE SET SOLVER WITH USER ADVICE - A stream application uses small chunks of executable code configured to process data tuples flowing into a processing element. A scheduler allocates the processing elements to individual compute nodes or hosts for execution. However, the stream application may assign various constraints that stipulate which hosts are suitable for a particular processing element. If a host meets the constraints, it may be categorized as an unconditional candidate host. In addition, the scheduler may also track conditional candidate hosts that would be suitable for the processing element if a certain user action is performed—e.g., modifying a constraint, removing a constraint, modifying a stream element, and the like. The list of conditional candidate hosts may then be displayed to the user who can choose to perform the one or more actions to change the conditional candidate host to an unconditional candidate host for the processing element. | 06-06-2013 |
20130144932 | SELECTED ALERT DELIVERY IN A DISTRIBUTED PROCESSING SYSTEM - Methods, apparatuses, and computer program products for selected alert delivery in a distributed processing system are provided. Embodiments include receiving a plurality of events from one or more event producing components of the distributed processing system; creating, by an incident analyzer, in dependence upon the events a truth space representing events that make one or more conditional event processing rules true, the truth space including a set of truth points, each truth point including a set of events and a set of event locations; creating, by the incident analyzer, in dependence upon the truth space one or more alerts including assigning one of the locations of the truth space to one or more of the alerts; and sending, by the incident analyzer, the alerts to at least one component of the distributed processing system. | 06-06-2013 |
20130144955 | ACTIVE CONTROL OF COLLABORATIVE DEVICES - Control of collaborative devices, including providing at least two collaborative devices, wherein each collaborative device comprises a client device and an embedded Java server, providing a registry service to which the collaborative devices are coupled for data communications, and providing at least one registry table, wherein the registry table includes registry records, wherein the registry records include registry records representing capabilities of collaborative devices, wherein the registry records representing capabilities of collaborative devices include data elements describing, for each collaborative device, capabilities, tertiary relationships, and network connectivities. The method further includes providing a service bundle of OSGI-compliant Java servlets comprising at least one predetermined algorithm for controlling the collaborative devices, and controlling the collaborative devices in accordance with the predetermined algorithm. | 06-06-2013 |
20130144973 | METHOD AND SYSTEM OF NETWORK TRANSFER ADAPTIVE OPTIMIZATION IN LARGE-SCALE PARALLEL COMPUTING SYSTEM - A method and system for performing network transfer adaptive optimization in a large-scale parallel computing system. The method of network transfer adaptive optimization includes forming a message to be transferred through the network based on obtained information related to a task executed by computing nodes, wherein the message includes identification information of the computing nodes to perform data transfer and corresponding sink nodes, and an amount of data the computing nodes to transfer to the corresponding sink nodes; transferring the message to a network layer; and forming a new data transfer pattern for data transfer between the computing nodes and the corresponding sink nodes in accordance with the received message. | 06-06-2013 |
20130144977 | SHARED-BANDWIDTH MULTIPLE TARGET REMOTE COPY - In one embodiment, a method for sharing bandwidth in a data processing system having a plurality of locations includes splitting data into a plurality of data elements, sending each one of the plurality of data elements to a different location selected from the plurality of locations, wherein each data element is different, and sending a message to each of the locations. In another embodiment, a method for sharing bandwidth in a data processing system having a plurality of locations, wherein the plurality of locations comprises a first location and plurality of further locations, includes receiving a first data element, receiving a message, wherein the message comprises an address of each of the further locations, and sending the first data element to each of the further locations of the plurality of further locations, responsive to determining the address of each of the further locations. | 06-06-2013 |
20130145012 | CONSTRUCTING A LOGICAL, REGULAR AXIS TOPOLOGY FROM AN IRREGULAR TOPOLOGY - Constructing a logical regular topology from an irregular topology including, for each axial dimension and recursively, for each compute node in a subcommunicator until returning to a first node: adding to a logical line of the axial dimension a neighbor specified in a nearest neighbor list; calling the added compute node; determining, by the called node, whether any neighbor in the node's nearest neighbor list is available to add to the logical line; if a neighbor in the called compute node's nearest neighbor list is available to add to the logical line, adding, by the called compute node to the logical line, any neighbor in the called compute node's nearest neighbor list for the axial dimension not already added to the logical line; and, if no neighbor in the called compute node's nearest neighbor list is available to add to the logical line, returning to the calling compute node. | 06-06-2013 |
20130145034 | AGILE HOSTPOOL ALLOCATOR - A stream application may use small chunks of executable code configured to process data tuples flowing into a processing element. A scheduler allocates the processing elements to individual compute nodes or hosts for execution. However, the stream application may assign various constraints that stipulate which hosts are suitable for a particular processing element. To assign hosts to processing elements such that the constraints are satisfied, the scheduler may use hostpools associated with the processing elements. Once a host is identified that satisfies the constraints, it may be pinned at a particular index within the hostpool. | 06-06-2013 |
20130145089 | CACHE MEMORY MANAGEMENT IN A FLASH CACHE ARCHITECTURE - Provided is a method for managing cache memory to cache data units in at least one storage device. A cache controller is coupled to at least two flash bricks, each comprising a flash memory. Metadata indicates a mapping of the data units to the flash bricks caching the data units, wherein the metadata is used to determine the flash bricks on which the cache controller caches received data units. The metadata is updated to indicate the flash brick having the flash memory on which data units are cached. | 06-06-2013 |
20130145100 | MANAGING METADATA FOR DATA IN A COPY RELATIONSHIP - Provided is a method for managing metadata for data in a copy relationship copied from a source storage to a target storage. Information is maintained on a copy relationship of source data in the source storage and target data in the target storage. The source data is copied from the source storage to the cache to copy to target data in the target storage indicated in the copy relationship. Target metadata is generated for the target data comprising the source data copied to the cache. An access request to requested target data comprising the target data in the cache is processed and access is provided to the requested target data in the cache. The target metadata for the requested target data in the target storage is discarded in response to determining that the requested target data in the cache has not been destaged to the target storage. | 06-06-2013 |
20130145115 | SPATIAL EXTENT MIGRATION FOR TIERED STORAGE ARCHITECTURE - Provided are techniques for migrating a first extent, determining a spatial distance between the first extent and a second extent, determining a ratio of a profiling score of the second extent to the spatial distance, and, in response to determining that the ratio exceeds a threshold, migrating the second extent. | 06-06-2013 |
20130145118 | Virtual Storage Mirror Configuration in Virtual Host - A method for configuring mirrors of virtual storage devices in a virtual host includes obtaining a topology connection relationship between the virtual storage devices to be configured with mirrors and the virtual host, where the topology connection relationship is a hierarchical relationship in a tree shape with the virtual host as a root node and the virtual storage devices to be configured with mirrors as leaf nodes, and configuring the mirrors of the virtual storage devices to be configured with mirrors in the virtual host according to the obtained topology connection relationship. The method and the system for configuring mirrors of virtual storage devices in a virtual host can increase reliability. | 06-06-2013 |
20130145121 | DYNAMICALLY CONFIGURABLE PLACEMENT ENGINE - A stream application may allocate processing elements to one or more compute nodes (or hosts) to achieve a desired optimization goal. Each optimization mode may define processing element selection criteria and/or host selection criteria. When allocating a processing element to a host, a scheduler may place each processing element individually. Accordingly, the scheduler may use the processing element selection criteria for selecting which processing element in the stream application to allocate next. The scheduler may then determine, based on one or more constraints, which host the processing element can be placed on. If the scheduler determines that multiple hosts are suitable candidates for the processing element, it may use the host selection criteria to pick one of the candidate hosts that further optimize the stream application to meet the desired goal. Examples of different optimization goals that may be achieved using processing element and host selection criteria include optimizing performance, decreasing maintenance and operating costs, increasing solvability, sharing limited computer resources with other applications, and the like. | 06-06-2013 |
20130145200 | RAM-BASED EVENT COUNTERS USING TRANSPOSITION - Methods and structures that implement an event counter in a RAM are provided. A method includes providing a count-RAM, a carry-RAM, and a pre-counter corresponding to an event source. A column in the count-RAM and a column in the carry-RAM represent a value of a value of the event counter. The method further includes storing a count of the event counter received via the pre-counter in the count-RAM and the carry-RAM in a transposed, bit-serial format, such that location zero of the count-RAM and the carry-RAM counts the least significant bit (LSB) of the event counter. | 06-06-2013 |
20130145206 | METHOD AND SYSTEM FOR USING A STANDBY SERVER TO IMPROVE REDUNDANCY IN A DUAL-NODE DATA STORAGE SYSTEM - A standby server, a first main server, and a second main server to control shared input/output (I/O) adapters in a storage system are provided. The standby server is in communication with the first main server and the second main server, and the storage system is configured to operate as a dual node active system. The standby server is activated in response to receiving a communication from the first main server of a fail mode of the second main server. Systems and physical computer storage media are also provided. | 06-06-2013 |
20130145342 | DYNAMIC JAVA BEAN FOR VISUALAGE FOR JAVA - A uniform strategy for the general problem of providing custom editors and initialization strings for beans (all “dynabeans” inherit from a common bean class that incorporates this strategy. This is then extended to allow the initialization strings to be stored in a relational database. The database function is an extension of the function provided by the VisualAge Persistence Builder (using some of the EADP extensions). An important advantage of this approach is that many changes to the application can now be handled by adjusting the database version of the bean definition, without a need to touch the underlying code. This will reduce down time for the application, and also improve application stability. | 06-06-2013 |
20130145352 | BUSINESS PROCESS EXECUTION LANGUAGE PROGRAM SIMULATION - A BPEL program simulator can include a document object modeler configured to arrange activities defined by a BPEL document into a document object model (DOM) tree. The DOM tree can include activity nodes and links between the activity nodes. The BPEL program simulator further can include simulation logic configured to process the activity nodes to emulate at least one BPEL activity selected from the group consisting of Sequence, Flow, While, Pick and OnMessage, Switch and Case, Invoke, Script, Receive, Reply, Empty, Staff, and Assign. | 06-06-2013 |
20130145374 | SYNCHRONIZING JAVA RESOURCE ACCESS - A method and an apparatus for synchronizing Java resource access. The method includes configuring for a first access interface of a resource set, a first monitor, and configuring, for a second access interface of the resource set, a second monitor, configuring, for the first monitor, a first waiting queue, and the second monitor, a second waiting queue, in response to the first access interface receiving an access request for a resource from a thread, the first monitor querying whether the resource set has a resource satisfying the access request, in response to a positive querying result, the thread obtains the resource and notifies the second monitor to awake a thread in the second waiting queue, in response to a negative querying result, the first monitor puts the thread in the first waiting queue to queue up. | 06-06-2013 |
20130145379 | DETERMINING COLLECTIVE BARRIER OPERATION SKEW IN A PARALLEL COMPUTER - Determining collective barrier operation skew in a parallel computer that includes a number of compute nodes organized into an operational group includes: for each of the nodes until each node has been selected as a delayed node: selecting one of the nodes as a delayed node; entering, by each node other than the delayed node, a collective barrier operation; entering, after a delay by the delayed node, the collective barrier operation; receiving an exit signal from a root of the collective barrier operation; and measuring, for the delayed node, a barrier completion time. The barrier operation skew is calculated by: identifying, from the compute nodes' barrier completion times, a maximum barrier completion time and a minimum barrier completion time and calculating the barrier operation skew as the difference of the maximum and the minimum barrier completion time. | 06-06-2013 |
20130145433 | USING A LOCAL AUTHORIZATION EXTENSION TO PROVIDE ACCESS AUTHORIZATION FOR A MODULE TO ACCESS A COMPUTING SYSTEM - Provided are a method, system, and computer program product for a local authorization extension to provide access authorization for a module to access a computing system. A memory stores information on a first validity range comprising position coordinates for a module seeking to access the computing system and a second validity range comprising position coordinates for a location authorization extension for a computing system. A determination is made of a first position signal from a first receiver of the module and of a second position signal from a second receiver of the location authorization module. Determinations are made as to whether the first position signal is within the first validity range and whether the second position signal is within the second validity range. The module is granted access to the computing system in response to determining that the first position signal is within the first validity range and the second position signal is within the second validity range. | 06-06-2013 |
20130145857 | NANOWIRE STRESS SENSORS AND STRESS SENSOR INTEGRATED CIRCUITS, DESIGN STRUCTURES FOR A STRESS SENSOR INTEGRATED CIRCUIT, AND RELATED METHODS - Methods for sensing a mechanical stress and methods of making stress sensor integrated circuits. The sensing methods include transferring the mechanical stress from the object to one or more nanowires in a stress sensor or stress sensor circuit and permitting the nanowires to change in length in response to the mechanical stress. An electrical characteristic of the stress sensor or stress sensor circuit, which has a variation correlated with changes in the magnitude of the mechanical stress, is measured and then assessed to determine the stress magnitude. The manufacture methods include electrically connecting nanowire field effect transistors having, as channel regions, one or more nanowires of either a different crystalline orientation or a different body width for the individual nanowires so that an offset output voltage results when mechanical strain is applied to the nanowires. | 06-13-2013 |
20130146139 | LOW COST SOLAR CELL MANUFACTURE METHOD EMPLOYING A REUSABLE SUBSTRATE - A reusable substrate and method for forming single crystal silicon solar cells are described. A method of forming a photovoltaic cell includes forming an intermediate layer on a monocrystalline silicon substrate, forming a monocrystalline silicon layer on the intermediate layer, and forming electrical features in the monocrystalline silicon layer. The method further includes forming openings in the monocrystalline silicon layer, and detaching the monocrystalline silicon layer from the substrate by selectively etching the intermediate layer through the openings. | 06-13-2013 |
20130146429 | NANO-ELECTROMECHANICAL SWITCH - A nano-electromechanical switch and a method for designing a nano-electromechanical switch. The nano-electromechanical switch includes at least one actuator electrode and a curved cantilever beam. The curved cantilever beam is adapted to flex in response to an activation voltage applied between the actuator electrode and the curved cantilever beam to provide an electrical contact between the curved cantilever beam and an output electrode of the nano-electromechanical switch. Before, during and after the curved cantilever beam flex in response to the activation voltage, a remaining gap between the curved cantilever beam and the actuator electrode is uniform. | 06-13-2013 |
20130146805 | ETCHANT FOR CONTROLLED ETCHING OF GE AND GE-RICH SILICON GERMANIUM ALLOYS - The present disclosure provides a chemical etchant which is capable of removing Ge and Ge-rich SiGe alloys in a controlled manner. The chemical etchant of the present disclosure includes a mixture of a halogen-containing acid, hydrogen peroxide, and water. Water is present in the mixture in an amount of greater than 90% by volume of the entire mixture. The present disclosure also provides a method of making such a chemical etchant. The method includes mixing, in any order, a halogen-containing acid and hydrogen peroxide to provide a halogen-containing acid/hydrogen peroxide mixture, and adding water to the halogen-containing acid/hydrogen peroxide mixture. Also disclosed is a method of etching a Ge or Ge-rich SiGe alloy utilizing the chemical etchant of the present application. | 06-13-2013 |
20130146948 | MICROMECHANICAL DEVICE AND METHODS TO FABRICATE SAME USING HARD MASK RESISTANT TO STRUCTURE RELEASE ETCH - A structure includes a silicon layer disposed on a buried oxide layer that is disposed on a substrate; at least one transistor device formed on or in the silicon layer, the at least one transistor having metallization; a released region of the silicon layer disposed over a cavity in the buried oxide layer; a back end of line (BEOL) dielectric film stack overlying the silicon layer and the at least one transistor device; a nitride layer overlying the BEOL dielectric film stack; a hard mask formed as a layer of hafnium oxide overlying the nitride layer; and an opening made through the layer of hafnium oxide, the layer of nitride and the BEOL dielectric film stack to expose the released region of the silicon layer disposed over the cavity in the buried oxide layer. The hard mask protects the underlying material during a MEMS/NEMS HF vapor release procedure. | 06-13-2013 |
20130146965 | METHODOLOGY FOR FABRICATING ISOTROPICALLY RECESSED DRAIN REGIONS OF CMOS TRANSISTORS - A method for fabricating recessed drain regions of aggressively scaled CMOS devices. In this method a processing sequence of plasma etch, deposition, followed by plasma etch is used to controllably form recessed regions of the drain in the channel of a thin body, much less than 40 nm, device to enable subsequent epitaxial growth of SiGe, SiC, or other materials, and a consequent increase in the device and ring oscillator performance. A Field Effect Transistor device is also provided, which includes: a buried oxide layer; a silicon layer above the buried oxide layer; an isotropically recessed drain region; and a gate stack which includes a gate dielectric, a conductive material, and a spacer. | 06-13-2013 |
20130146985 | TRENCH ISOLATION STRUCTURE - A trench isolation structure and method of forming the trench isolation structure are disclosed. The method includes forming a shallow trench isolation (STI) structure having an overhang and forming a gate stack. The method further includes forming source and drain recesses adjacent to the STI structure and the gate stack. The source and drain recesses are separated from the STI structure by substrate material. The method further includes forming epitaxial source and drain regions associated with the gate stack by filling the source and drain recesses with stressor material. | 06-13-2013 |
20130147015 | DEEP TRENCH DECOUPLING CAPACITOR AND METHODS OF FORMING - Solutions for forming a silicided deep trench decoupling capacitor are disclosed. In one aspect, a method of forming a semiconductor device includes: forming an outer trench in a silicon substrate, the forming exposing portions of the silicon substrate below an upper surface of the silicon substrate; depositing a dielectric liner layer inside the trench; depositing a doped polysilicon layer over the dielectric liner layer, the doped polysilicon layer forming an inner trench in the silicon substrate; forming a silicide layer over a portion of the doped polysilicon layer; forming an intermediate contact layer within the inner trench; and forming a contact over a portion of the intermediate contact layer and a portion of the silicide layer. | 06-13-2013 |
20130147017 | BIPOLAR JUNCTION TRANSISTORS WITH A LINK REGION CONNECTING THE INTRINSIC AND EXTRINSIC BASES - Methods for fabricating bipolar junction transistors, bipolar junction transistors made by the methods, and design structures for a bipolar junction transistor. The bipolar junction transistor includes a dielectric layer on an intrinsic base and an extrinsic base at least partially separated from the intrinsic base by the dielectric layer. An emitter opening extends through the extrinsic base and the dielectric layer. The dielectric layer is recessed laterally relative to the emitter opening to define a cavity between the intrinsic base and the extrinsic base. The cavity is filled with a semiconductor layer that physically links the extrinsic base and the intrinsic base together. | 06-13-2013 |
20130147530 | HIGH FREQUENCY QUADRATURE PLL CIRCUIT AND METHOD - A method includes phase-shifting an output signal of a phase lock loop (PLL) circuit by applying an injection current to an output of a charge pump of a the PLL circuit. A circuit includes: a first phase lock loop (PLL) circuit and a second PLL circuit referenced to a same clock; a phase detector circuit that detects a phase difference between an output signal of the first PLL circuit and an output signal of the second PLL circuit; and an adjustable current source that applies an injection current to at least one of the first PLL circuit and the second PLL circuit based on an output of the phase detector circuit. | 06-13-2013 |
20130148237 | MAGNETIC TAPE HEAD HAVING LUBRICANT IN RECESSED PORTIONS OF A TAPE BEARING SURFACE THEREOF, AND SYSTEMS HAVING THE HEAD - A magnetic tape head according to one embodiment includes a first section having a tape bearing surface (TBS) and portions that are recessed from the TBS; a thin film section coupled to the first section, the thin film section comprising transducers for at least one of reading from and writing to a magnetic tape; and a lubricating layer above at least the first section. Additional systems and methods are also disclosed. | 06-13-2013 |
20130148454 | DATA SECURITY FOR DYNAMIC RANDOM ACCESS MEMORY USING BODY BIAS TO CLEAR DATA AT POWER-UP - A circuit and method erase at power-up all data stored in a DRAM chip for increased data security. All the DRAM memory cells are erased by turning on the transistors for the DRAM storage cells simultaneously by increasing the body voltage of cells. In the example circuit, the body voltage is increased by a charge pump controlled by a power-on-reset (POR) signal applying a voltage to the p-well of the memory cells. The added voltage to the p-well lowers the threshold voltage of the cell, such that the NFET transistor of the memory cell will turn on. With all the devices turned on, the data stored in the memory cells is erased as the voltage of all the cells connected to a common bitline coalesce to a single value. | 06-13-2013 |
20130148546 | SUPPORT FOR CONVERGED TRAFFIC OVER ETHERNET LINK AGGREGATION (LAG) - In one embodiment, a system includes a switching processor configured to execute logic, a plurality of ports, at least some of the ports being grouped together in one or more link aggregations (LAGs), logic adapted to create at least a first virtual local area network (VLAN) interface for Ethernet traffic using transmission control protocol/internet protocol (TCP/IP) that is received on at least one of the plurality of ports, and logic adapted to create at least a second VLAN for storage area network (SAN) traffic using Fiber Channel over Ethernet (FCoE) and/or remote direct access memory (RDMA) over Converged Enhanced Ethernet (RoCEE) that is received on at least one of the plurality of ports, wherein no SAN traffic using FCoE and/or RoCEE is assigned to the first VLAN, and wherein no Ethernet traffic using TCP/IP is assigned to the second VLAN. | 06-13-2013 |
20130148601 | SHARING FREQUENCIES IN AN OFDM-BASED WIRELESS COMMUNICATION SYSTEM - A method and apparatus for sharing frequencies in an orthogonal frequency-division multiplexing OFDM-based wireless communication system, wherein the OFDM-based wireless communication system comprises a base station and a mobile device that work within a radio frequency band, the method comprising: detecting an interference signal in the radio frequency band; setting by the base station, based upon the interference signal, interference indication information in a control header of a downlink frame to be sent to the mobile device, the interference indication information indicating a frequency range that is occupied by the interference signal in the radio frequency band; and sending by the base station the downlink frame to the mobile device, such that the mobile device may, based upon the interference indication information, avoid the frequency range where the interference signal is located. | 06-13-2013 |
20130149195 | CORROSION SENSORS - Corrosion sensor apparatus for detection of contamination affecting metal based components and devices. For example, an apparatus includes: a set of corrosion sensor elements, wherein a width of a first corrosion sensor element is different than a width of a second corrosion sensor element, wherein each corrosion sensor element is susceptible to corrosion caused by an operating environment of the corrosion sensor elements; and a set of reference elements wherein a width of a first reference sensor element is substantially equal to the width of the first corrosion sensor element and a width of a second reference sensor element is substantially equal to the width of the second corrosion sensor element, wherein each reference sensor element is not substantially susceptible to corrosion caused by the operating environment of the corrosion sensor elements. | 06-13-2013 |
20130149823 | SEMICONDUCTOR DEVICE HAVING SILICON ON STRESSED LINER (SOL) - A method of fabricating an integrated circuit and an integrated circuit having silicon on a stress liner are disclosed. In one embodiment, the method comprises providing a semiconductor substrate comprising an embedded disposable layer, and removing at least a portion of the disposable layer to form a void within the substrate. This method further comprises depositing a material in that void to form a stress liner, and forming a transistor on an outside semiconductor layer of the substrate. This semiconductor layer separates the transistor from the stress liner. In one embodiment, the substrate includes isolation regions; and the removing includes forming recesses in the isolation regions, and removing at least a portion of the disposable layer via these recesses. In one embodiment, the depositing includes depositing a material in the void via the recesses. End caps may be formed in the recesses at ends of the stress liner. | 06-13-2013 |
20130149832 | TRANSISTOR STRUCTURE WITH A SIDEWALL-DEFINED INTRINSIC BASE TO EXTRINSIC BASE LINK-UP REGION AND METHOD OF FORMING THE TRANSISTOR - Disclosed are embodiments of a bipolar or heterojunction bipolar transistor and a method of forming the transistor. The transistor can incorporate a dielectric layer sandwiched between an intrinsic base layer and a raised extrinsic base layer to reduce collector-base capacitance C | 06-13-2013 |
20130149859 | TUNGSTEN METALLIZATION: STRUCTURE AND FABRICATION OF SAME - A local interconnect structure is provided in which a tungsten region, i.e., tungsten stud, that is formed within a middle-of-the-line (MOL) dielectric material is not damaged and/or contaminated during a multiple interconnect patterning process. This is achieved in the present disclosure by forming a self-aligned tungsten nitride passivation layer within a topmost surface and upper sidewalls portions of the tungsten region that extend above a MOL dielectric material which includes a first interconnect pattern formed therein. During the formation of the self-aligned tungsten nitride passivation layer, a nitrogen enriched dielectric surface also forms within exposed surface of the MOL dielectric material. A second interconnect pattern is then formed adjacent to, but not connect with, the first interconnect pattern. Because of the presence of the self-aligned tungsten nitride passivation layer on the tungsten region, no damaging and/or contamination of the tungsten region can occur. | 06-13-2013 |
20130149865 | METHOD AND STRUCTURE FOR DIFFERENTIAL SILICIDE AND RECESSED OR RAISED SOURCE/DRAIN TO IMPROVE FIELD EFFECT TRANSISTOR - A method forms an integrated circuit structure. The method patterns a protective layer over a first-type field effect transistor and removes a stress liner from above a second-type field effect transistors. Then, the method removes a first-type silicide layer from source and drain regions of the second-type field effect transistor, but leaves at least a portion of the first-type silicide layer on the gate conductor of the second-type field effect transistor. The method forms a second-type silicide layer on the gate conductor and the source and drain regions of the second-type field effect transistor. The second-type silicide layer that is formed is different than the first-type silicide layer. For example, the first-type silicide layer and the second-type silicide layer can comprise different materials, different thicknesses, different crystal orientations, and/or different chemical phases, etc. | 06-13-2013 |
20130150985 | Abnormality Detection for Isolating a Control System - A mechanism is provided for effectively detecting an abnormality occurring in a control system and isolating the control system in which abnormality is acknowledged. The mechanism receives, from one or more control systems in the plurality of control systems, respective abnormality notifications for respective counter control systems to be monitored by the plurality of control systems. The mechanism adds up abnormality notifications transmitted from respective monitoring sections of the plurality of control systems so as to evaluate the reputation of a control system suspected to have an abnormality. The mechanism causes a protected area for operating the control system suspected to have an abnormality to restrict outbound traffic from at least the inside of the protected area, when an indication is identified that the control system is abnormal according to criteria from a result of the evaluation. | 06-13-2013 |
20130151238 | Generation of Natural Language Processing Model for an Information Domain - Embodiments relate to a method, apparatus and program product and for generating a natural language processing model for an information domain. The method derives a skeleton of a natural language lexicon from a source model and uses it to form a dictionary. It also applies a set of syntactical rules defining concepts and relationships to the dictionary and expands the skeleton of the natural language lexicon based on a plurality of reference documents from the information domain. Using the expanded skeleton of the natural language lexicon, it also provides a natural language processing model for the information domain. | 06-13-2013 |
20130151435 | Automated Partitioning of Transportation Routing Problems - Method and system are provided for automated partitioning of transportation routing problems. The method includes: determining a threshold number of shipments per partition; selecting a geographic centre; mapping delivery and/or pickup sites at geographic locations; | 06-13-2013 |
20130151473 | METHODS, SYSTEMS, AND PHYSICAL COMPUTER STORAGE MEDIA FOR BACKING UP A DATABASE - Methods, systems, and physical computer storage media are now provided that improve backing up data sets. Each database transaction is registered to a central repository prior to starting the database transaction, each database transaction comprising a plurality of writes to be executed for a database application on a database, receiving a first signal indicating a start of a backup application on the database, and quiescing all database transactions registered to the central repository to thereby wait for all ongoing writes of the database transactions registered to the central repository to complete and to release control of the database to the database application | 06-13-2013 |
20130151542 | Identity Propagation through Application Layers Using Contextual Mapping and Planted Values - Mechanisms are provided for propagating source identification information from an application front-end system in an application layer to a data layer inspection system associated with a back-end system. An incoming user request is received, at the data layer inspection system, from a gateway system associated with the application front-end system. One or more outgoing statements targeting a back-end system are received at the data layer inspection system. The data layer inspection system accesses a mapping data structure based on the one or more outgoing statements to thereby correlate the one or more outgoing statements with the incoming user request. The data layer inspection system retrieves source identification information associated with the incoming user request based on the correlation of the one or more outgoing statements with the incoming user request. The data layer inspection system performs a data layer inspection operation based on the source identification information. | 06-13-2013 |
20130151627 | METHOD AND APPARATUS FOR CONTROLLING INFORMATION IN VIRTUAL WORLD - Provided is a method for controlling information shared in a virtual world by a server and a plurality of users' client terminals connected to each other through a network. In this information control method, a computer creates a third object between a first object and a second object in the virtual world when the first object and second object transmit communication information therebetween, and filters the information with the third object. In addition, when an object transmits visual information, the third object can be created around the object transmitting the visual information. The third object can be created as a pseudo wall. | 06-13-2013 |
20130151654 | DATA SERVICES USING LOCATION PATTERNS AND INTELLIGENT CACHING - Pre-caching may decrease the response time necessary for a wireless communication network to fulfill a user request. Pre-caching includes predicting what data the user will request next and preemptively fetching that data from a network (e.g., the Internet). Additionally, the wireless communication network may predict the geographic location of the mobile device when it makes the request. Instead of pre-caching the data in the memory of the mobile device, the data may be stored at a wireless access point that has a radiation pattern that covers the predicted geographic location of the mobile device. Once the wireless access point receives the request from the mobile device for the pre-cached data, the pre-cached data may be transmitted wirelessly to the mobile device, thereby avoiding having to forward the request to rest of the communication network. | 06-13-2013 |
20130151701 | METHOD FOR ALLOCATING A SERVER AMONGST A NETWORK OF HYBRID STORAGE DEVICES - Methods for reducing power consumption and power leakage in hybrid storage clusters is provided. More specifically, the method is for allocating an appropriate server amongst a plurality of servers in a network by identifying an application to be executed in a network, wherein the network comprises a plurality of servers configured to execute the applications, and each server further comprising a hybrid memory system; based on the application to be executed, dynamically identifying resources to execute the application based on the hybrid memory system available and the power consumption for executing the application; and dynamically allocating the application to the identified resource for execution. | 06-13-2013 |
20130151712 | Managing Organizational Computing Resources in Accordance with Computing Environment Entitlement Contracts - Mechanisms for reserving computing resources of a data processing system are provided. These mechanisms generate one or more computing environment entitlement contract (CEEC) data structures, each CEEC data structure defining terms of a business level agreement between a contracting party and a provider of the data processing system. These mechanisms associate a set of computing resources with a CEEC data structure. The mechanisms then manage the set of one or more computing resources in accordance with the associated CEEC. Such management includes, in response to a contracting party failing to utilize the computing resources in the selected computing resource cohort for a specified purpose at approximately a specified level and pattern of intensity during approximately a specified period of time, all of which are identified in the CEEC data structure, then the CEEC data structure is invalidated or nullified. | 06-13-2013 |
20130151721 | Remote Session Management - Provided is reporting session information for a session upstream from a server. A data processing system receives a session handshake from a first client for a session between the server and the first client. The system receives a session-descriptor for a session between a second client and the first client before handling a nested session. The system determines whether a user has made an upstream session request. The system obtains session data from an upstream data structure, responsive to a determination that the user has made an upstream session request. The system renders the session data based on the upstream session request. | 06-13-2013 |
20130151740 | AUTONOMIC ASSIGNMENT OF COMMUNICATION BUFFERS BY AGGREGATING SYSTEM PROFILES - A method, system and apparatus for autonomic buffer configuration. In accordance with the present invention, an autonomic buffer configuration method can include monitoring data flowing through buffers in a communications system and recording in at least one buffer profile different data sizes for different ones of the data flowing through the buffers during an established interval of time. An optimal buffer size can be computed based upon a specification of a required percentage of times a buffer must be able to accommodate data of a particular size. Subsequently, at least one of the buffers can be re-sized without re-initializing the at least one resized buffer. | 06-13-2013 |
20130151743 | NETWORK ADAPTOR OPTIMIZATION AND INTERRUPT REDUCTION - A method and system are disclosed for network adaptor optimization and interrupt reduction. The method may also build an outbound buffer list based on outgoing data and add the outgoing data to an outbound buffer queue. Furthermore, the method may set a buffer state from an empty state to a primed state to indicate that the outgoing data is prepared for transmitting and signal a network adaptor with a notification signal. | 06-13-2013 |
20130151774 | Controlling a Storage System - A method, computer-readable storage medium and computer system for controlling a storage system, the storage system comprising a plurality of logical storage volumes, the method comprising: monitoring, for each of the logical storage volumes, one or more load parameters; receiving, for each of the logical storage volumes, one or more load parameter threshold values; comparing, for each of the logical storage volumes, the first load parameter values of said logical storage volume with the corresponding one or more load parameter threshold values; in case at least one of the first load parameter values of one of the logical storage volumes violates the load parameter threshold value it is compared with, automatically executing a corrective action. | 06-13-2013 |
20130151783 | INTERFACE AND METHOD FOR INTER-THREAD COMMUNICATION - The interface for inter-thread communication between a plurality of threads including a number of producer threads for producing data objects and a number of consumer threads for consuming the produced data objects includes a specifier and a provider. The specifier is configured to specify a certain relationship between a certain producer thread of the number of producer threads which is adapted to produce a certain data object and a consumer thread of the number of consumer threads which is adapted to consume the produced certain data object. Further, the provider is configured to provide direct cache line injection of a cache line of the produced certain data object to a cache allocated to the certain consumer thread related to the certain producer thread by the specified certain relationship. | 06-13-2013 |
20130151786 | LOGICAL BUFFER POOL EXTENSION - A method for logical buffer pool extension identifies a page in a memory for eviction, and analyzes characteristics of the page to form a differentiated page. The characteristics of the page include descriptors that include a workload type, a page weight, a page type, frequency of access and timing of most recent access. The method also identifies a target location for the differentiated page from a set of locations including a fastcache storage and a hard disk storage to form an identified target location. The method further selects an eviction operation from a set of eviction operations using the characteristics of the differentiated page and the identified target location. The differentiated page is written to the identified target location using the selected eviction operation, where the differentiated page is written only to the fastcache storage. | 06-13-2013 |
20130151834 | Deployment of a Software Image on Multiple Targets with Streaming Technique - Deploying a software image from a source data-processing system on target data-processing entities of a target data-processing system, the software image including memory blocks being individually accessible, with a predefined subset of the memory blocks defining a bootstrap module. The deploying includes downloading the bootstrap module onto a main one of the target data-processing entities from the source data-processing system, booting the main target data-processing entity from the bootstrap module thereby loading a streaming driver in the bootstrap module, and serving each request of accessing a selected memory block of the software image on the main data-processing entity by the streaming driver. | 06-13-2013 |
20130151835 | Deployment of a Software Image on Multiple Targets with Streaming Technique - Deploying a software image from a source data-processing system on target data-processing entities of a target data-processing system, the software image including memory blocks being individually accessible, with a predefined subset of the memory blocks defining a bootstrap module. The deploying includes downloading the bootstrap module onto a main one of the target data-processing entities from the source data-processing system, booting the main target data-processing entity from the bootstrap module thereby loading a streaming driver in the bootstrap module, and serving each request of accessing a selected memory block of the software image on the main data-processing entity by the streaming driver. | 06-13-2013 |
20130151866 | METHOD AND SYSTEM FOR SECURE DATA STORAGE - A method and system for secure data storage and retrieval is provided. A sequence of data units is divided into multiple subsets of data units corresponding to multiple data channels. The multiple data channels are assigned to multiple data writers based on a key code. Then, each subset of data units is transferred to a writer via an assigned channel for writing to storage media. Thereafter, to securely retrieve the stored data, each subset of data units is read from the storage media using a data reader. The original sequence of data units can only be reassembled using the key code for properly reassembling the subsets of data units into their original sequence. | 06-13-2013 |
20130151906 | Analysis of Tests of Software Programs Based on Classification of Failed Test Cases - A solution is proposed for analyzing a test of a software program comprising a plurality of software components, the test comprising a plurality of test cases each one for exercising a set of corresponding exercised software components. A corresponding method comprises the steps of receiving an indication of each failed test case whose current execution has failed, retrieving a suspicion attribute of each failed test case indicative of a change to the corresponding exercised software components since a previous execution of the failed test case, retrieving a change attribute of each failed test case indicative of a change to the failed test case since the previous execution thereof, retrieving a regression attribute of each failed test case indicative of a regression of the failed test case since the previous execution thereof, and classifying each failed test case into a plurality of disjoint classes according to the corresponding suspicion attribute, change attribute and regression attribute. | 06-13-2013 |
20130151915 | EFFICIENCY OF COMPRESSION OF DATA PAGES - A technique for controlling scan access of multiple scan devices (including or more slave scan devices and a master scan device) to a scan chain includes sending, by a requesting slave scan device included in the one or more slave scan devices, a first request for access to the scan chain to the master scan device. The master scan device and the one or more slave scan devices are connected to the scan chain. The technique also includes receiving, at the requesting slave scan device, an evaluation result from the master scan device and accessing, by the requesting slave scan device, the scan chain in response to the evaluation result indicating access granted. Finally, the technique includes sending, by the requesting slave scan device, one or more second requests for access to the scan chain to the master scan device in response to the evaluation result indicating access denied. | 06-13-2013 |
20130151945 | Processing Published and Subscribed Events - A method and system for processing published and subscribed events. The method includes the steps of: parsing definitions of published events and subscribed events in widget definition files in a webpage, generating a set of published events and a set of subscribed events, respectively, based on the definitions of the published events and the subscribed events, and generating a definition file of a hidden widget based on the set of published events and the set of subscribed evens, where at least one step is carried out on a computer device. | 06-13-2013 |
20130151958 | METHOD FOR DISPLAYING ELECTRONIC DOCUMENT, AND DEVICE AND COMPUTER PROGRAM THEREFOR - An electronic document includes a set of pages. A display is used that is configured to sense movement of a movable object in a vertical direction relative to a screen. In response to sensing movement using the display, a page corresponding to the electronic document is displayed on the screen in accordance with the sensed movement. | 06-13-2013 |
20130151999 | Providing Additional Information to a Visual Interface Element of a Graphical User Interface - A mechanism provides additional information to a visual interface element of a graphical user interface in an operating system environment. To display the additional information to the visual interface element on the information container layer, a background service process determines for each of the visual interface elements of the graphical user interface whether at least one configured context is assigned; collecting information across all applications from at least one information or status source related to the at least one assigned context; generating and placing a corresponding information container on the information container layer to be visible at a relative position to the corresponding visual interface element of the graphical user interface on the display area. | 06-13-2013 |
20130152022 | Mouse Movement Using Multiple Thresholds Utilizing Linear Exponential Acceleration and Sub-Pixel Precision - Moving a pointer in a graphical user interface environment is provided. An input comprising an initial delta value determined by a device driver is received from the device driver. The initial delta value is located in a data structure. A new delta value associated with the initial delta value is selected from the data structure. A new position of a pointer in the graphical user interface environment is calculated based on the new delta value. The new position of the pointer is sent to the graphical user interface environment for rendering. | 06-13-2013 |
20130152025 | METHOD AND SYSTEM FOR COMPUTING FOURIER SERIES COEFFICIENTS FOR MASK LAYOUTS USING FFT - A method and system for computing Fourier coefficients for a Fourier representation of a mask transmission function for a lithography mask. The method includes: sampling a polygon of a mask pattern of the lithography mask to obtain an indicator function which defines the polygon, performing a Fourier Transform on the indicator function to obtain preliminary Fourier coefficients, and scaling the Fourier coefficients for the Fourier representation of the mask transmission function, where at least one of the steps is carried out using a computer device. | 06-13-2013 |
20130152027 | METHOD FOR COMPENSATING FOR VARIATIONS IN STRUCTURES OF AN INTEGRATED CIRCUIT - A method of for compensating for variations in structures of an integrated circuit. The method includes (a) selecting a mask design shape and selecting a region of the mask design shape; (b) applying a model-based optical proximity correction to all of the mask design shape; and after (b), (c) applying a rules-based optical proximity correction to the selected region of the mask design shape. | 06-13-2013 |
20130152059 | METHODS AND ARRANGEMENTS FOR UNIFIED PROGRAM ANALYSIS - A unified program analysis framework that facilitates the analysis of complex multi-language software systems, analysis reuse, and analysis comparison, by employing techniques such as program translation and automatic results mapping, is presented. The feasibility and effectiveness of such a framework are demonstrated using a sample application of the framework. The comparison yields new insights into the effectiveness of the techniques employed in both analysis tools. These encouraging results yield the observation that such a unified program analysis framework will prove to be valuable both as a testbed for examining different language analysis techniques, and as a unified toolset for broad program analysis. | 06-13-2013 |
20130152068 | Local Server Management of Software Updates to End Hosts Over Low Bandwidth, Low Throughput Channels - Various system embodiments for updating software on end hosts in computing environments and particularly storage environments are provided. A remote server pushes the software update image to and through a local server via a network connection and high-throughput channels and to the end host via low-throughput channels. The local server manages the update process; the remote server simply pushes the software update image and the end host simply receives and applies an update. The local server stares the current software image running on the end host and decides whether it is more efficient to simply send the software update image on or to create, send and apply a patch at the end host. This approach reduces the update time of the end host, reduces any disruption of normal message traffic to and from the end host and simplifies patch management. | 06-13-2013 |
20130152073 | SCRIPT GENERATION ENGINE - The present invention is an installation script generation engine. An application component distribution system can include a repository of semantic models for interdependent ones of application components. A mapping of individual listings in the semantic models to target platform specific installation instructions further can be included. Finally, a script generation engine can be configured to produce a target specific set of instructions for a specified application component based upon a mapping of at least one of the semantic models in the repository. Notably, each of the semantic models can include a listing of component relationships, target platform requirements and platform neutral installation instructions. Moreover, the component relationships can include at least one component relationship selected from the group consisting of a containment relationship, a usage relationship, a contradiction relationship, and an equivalence relationship. Finally, a Web services interface to the repository can be configured to permit remote access to the repository. | 06-13-2013 |
20130152084 | Controlling Usage of Virtual Disks Before Their Attachment to Virtual Machines - Controlling usage of virtual disks in a data-processing center hosting a set of virtual machines is described where each virtual disk comprises a set of software artifacts. A corresponding method comprises detecting a request of adding a new virtual disk comprising a set of new software artifacts to a selected one of the virtual machines, determining composition information of the new virtual disk, which includes an indication of the new software artifacts, retrieving an indication of usage entitlements of the new software artifacts in the data-processing center, enabling or preventing the attachment of the new virtual disk to the selected virtual machine according to a comparison between the composition information and the usage entitlements, attaching the new virtual disk to the selected virtual machine in response to the enabling thereof, and updating the usage entitlements in response to the attachment of the new virtual disk. | 06-13-2013 |
20130152085 | Optimizing Storage Allocation in a Virtual Desktop Environment - Mechanisms for optimizing the storage allocation in a virtual desktop environment (VDE) managing a shared storage capacity, are provided. The shared storage capacity includes previously stored files, each being associated with a respective unique first file identifier, the VDE providing a virtual desktop to a processing device of a user. Upon reception of a first write request for writing a second file specified in the request, a second file identifier of the specified second file is determined and compared with the first file identifier of any first file stored to the shared storage capacity. A pointer to the stored first file associated with that first file identifier is created if the second file identifier is identical to one of the first file identifiers and, if not, the specified second file associated with the second file identifier is stored in the shared storage capacity. | 06-13-2013 |
20130152103 | PREPARING PARALLEL TASKS TO USE A SYNCHRONIZATION REGISTER - A job may be divided into multiple tasks that may execute in parallel on one or more compute nodes. The tasks executing on the same compute node may be coordinated using barrier synchronization. However, to perform barrier synchronization, the tasks use (or attach) to a barrier synchronization register which establishes a common checkpoint for each of the tasks. A leader task may use a shared memory region to publish to follower tasks the location of the barrier synchronization register—i.e., a barrier synchronization register ID. The follower tasks may then monitor the shared memory to determine the barrier synchronization register ID. The leader task may also use a count to ensure all the tasks attach to the BSR. This advantageously avoids any task-to-task communication which may reduce overhead and improve performance. | 06-13-2013 |
20130152106 | MANAGING EVENTS IN A CONFIGURATION OF SOA GOVERNANCE COMPONENTS - One embodiment of the invention, directed to a method, comprises the steps of a computer detecting a predetermined type of event resulting from one of an addition of new component to the SOA infrastructure or a change to an existing component of the SOA infrastructure, wherein a notice of the detected event is stored in a repository associated with the component. In response to the detected event, the computer queries other components of the SOA infrastructure, and determines whether the other components have been updated based on the detected event and in response to determining the other components have not been updated based on the detected event, the computer automatically updates the other components of the SOA infrastructure based on the detected event. | 06-13-2013 |
20130152158 | CONFIDENTIAL INFORMATION IDENTIFYING METHOD, INFORMATION PROCESSING APPARATUS, AND PROGRAM - An information processing apparatus includes a clustering unit configured to read messages from a log and to classify the read messages into clusters according to similarities of the messages; a variable portion finding unit configured to find a portion variable between messages; an attribute determination unit configured to estimate and determine a confidential attribute of the variable portion by using predefined rule; and an attribute estimation unit configured to, in a case where there is a portion whose confidential attribute is undeterminable by using the rules, estimate the confidential attribute of the portion having the undeterminable confidential attribute with use of either a correspondence between appearance locations in the messages, or a co-appearance relation of a portion having a determined confidential attribute and the portion having the undeterminable confidential attribute. | 06-13-2013 |
20130152164 | Taking Configuration Management Data and Change Business Process Data Into Account With Regard to Authorization and Authentication Rules - An approach receives a request from a user, typically a change implementer, on a computer system. The request includes a user identifier and a requested action. A current timestamp corresponding to a computer system clock is retrieved. Scheduled changes are retrieved from a data store accessible by the processor. The current timestamp is compared to the scheduled change periods. The requested action is allowed if the comparison reveals that the current timestamp is within one of the retrieved scheduled changes, and the requested action is denied if the comparison reveals that the current timestamp is outside of the retrieved scheduled change periods. | 06-13-2013 |
20130152198 | Anomaly Detection To Implement Security Protection of a Control System - An anomaly detection mechanism is provided that detects an anomaly in a control network, and includes an identifying unit to receive event information on an event that occurs, and to identify a group including a resource related to the event information by referring to a configuration management database for retaining dependence relationships between processes and resources including a control system; a policy storing unit to store one or more policies each of which associates one or more actions with a condition defining a situation suspected to have an anomaly; an adding unit to acquire group-related information needed for application to the one or more policies, and to add the acquired information to the event information; and a determining unit to apply the event information to the one or more policies and to determine the one or more actions associated with the matched condition as one or more actions to be taken. | 06-13-2013 |
20130152381 | METHODS FOR TEXTURING MAGNETIC HEAD SURFACE - A method according to one embodiment includes contacting an oxidant with an AlTiC portion of a magnetic head for recessing TiC grains of the AlTiC portion. A method according to another embodiment includes contacting a peroxide with an AlTiC portion of a magnetic head for recessing TiC grains of the AlTiC portion from a media bearing surface of the AlTiC portion. | 06-20-2013 |
20130153378 | HORIZONTAL COPLANAR SWITCHES AND METHODS OF MANUFACTURE - A MEMS structure and methods of manufacture. The method includes forming a sacrificial metal layer at a same level as a wiring layer, in a first dielectric material. The method further includes forming a metal switch at a same level as another wiring layer, in a second dielectric material. The method further includes providing at least one vent to expose the sacrificial metal layer. The method further includes removing the sacrificial metal layer to form a planar cavity, suspending the metal switch. The method further includes capping the at least one vent to hermetically seal the planar cavity. | 06-20-2013 |
20130153664 | Multi-Modal One-Dimensional Barcodes - An apparatus for reading a multi-modal barcode is provided. The apparatus includes a camera, an edge detector, wherein the edge detector comprises a filter to read a secondary image, a quantization component, and a barcode processor. | 06-20-2013 |
20130153929 | METHOD AND STRUCTURE FOR FORMING HIGH-K/METAL GATE EXTREMELY THIN SEMICONDUCTOR ON INSULATOR DEVICE - A semiconductor device is provided that includes a gate structure present on a substrate. The gate structure includes a gate conductor with an undercut region in sidewalls of a first portion of the gate conductor, wherein a second portion of the gate conductor is present over the first portion of the gate conductor and includes a protruding portion over the undercut region. A spacer is adjacent to sidewalls of the gate structure, wherein the spacer includes an extending portion filling the undercut region. A raised source region and a raised drain region is present adjacent to the spacers. The raised source region and the raised drain region are separated from the gate conductor by the extending portion of the spacers. | 06-20-2013 |
20130153974 | TWO-STEP SILICIDE FORMATION - One embodiment of the present invention comprises a transistor having a source/drain region within a substrate, an extension region within the substrate adjoining the source/drain region and extending toward a gate on the substrate, and a dielectric spacer against the gate wherein the dielectric spacer covers at least part of the extension region. A silicide intermix layer is formed over both the source/drain region and a portion of the extension region. A silicide contact is formed through the silicide intermix layer over the source/drain region. | 06-20-2013 |
20130154006 | FINFET WITH VERTICAL SILICIDE STRUCTURE - FinFETS and methods for making FinFETs with a vertical silicide structure. A method includes providing a substrate with a plurality of fins, forming a gate stack above the substrate wherein the gate stack has at least one sidewall and forming an off-set spacer adjacent the gate stack sidewall. The method also includes growing an epitaxial film which merges the fins to form an epi-merge layer, forming a field oxide layer adjacent to at least a portion of the off-set spacer and removing a portion of the field oxide layer to expose a portion of the epi-merge-layer. The method further includes removing at least part of the exposed portion of the epi-merge-layer to form an epi-merge sidewall and an epi-merge spacer region and forming a silicide within the epi-merge sidewall to form a silicide layer and two silicide sidewalls. | 06-20-2013 |
20130154024 | STRUCTURE AND METHOD OF LATCHUP ROBUSTNESS WITH PLACEMENT OF THROUGH WAFER VIA WITHIN CMOS CIRCUITRY - A method of manufacturing a semiconductor structure includes: forming a trench in a back side of a substrate; depositing a dopant on surfaces of the trench; forming a shallow trench isolation (STI) structure in a top side of the substrate opposite the trench; forming a deep well in the substrate; out-diffusing the dopant into the deep well and the substrate; forming an N-well and a P-well in the substrate; and filling the trench with a conductive material. | 06-20-2013 |
20130154033 | MICRO-ELECTRO-MECHANICAL SYSTEM (MEMS) STRUCTURES AND DESIGN STRUCTURES - Micro-Electro-Mechanical System (MEMS) structures, methods of manufacture and design structures are disclosed. The method includes layering metal and insulator materials on a sacrificial material formed on a substrate. The method further includes masking the layered metal and insulator materials. The method further includes forming an opening in the masking which overlaps with the sacrificial material. The method further includes etching the layered metal and insulator materials in a single etching process to form the beam structure, such that edges of the layered metal and insulator material are aligned. The method further includes forming a cavity about the beam structure through a venting. | 06-20-2013 |
20130154098 | LINER-FREE TUNGSTEN CONTACT - An electrical structure comprises a dielectric layer present on a semiconductor substrate. A contact opening is present through the dielectric layer. A nickel-tungsten alloy silicide is formed over the semiconductor substrate within the contact opening. A tungsten-containing nucleation layer formed within the contact opening covers the nickel-tungsten alloy silicide and at least a portion of a sidewall of the contact opening. A tungsten contact is formed within the contact opening and separated from the nickel-tungsten alloy silicide and at least a portion of the sidewall by the tungsten-containing nucleation layer. | 06-20-2013 |
20130154673 | MEASURING FLOATING BODY VOLTAGE IN SILICON-ON-INSULATOR (SOI) METAL-OXIDE-SEMICONDUCTOR-FIELD-EFFECT-TRANSISTOR (MOSFET) - In one embodiment, a body region of a body-contacted silicon-on-insulator (SOI) metal-oxide-semiconductor-field-effect-transistor (MOSFET) is connected to a gate of another MOSFET in a sensing circuit to form a floating body node. The voltage at the floating body node is accurately obtained at the output of the sensing circuit and used to provide an estimate of required floating body voltage over a full device operating range. | 06-20-2013 |
20130155026 | NEW KIND OF MULTI-TOUCH INPUT DEVICE - A multi-touch method includes, in response to at least one finger of a user pointing toward a computer screen, recognizing an eye and at least one finger in an image frame taken by a camera; mapping a first coordinate of the recognized finger in the image frame to a second coordinate of the finger on the computer screen; mapping a third coordinate the recognized eye in the image frame to a fourth coordinate of the eye on the computer screen; determining whether the fourth coordinate is in the proximity of the second coordinate; in response to a positive decision, determining the second coordinate as a multi-touch point. | 06-20-2013 |
20130155540 | TAPE BUFFER USED TO REDUCE TAPE BACKHITCHES DURING WRITING OPERATIONS - According to one embodiment, a method includes writing, to a regular recording region of a tape medium after having written a first data accumulated in a buffer to a temporary recording region of the tape medium without an accompanying backhitch, a first data group that includes the first data that has any gaps between data eliminated, predicting a second volume of a second data, to be written in response to a command that is sent after the first data has been written to the regular recording region, judging whether the first volume exceeds a first threshold and whether or the second volume exceeds a second threshold, and writing the second data to the regular recording region without an accompanying backhitch when it has been judged that the first volume exceeds the first threshold and the second volume exceeds the second threshold. | 06-20-2013 |
20130155835 | APPLIANCE IN A MOBILE DATA NETWORK THAT SPANS MULTIPLE ENCLOSURES - Mobile network services are performed in an appliance in a mobile data network in a way that is transparent to most of the existing equipment in the mobile data network. The mobile data network includes a radio access network and a core network. The appliance in the radio access network breaks out data coming from a basestation, and performs one or more mobile network services at the edge of the mobile data network based on the broken out data. The appliance has defined interfaces and defined commands on each interface that allow performing all needed functions on the appliance without revealing details regarding the hardware and software used to implement the appliance. This appliance architecture allows performing new mobile network services at the edge of a mobile data network within the infrastructure of an existing mobile data network. | 06-20-2013 |
20130155837 | Selecting a Master Processor From an Ambiguous Peer Group - A distributed switch may include a plurality of special-purpose processors that control the different functions of the switch. To enable some special services, however, the distributed switch may need one of these processors to perform the role of a master. When a processor is powered on, the processor may publish a corresponding unique ID. Before electing the master, the special-purpose processors may use a discovery process to identify the network topology of the switch and evaluate the published IDs to determine which processor should be the master. If all the processors nominate the same master processor, then that processor is elected as the master and may finish configuring the distributed switch to enable the special services. | 06-20-2013 |
20130155873 | HOSTING EDGE APPLICATIONS AT THE EDGE OF A MOBILE DATA NETWORK - Mobile network services are performed in a mobile data network in a way that is transparent to most of the existing equipment in the mobile data network. The mobile data network includes a radio access network and a core network. A breakout component in the radio access network breaks out data coming from a basestation, and hosts edge applications, including third party edge applications, that perform one or more mobile network services at the edge of the mobile data network based on the broken out data. | 06-20-2013 |
20130155972 | PUSH BASED SERVICES IN A MOBILE DATA NETWORK WITH DATA BREAKOUT AT THE EDGE - Push based services are supported on a breakout system in a mobile data network. The breakout system may not breakout some push based services and simply pass through these services to user equipment. In a PDP context with a dynamic IP address, the communication with the user equipment may be broken out and then be placed in a preserved state. In this situation, a breakout system which is not an active entity in the signaling over the mobile data network can not establish communication with the user equipment to send down the push data. To establish a new communication connection with the user equipment with a PDP context in a preserved state, a paging request from the mobile network is initiated to trigger a service request from the user equipment. The breakout system can then use the newly established connection to push the data to the user equipment. | 06-20-2013 |
20130156036 | ANALYSIS OF NETWORK PACKETS USING A GENERATED HASH CODE - A technique for analyzing network packets includes receiving, by a network processor, a network packet having a packet header including address and control information. A set of bytes are extracted, using the network processor, from the packet header and a set of input bits for generating a hash code are derived, using the network processor, from the set of bytes. Finally, the hash code is generated using the input bits. | 06-20-2013 |
20130157423 | MOSFETs WITH REDUCED CONTACT RESISTANCE - A method and structure for forming a field effect transistor with reduced contact resistance are provided. The reduced contact resistance is manifested by a reduced metal semiconductor alloy contact resistance and a reduced conductively filled via contact-to-metal semiconductor alloy contact resistance. The reduced contact resistance is achieved in this disclosure by texturing the surface of the transistor's source region and/or the transistor's drain region. Typically, both the source region and the drain region are textured in the present disclosure. The textured source region and/or the textured drain region have an increased area as compared to a conventional transistor that includes a flat source region and/or a flat drain region. A metal semiconductor alloy, e.g., a silicide, is formed on the textured surface of the source region and/or the textured surface of the drain region. A conductively filled via contact is formed atop the metal semiconductor alloy. | 06-20-2013 |
20130157439 | CHIP ASSEMBLY WITH A CORELESS SUBSTRATE EMPLOYING A PATTERNED ADHESIVE LAYER - A patterned adhesive layer including holes is employed to attach a coreless substrate layer to a stiffener. The patterned adhesive layer is confined to kerf regions, which are subsequently removed during singulation. Each hole in the patterned adhesive layer has an area that is greater than the area of a bottomside interconnect footprint of the coreless substrate. The patterned adhesive layer may include a permanent adhesive that is thermally curable or ultraviolet-curable. The composition of the stiffener can be tailored so that the thermal coefficient of expansion of the stiffener provides tensile stress to the coreless substrate layer at room temperature and at the bonding temperature. The tensile stress applied to the coreless substrate layer prevents or reduces warpage of the coreless substrate layer during bonding. Upon dicing, bonded stacks of a semiconductor chip and a coreless substrate can be provided without adhesive thereupon. | 06-20-2013 |
20130157458 | SEMICONDUCTOR DEVICE HAVING A COPPER PLUG - Disclosed is a process of making a semiconductor device wherein an insulation layer has a copper plug in contact with the last wiring layer of the device. There may also be a barrier layer separating the copper plug from the insulation layer. There may also be a cap layer over the copper plug to protect it from oxidation. There may also be a dielectric layer over the cap layer. | 06-20-2013 |
20130157618 | KEY STORAGE AND RETRIEVAL IN A BREAKOUT COMPONENT AT THE EDGE OF A MOBILE DATA NETWORK - Mobile network services are performed in a mobile data network in a way that is transparent to most of the existing equipment in the mobile data network. The mobile data network includes a radio access network and a core network. A breakout component in the radio access network breaks out data coming from a basestation, and performs one or more mobile network services at the edge of the mobile data network based on the broken out data. These services may require the use of keys. Keys are stored and retrieved from a non-volatile key storage in a way that assures subsystems that need the keys have access to the keys. The keys retrieved from the non-volatile key storage are stored in a shared memory in the requesting subsystem, which allows any applications that requires access to the keys to directly access the keys in the shared memory. | 06-20-2013 |
20130157619 | STATES FOR BREAKOUT APPLIANCE IN A MOBILE DATA NETWORK - Mobile network services are performed in a mobile data network in a way that is transparent to most of the existing equipment in the mobile data network. The mobile data network includes a radio access network and a core network. A breakout appliance in the radio access network breaks out data coming from a basestation, and performs one or more mobile network services at the edge of the mobile data network based on the broken out data. The breakout appliance includes multiple states that support manufacturing, testing, production, tamper detection and end of life, and the functions of the breakout appliance vary according to its state. | 06-20-2013 |
20130157644 | AUTONOMIC ERROR RECOVERY FOR A DATA BREAKOUT APPLIANCE AT THE EDGE OF A MOBILE DATA NETWORK - A mechanism provides autonomic recovery for a breakout appliance at the edge of a mobile data network from a variety of errors using a combination of hardware, software and network recovery actions. The recovery actions proceed upon a sliding scale depending on the severity of the problem to achieve the goals of minimizing disruption to traffic flowing through the NodeB while also maintaining an acceptable cost of ownership/maintenance of the system by automatically recovering from as many problems as possible. The error recovery functions within the breakout system hide the error recovery complexities from the management system upstream in the mobile data network. For critical, non-recoverable errors, the autonomic recovery mechanism works in conjunction with a fail-to-wire module to remove the breakout system in the event of a failure in such a way that the mobile data network functions as if the breakout system is no longer present. | 06-20-2013 |
20130157668 | SUBSCRIBER DATABASE FOR SERVICES AT THE EDGE OF A MOBILE DATA NETWORK - Mobile network services are performed at the edge of a mobile data network in a way that is transparent to most of the existing equipment in the mobile data network. The mobile data network includes a radio access network and a core network. A service mechanism in the radio access network breaks out data coming from a basestation, and performs one or more mobile network services at the edge of the mobile data network based on the broken out data. A subscriber database is dynamically built to support authorization, authentication and accounting for the services performed at the edge of the mobile data network for the broken out data. The core network thus has a subscriber database that is used for non-broken out data, while a separate subscriber database that is not in the core network is used for broken out data. | 06-20-2013 |
20130158226 | METHODS OF RING OPENING POLYMERIZATION AND CATALYSTS THEREFOR - A salt catalyst comprises an ionic complex of i) a nitrogen base comprising one or more guanidine and/or amidine functional groups, and ii) an oxoacid comprising one or more active acid groups, the active acid groups independently comprising a carbonyl group (C═O), sulfoxide group (S═O), and/or a phosphonyl group (P═O) bonded to one or more active hydroxy groups; wherein a ratio of moles of the active hydroxy groups to moles of the guanidine and/or amidine functional groups is greater than 0 and less than 2.0. The salt catalysts are capable of catalyzing ring opening polymerization of cyclic carbonyl compounds. | 06-20-2013 |
20130158737 | UTILIZATION OF OVERVOLTAGE AND OVERCURRENT COMPENSATION TO EXTEND THE USABLE OPERATING RANGE OF ELECTRONIC DEVICES - A method induces augmented levels of heat dissipation by exploiting quiescent IC leakage currents to control the temperature in high power devices. A heat control and temperature monitoring system (HCTMS) utilizes a thermal sensor to sense the junction temperature of a component, which becomes self-heated due to the quiescent leakage current inherent to the component upon the application of power to the component. By increasing the voltage level of the power source, this quiescent self-heating property is augmented, which serves to accelerate the preheating of the device, until the temperature rises above the minimum specified operating temperature of the component. The method further includes reliably initializing the system by applying full system power and triggering a defined initialization sequence/procedure. Once the component is operational, the method includes maintaining the component's temperature above the minimum operating threshold via continued self-heating, continued augmentation of the applied DC voltage, or both, where required. | 06-20-2013 |
20130159057 | AUTOMATIC METHOD FOR DETERMINING CONSUMER PREFERENCE LEVEL AND COMPUTER DEVICE FOR PERFORMING THE SAME - A method and computer device for determining consumer preference level automatically. The method can rapidly access a database which contains items with a plurality of attributes, which allows a user to compare and select items. The items selected by the user are marked as the “item of high preference” and the remaining unselected items as the “items of low preference” in response to the user's selection. The method then selects one or more attributes of the first comparing pair and determines a relative preference value for the given item according to the predetermined values for one or more attributes of the given item and the values for one or more attributes of the first comparing pair. | 06-20-2013 |
20130159069 | MONITORING METHOD AND SYSTEM USING COLLECTIVE INTELLIGENCE AND RATING PROPAGATION IN VIRTUAL WORLD COMMUNITY - A method and system for monitoring and judging activities in a virtual world environment are disclosed. The method comprises a first user engaging in an activity in the virtual world environment, a witness witnessing the first activity and reporting the activity to a monitor as possibly malicious, and the monitor organizing a jury comprised of a plurality of jurors. The jurors view and judge the activity in the virtual world environment; and on the basis of this judging, defined benefits in the virtual world environment are awarded to the witness, monitor and jurors. The method may further comprise penalizing the first user in the virtual world environment. For instance, if the first user has friends in the virtual world environment, the penalizing may include penalizing these friends. | 06-20-2013 |
20130159241 | TEMPLATE CLAUSES BASED SAT TECHNIQUES - A CNF formula comprises at least one template clause representing a set of concrete clauses, each associated with a different temporal shift. The template clause is utilized by a SAT solver in determining satisfiability of the CNF formula. The template clause may be utilized to reduce amount of storage resources required for performing the satisfiability analysis. The template clause may in some cases increase feasibility of determining satisfiability. The template clause may in some cases reduce required time to determine satisfiability. The template clause may be utilized in incremental SAT solving to reuse deduced relations between literals that are applicable to additional cycles, such as invariants originating from a transition relation of a model. | 06-20-2013 |
20130159250 | Method, Apparatus and Computer Program Product For Maintaining File System Client Directory Caches With Parallel Directory Writes - A cached version of a file system directory is synchronized with a server version of the directory in a distributed file system. Both a server and a client specify version numbers for their directory versions. On receiving a request from the client specifying a directory update, the server updates its version, increments its version number, and transmits a reply to the client containing a change log with the incremented version number. Upon receiving the reply, the client compares the received version number with that of its cached version. If the version number matches that of the next expected update, the client applies the updates to its cached version and increments its version number. Otherwise, it adds the received change log to a change log queue for the directory without incrementing the last applied version. Mechanisms are provided for handling parallel read and update requests without awaiting replies from the server. | 06-20-2013 |
20130159252 | Customizable File-Type Aware Cache Mechanism - A caching mechanism is provided in a computer server system including at least one host coupled to at least one disk, one or more virtual machines, one or more images of the one or more virtual machines created in a file system of the disk, and a virtual machine manager for assigning hardware resources of the computer server system to the one or more virtual machines. The mechanism provides a masking cache layer between the one or more virtual machines and the virtual machine manager, the masking cache layer including at least one separate entry for every cacheable data block of the file system to be referenced, collects profiling information related to one or more of the cacheable data blocks ( | 06-20-2013 |
20130159258 | DATA SET INDEX RECORD PRESERVATION - Portions of index information are pulled from a name directory and an attribute directory to form a new subindex data set that is stored as a separate file from the name directory and the attribute directory. The name directory and the attribute directory each being associated with partitioned data set extended (PDSE) data sets stored sequentially in members. Pursuant to an update to the PDSE data sets, a selected portion of an index record of the dataset is stored as a record in the new subindex data set and the storing includes acting on an integrated catalog facility catalog to perform operations relating to allowacation and maintenance of the new subindex data set. An oldest existing record is replaced with a recent version if a determination is made that adding the recent version exceeds a predetermined number of versions. A component of the new subindex data set is recoverable. | 06-20-2013 |
20130159282 | DETERMINING A DENSITY OF A KEY VALUE REFERENCED IN A DATABASE QUERY OVER A RANGE OF ROWS - A method, apparatus and program product that determine a density of a key value referenced in a database query over at least one range of rows, and utilize the determined density to optimize the database query. By doing so, the density of various key values may be determined and compared, and a bit map may be generated over the range of rows of the key value that is denser, resulting in a reduction of resources to build and use the bit map. Moreover, from the bit map over the range of rows to be selected after using the determined density, dense regions may be identified that can be used to optimize block I/O's according to the number of rows to be selected based on the query. | 06-20-2013 |
20130159283 | INTERMEDIATE RESULT SET CACHING FOR A DATABASE SYSTEM - A method for storing intermediate result sets in a database is provided. An access plan for a single query for accessing data stored in the database system is received. An intermediate result set is generated during execution of the access plan. A value of an intermediate result set rating of the intermediate result set is determined during execution of the access plan at runtime of the query for determining if the intermediate result set, generated during the execution of the access plan, is to be stored. Based on determining that the value of the intermediate result set rating meets a predefined criterion, the determined intermediate result set is stored for a longer period of time than the runtime of the query as a stored intermediate result set. | 06-20-2013 |
20130159284 | AUTONOMIC REFRESH OF A MATERIALIZED QUERY TABLE IN A COMPUTER DATABASE - A method autonomically refreshes a materialized query table (MQT) in a computer database to improve database performance and utility. In preferred embodiments, the query optimizer autonomically initiates a refresh of MQT depending on an estimated time for the query to access the base tables. In other preferred embodiments, the query optimizer estimates the time for the query to access the base tables and compares it to the estimated time to refresh the MQT to determine whether to refresh the MQT and run the query over the MQT rather than the base tables. | 06-20-2013 |
20130159287 | DATABASE QUERY OPTIMIZER THAT TAKES NETWORK CHOICE INTO CONSIDERATION - In a networked computer system that includes multiple nodes and multiple networks interconnecting the nodes, a database query optimizer takes network choice into consideration when optimizing a query. The query optimizer logs historical information for queries that includes network information. A network monitor determines network characteristics of the multiple networks. The query optimizer then selects a network for a query based on the network characteristics, and optionally based on the historical information as well. The result is a query optimizer that takes network choice into consideration, thereby providing a query that is optimized according to the characteristics of the networks. | 06-20-2013 |
20130159316 | DISAPPEARING INDEX FOR MORE EFFICIENT PROCESSING OF A DATABASE QUERY - A disappearing index allows faster processing of a database query without the startup time of a prior art sparse index. The disappearing index starts as a full index but is trimmed of index values that point to a row in the table that is not selected by the query. Thus the traditional index disappears as it becomes a sparse index tailored to the query. The query is able to execute faster using the now sparse index since the target database table is not accessed for duplicate values in the base table of the query. The query optimizer may determine to use a disappearing index based on estimates of the number of duplicate values in the base table. When the query is complete, the created disappearing table may be discarded or used for other queries that match the selection of the query that created the disappearing index. | 06-20-2013 |
20130159321 | METHOD AND APPARATUS FOR OPTIMIZING ACCESS PATH IN DATABASE - Apparatuses and methods for optimizing access path are provided. Execution of a query statement is sampled to obtain the information about a plurality of executing instances, the information about each executing instance comprises the literal value of the query statement in the instance and the filter factor corresponding to the literal value. The plurality of instances are grouped into a plurality of groups based on the filter factors corresponding to the literal values. The average filter factor of each group and the occurrence frequency of the group are obtained. The candidate access path created for the average filter factor of each group is accordingly obtained, and by taking the occurrence frequencies as weights, the weighted execution cost of each candidate access path is calculated for each group. The candidate access path with the minimum sum of the weighted execution costs for all groups is determined as the optimal access path. | 06-20-2013 |
20130159322 | CONTACT RECOMMENDATION SYSTEM FOR A USER COMMUNICATION - Techniques are described for allowing a first user to efficiently send contact information to a second user using a messaging application such as email programs, instant messaging programs, social media websites, or chat rooms. The messaging application may maintain a name database that stores names relevant to a first user. When the first user then inputs a name into a user communication (e.g., an email, instant message, or voice message), the messaging application provides the first user with the names stored in the name database that match the name in the user communication. Additionally, the messaging application may use ranking data associated with each matched name to order the names according to relevance. The first user can then select the correct name (if there are multiple matched names) which prompts the messaging application to automatically send contact information to the intended recipient of the user communication. | 06-20-2013 |
20130159323 | RECOMMENDING TAGS BASED ON USER RATINGS - A method of recommending tags for user includes receiving a current rating of current user on current objects; determining a candidate tag set based on the current user and the current rating; ordering each candidate tag in the candidate tag set based on index value thereof; and recommending tags for the current user based on the ordering. | 06-20-2013 |
20130159341 | METADATA CACHE MANAGEMENT - Managing a cache includes determining from metadata of a received service request whether a cache data response may satisfy the request as a function of recognizing a cacheable method name specification within request metadata by a service provider associated with the request, and determining whether the request is an inquiry or a request as a function of comparing an update frequency specification or a time interval period to a value of a time parameter associated with the cacheable method name in the metadata, in order to decide if the request may be satisfied by the cached data. Aspects also include searching the cache for the data response if determined the data is cacheable and the request is an inquiry, and sending the request on to a service provider if the data response is not a cacheable response, or the request is an update request. | 06-20-2013 |
20130159342 | AUTOMATICALLY MAKING CHANGES IN A DOCUMENT IN A CONTENT MANAGEMENT SYSTEM BASED ON A CHANGE BY A USER TO OTHER CONTENT IN THE DOCUMENT - A content management system detects a change to one part of a document, and to generate a corresponding change in a different part of the same document. Dynamic inclusion rules define conditions that, when satisfied, allow automatically changing a link in a document to a new link when corresponding data in the document is added or changed. If a change corresponds to a defined dynamic inclusion rule, a corresponding query in the rule is evaluated according to the changes in the document. When there is enough information to run the query, the query is automatically executed in a background process. If there is a single link that satisfies the query, the document may be updated with the new link. If multiple links satisfy the query, the top ranked query result may be automatically selected, or the user may select which link should be included in the document. | 06-20-2013 |
20130159349 | UNIFIED USER IDENTIFICATION WITH AUTOMATIC MAPPING AND DATABASE ABSENCE HANDLING - An identification system that may be used in heterogeneous computing environments provides a fail-free path to providing identifiers from a single canonical namespace. Objects or gateways requiring an identifier for access are accessed using an identifier for the canonical namespace. If an entity requests access using an identifier from another namespace, an external database is consulted to determine if a mapping exists for the identifier to another identifier the canonical namespace. If no mapping exists, or the external database is unavailable, then an identifier is automatically generated in the canonical namespace and is used for the access. An internal database is updated with the automatically generated identifier, providing a mechanism to add mappings without administrative intervention. To access resources requiring an identifier from another particular namespace, a canonical namespace identifier may be mapped to another identifier in the particular namespace, or a generic identifier may be used. | 06-20-2013 |
20130159353 | GENERATING A TEST WORKLOAD FOR A DATABASE - A method of transferring files in a data-processing network using a current node within the network includes reading an outbound content and outbound characteristics of an outbound file. An outbound message is created having outbound strings including a first set of the outbound strings representing the outbound characteristics and a second set of the outbound strings representing the outbound content. The outbound message is sent to a receiver node within the network. An inbound message is received from a sender node within the network. The inbound message has inbound strings including a first set of the inbound strings representing inbound characteristics and a second set of the inbound strings representing inbound content. An inbound file having the inbound content is stored, and the inbound characteristics are applied to the inbound file. | 06-20-2013 |
20130159358 | Forming Configuration Information about Components of Systems which Include Components for which Acquisition of Configuration Information is Restricted - A mechanism is provided for managing configuration information about components of a management target system. Identification is made of the existence of a first component from configuration information about one or more other components except the first component. Pattern data is stored for analogizing configuration information about a component for which acquisition of the configuration information is restricted, the pattern data being associated with a set of one or more conditions and attribute values. Pattern data is retrieved whose configuration information about a component related to the first component, among the one or more other components, satisfies the set of conditions. Analogized configuration information is formed about the first component using attribute values associated with the retrieved pattern data. | 06-20-2013 |
20130159363 | METHOD FOR CONTROLLING FILE REQUEST ACCESS - A mirror file number corresponding to a file being requested is transmitted to a host OS. A determination is made as to whether or not caching is performed in the host OS, and reading of the data of the mirror file number is requested to a block device if it is determined that the data of the mirror file number that was transmitted is not cached. The block device acquires the memory address where the corresponding actual contents are stored, corresponding to the sector number, acquires the sequence number corresponding to the contents, changes the acquired sequence number, and reads the data of the acquired memory address. The read data is converted to data with a modified sequence number attached, and the data is provided to the host OS. If it is determined that the data is cached in the host OS, the cached data is provided. | 06-20-2013 |
20130159372 | MATRIX-BASED DYNAMIC PROGRAMMING - Embodiments relate to dynamic programming. An aspect includes representing a dynamic programming problem as a matrix of cells, each cell representing an intermediate score to be calculated. Another aspect includes providing a mapping assigning cells of the matrix to elements of a result container data structure, and storing cells of the matrix to elements of the result container data structure in accordance with the mapping. Another aspect includes calculating intermediate scores of all cells of the matrix, whereby intermediate scores of some of the cells of the matrix are stored to a respectively assigned element of the result container data structure in accordance with the mapping. Another aspect includes during the calculation of the intermediate scores, dynamically updating the assignment of cells and elements in the mapping and assembling a final result of the dynamic programming problem from the intermediate scores stored in the result container data structure. | 06-20-2013 |
20130159373 | MATRIX STORAGE FOR SYSTEM IDENTIFICATION - A sparse matrix used in the least-squares method is divided into small matrices in accordance with the number of elements of observation. An observation ID is assigned to each element of observation, a parameter ID is assigned to each parameter, and the IDs are associated with parameters of elements as ID mapping. A system determines positions of nonzero elements in accordance with whether or not ID mapping exists, the correspondence between observation IDs and parameter IDs, and the positions of the small matrices, and selects a storage scheme for each small matrix based thereon. The system selects a storage scheme in accordance with conditions, such as whether or not a target element is a diagonal element, whether or not a term decided without ID mapping exists, and whether or not the same ID mapping is referred to. | 06-20-2013 |
20130159450 | OPTIMIZED DATA COMMUNICATIONS IN A PARALLEL COMPUTER - A parallel computer includes nodes that include a network adapter that couples the node in a point-to-point network and supports communications in opposite directions of each dimension. Optimized communications include: receiving, by a network adapter of a receiving compute node, a packet—from a source direction—that specifies a destination node and deposit hints. Each hint is associated with a direction within which the packet is to be deposited. If a hint indicates the packet to be deposited in the opposite direction: the adapter delivers the packet to an application on the receiving node; forwards the packet to a next node in the opposite direction if the receiving node is not the destination; and forwards the packet to a node in a direction of a subsequent dimension if the hints indicate that the packet is to be deposited in the direction of the subsequent dimension. | 06-20-2013 |
20130159481 | BEST PRACTICES ANALYSIS OF ZONES AND COMPONENTS IN A NETWORK - Provided is a method for best practices analysis of zones and components in a network. A database has information on components in the network and configuration settings for the components. Best practices rules indicate best practices configuration settings for components in the network. The database and the accessed best practices rules are processed to determine whether the configuration settings for the components in the network satisfy the best practices configuration settings for the components indicated in the best practices rules. A report is generated indicating whether the configuration settings of the components in the network satisfy the best practices configuration settings for the components indicated in the best practices rules. | 06-20-2013 |
20130159492 | MIGRATING DEVICE MANAGEMENT BETWEEN OBJECT MANAGERS - Object manager information is maintained for object managers in a network indicating a device type of devices in the network managed by the object managers and device information providing information on the devices in the network, wherein the object managers have access to the object manager information. A determination is made from the object manager information a second object manager comprising one of the object managers in the network managing a same device type as the devices managed by the first object manager. The first and second object managers communicate to establish a migration relationship between the first and the second object managers. In response to a migration event at the second object manager, the first object manager accesses the device information for the second object manager to manage the devices currently managed by the second object manager. | 06-20-2013 |
20130159590 | LOW LATENCY, HIGH BANDWIDTH DATA COMMUNICATIONS BETWEEN COMPUTE NODES IN A PARALLEL COMPUTER - Methods, systems, and products are disclosed for data transfers between nodes in a parallel computer that include: receiving, by an origin DMA on an origin node, a buffer identifier for a buffer containing data for transfer to a target node; sending, by the origin DMA to the target node, a RTS message; transferring, by the origin DMA, a data portion to the target node using a memory FIFO operation that specifies one end of the buffer from which to begin transferring the data; receiving, by the origin DMA, an acknowledgement of the RTS message from the target node; and transferring, by the origin DMA in response to receiving the acknowledgement, any remaining data portion to the target node using a direct put operation that specifies the other end of the buffer from which to begin transferring the data, including initiating the direct put operation without invoking an origin processing core. | 06-20-2013 |
20130159609 | PROCESSING UNIT RECLAIMING REQUESTS IN A SOLID STATE MEMORY DEVICE - An apparatus and method for processing unit reclaiming requests in a solid state memory device. The present invention provides a method of managing a memory which includes a set of units. The method includes selecting a unit from the set of units having plurality of subunits. The method further includes determining a number of valid subunits m to be relocated from the units selected for a batch operation where m is at least 2. The selecting is carried out by a unit reclaiming process. | 06-20-2013 |
20130159614 | PAGE BUFFERING IN A VIRTUALIZED, MEMORY SHARING CONFIGURATION - An apparatus includes a processor and a volatile memory that is configured to be accessible in an active memory sharing configuration. The apparatus includes a machine-readable encoded with instructions executable by the processor. The instructions including first virtual machine instructions configured to access the volatile memory with a first virtual machine. The instructions including second virtual machine instructions configured to access the volatile memory with a second virtual machine. The instructions including virtual machine monitor instructions configured to page data out from a shared memory to a reserved memory section in the volatile memory responsive to the first virtual machine or the second virtual machine paging the data out from the shared memory or paging the data in to the shared memory. The shared memory is shared across the first virtual machine and the second virtual machine. The volatile memory includes the shared memory. | 06-20-2013 |
20130159624 | STORING THE MOST SIGNIFICANT AND THE LEAST SIGNIFICANT BYTES OF CHARACTERS AT NON-CONTIGUOUS ADDRESSES - In an embodiment, an indicator is set to indicate that all of a plurality of most significant bytes of characters in a character array are zero. A first index and an input character are received. The input character comprises a first most significant byte and a first least significant byte. The first most significant byte is stored at a first storage location and the first least significant byte is stored at a second storage location, wherein the first storage location and the second storage location have non-contiguous addresses. If the first most significant byte does not equal zero, the indicator is set to indicate that at least one of a plurality of most significant bytes of the characters in the character array is non-zero. The character array comprises the first most significant byte and the first least significant byte. | 06-20-2013 |
20130159632 | MEMORY SHARING BY PROCESSORS - A method of memory sharing implemented by logic of a computer memory control unit, the control unit comprising at least one first interface and second interfaces and is adapted to be connected with a main physical memory via the first interface, and a set of N≧2 non-cooperative processors via the second interfaces, the logic operatively coupled to the first and second interfaces. The method includes receiving, via the second interfaces, a request to access data of the main physical memory from a first processor of the set; evaluating if a second processor has previously accessed the data requested by the first processor; and deferring the request from the first processor when the evaluation is positive, or, granting the request from the first processor when the evaluation is negative. | 06-20-2013 |
20130159643 | DETECTING TAMPERING OF DATA DURING MEDIA MIGRATION, AND STORAGE DEVICE - A method for detecting at a migration destination medium a change in data during media migration between write once read many (WORM) media according to one embodiment includes writing data for WORM from a migration source medium to the migration destination medium; holding an identifier (ID) of the source medium in a non-user storage area in the destination medium; and holding information indicating a feature of the data in the non-user storage area. | 06-20-2013 |
20130159658 | DYNAMIC RECORD MANAGEMENT FOR SYSTEMS UTILIZING VIRTUAL STORAGE ACCESS METHOD (VSAM) - When using virtually stored data sets, such as virtual storage access method (VSAM) data sets, while the data set is open (referred to as an open time) static data set characteristics and/or job parameters have been defined for the VSAM data set. In one approach, a method for modifying a virtual storage access method (VSAM) data set includes opening a VSAM data set; and modifying a VSAM control block structure for the VSAM data set. | 06-20-2013 |
20130159677 | INSTRUCTION GENERATION - Generating instructions, in particular for mailbox verification in a simulation environment. A sequence of instructions is received, as well as selection data representative of a plurality of commands including a special command. Repeatedly selecting one of the plurality of commands and outputting an instruction based on the selected command. The outputting of an instruction includes outputting a next instruction in the sequence of instructions if the selected command is the special command, and outputting an instruction associated with the command if the selected command is not the special command. | 06-20-2013 |
20130159681 | VERIFYING SPECULATIVE MULTITHREADING IN AN APPLICATION - Verifying speculative multithreading in an application executing in a computing system, including: executing one or more test instructions serially thereby producing a serial result, including insuring that all data dependencies among the test instructions are satisfied; executing the test instructions speculatively in a plurality of threads thereby producing a speculative result; and determining whether a speculative multithreading error exists including: comparing the serial result to the speculative result and, if the serial result does not match the speculative result, determining that a speculative multithreading error exists. | 06-20-2013 |
20130159693 | Automatic Configuration Sampling for Managing Configuration Parameters of a Computer System - A computer configuration utility automatically alters system configuration parameters to sample multiple different configurations. At least one workrate metric is measured at each sampled configuration. The workrate measurements for the multiple different configurations are compared to determine the effect of different configurations with respect to at least one optimization criterion. System configuration is automatically adjusted to the optimum configuration. Preferably, the workrate metric is (non-idle) instructions executed per unit of time. | 06-20-2013 |
20130159696 | SENDING MESSAGES BY OBLIVIOUS TRANSFER - A system includes a server connectable to a client, the server configured to allow the client to acquire a message of an index designated by the client among N messages held by the server where N is an integer of two or more. The server includes a classification unit configured to classify the N messages into M classified messages by contents of the messages; a message encryption unit configured to encrypt each of the M classified messages; a message provision unit configured to provide the M encrypted classified messages to the client; and a key sending unit configured to send the client, by oblivious transfer, a message key for decrypting the classified message corresponding to the message of the index designated by the client. | 06-20-2013 |
20130159697 | DISTRIBUTING DATA TO MULTIPLE CLIENTS FROM SERVER - Provided are techniques for distributing data in a trackable manner while suppressing an increase in the size of data to be distributed as much as possible and minimizing interruption of usage of the data. A method for distributing data to multiple clients from a server includes the steps of: generating a common noise by using noises unique to the multiple clients, respectively; embedding the common noise in the data to be distributed to make the data unusable; and distributing the data containing the embedded common noise, so that the data containing the embedded common noise is made usable by each of the multiple clients using a unique noise generated in the client. | 06-20-2013 |
20130159744 | ADAPTIVE RECOVERY FOR PARALLEL REACTIVE POWER THROTTLING - Power throttling may be used to conserve power and reduce heat in a parallel computing environment. Compute nodes in the parallel computing environment may be organized into groups based on, for example, whether they execute tasks of the same job or receive power from the same converter. Once one of compute nodes in the group detects that a parameter (i.e., temperature, current, power consumption, etc.) has exceeded a first threshold, power throttling on all the nodes in the group may be activated. However, before deactivating power throttling, a plurality of parameters associated with the group of compute nodes may be monitored to ensure they are all below a second threshold. If so, the power throttling for all of the compute nodes is deactivated. | 06-20-2013 |
20130159745 | OPTIMIZING POWER CONSUMPTION AND PERFORMANCE IN A HYBRID COMPUTER ENVIRONMENT - A method for optimizing efficiency and power consumption in a hybrid computer system is disclosed. The hybrid computer system may comprise one or more front-end nodes connected to a multi-node computer system. Portions of an application may be offloaded from the front-end nodes to the multi-node computer system. By building historical profiles of the applications running on the multi-node computer system, the system can analyze the trade offs between power consumption and performance. For example, if running the application on the multi-node computer system cuts the run time by 5% but increases power consumption by 20% it may be more advantageous to simply run the entire application on the front-end. | 06-20-2013 |
20130159748 | Energy-Efficient Polling Loop - Logic is provided for increasing energy-efficiency of a data processing system. First logic continuously checks a plurality of I/O ports for incoming workload. Responsive to the incoming workload being lower than a low workload threshold for a current operating frequency, second logic reduces an operating frequency of the processor. Responsive to the incoming workload being higher than a high workload threshold, the second logic increases the operating frequency of the processor. | 06-20-2013 |
20130159767 | CLIENT SELECTABLE SERVER-SIDE ERROR RESOLUTION - An illustrative embodiment of a computer-implemented process for client selectable server-side data error resolutions receives a request from a client to perform an action on a server, identifies a data error during performance of the action of the request and generates a response including an error description and a resolution subset for the identified error. The computer-implemented process further sends a response to the client, receives a selected resolution returned from the client, and responsive to a determination the selected resolution associated with the token returned executes on the server, executes the selected resolution, associated with the token returned, on the server to correct the data error. | 06-20-2013 |
20130159793 | Preventing Distribution of a Failure - Mechanisms for preventing a distribution of a failure caused by a sequence of instructions in a distributed client server environment are provided. These mechanisms comprise executing the sequence of instructions on a first client, the instructions being provided by a management control server and being indicative of maintenance actions. These mechanisms may further comprise determining by the first client a failure caused by the sequence of instructions, and generating a warning message by the first client based on the determined failure. The warning message may comprise an indicator for the sequence of instructions. In addition, these mechanisms may comprise sending the warning message for informing a second client about the sequence of instructions causing the failure in order to prevent a distribution of the failure. | 06-20-2013 |
20130159838 | SCOPING SCRIPTS IN A PAGE - A mechanism on a server divides a page of content into sections defined by dividers, and renders the page stream with dividers to a browser. The browser reads the web page with dividers, and partitions the DOM for the page to create partitions in the DOM according to the sections in the page. Partitioning the DOM allows scripts in each partition to be scoped according to defined access rights for each partition. In this manner, a script in one DOM partition cannot access information in another DOM partition unless the access rights for the partition that contains the script allow it. By scoping scripts to appropriate DOM partitions, potential hacker attacks may be prevented. | 06-20-2013 |
20130159846 | PROFILING CONTENT CREATION AND RETRIEVAL IN A CONTENT MANAGEMENT SYSTEM - A content management system provides a profiling mechanism that automatically tags changes made to elements in a document with applicability metadata, and uses the applicability metadata when processing a request to the repository by a user to filter the query results according to a profile for the user. A profiling mapping policy defines roles for users of the content management system. When content is requested from the repository, the profiling mechanism inserts one or more additional request parameters based on the profile of the user. The results that match both the original request and the additional request parameter(s) are returned to the user. When the user views the results, additional filtering may occur to filter individual elements from the user that do match the user's profile. | 06-20-2013 |
20130159922 | SCROLL FOCUS - Nesting information indicative of a nested arrangement of a set of scrollable areas within an environment is obtained. Focus information indicative of which of the set of scrollable areas is in focus within the environment is obtained. For at least one of the set of scrollable areas, at least one display property of the respective scrollable area is altered based upon the nesting information and the focus information. | 06-20-2013 |
20130159952 | COMBINING MULTIPLE TIMING MODES OF INTEGRATED CIRCUIT - A method and system for combining multiple timing modes of an integrated circuit. The method includes the steps of: creating logic groups for at least one logic device in the circuit according to at least one clock driving the at least one logic device, performing static timing analysis to the circuit in multiple given timing modes, obtaining at least one relationship between the logic groups in each of the multiple given timing modes according to a result of the static timing analysis, and combining the obtained at least one relationship between the logic groups in each of the multiple given timing modes | 06-20-2013 |
20130159953 | PERFORMING STATISTICAL TIMING ANALYSIS WITH NON-SEPARABLE STATISTICAL AND DETERMINISTIC VARIATIONS - In one embodiment, the invention is a method and apparatus for performing statistical timing analysis with non-separable statistical and deterministic variations. One embodiment of a method for performing timing analysis of an integrated circuit chip includes computing delays and slews of chip gates and wires, wherein the delays and slews depend on at least a first process parameter that is deterministic and corner-based and a second process parameter that is statistical and non-separable with the first process parameter, and performing a single timing run using the timing quantity, wherein the single timing run produces arrival times, required arrival times, and timing slacks at outputs, latches, and circuit nodes of the integrated circuit chip. The computed arrival times, required arrival times, and timing slacks can be projected to a corner value of deterministic variations in order to obtain a statistical model of the delays and slews at the corresponding corner. | 06-20-2013 |
20130159957 | METHOD, STRUCTURE, AND DESIGN STRUCTURE FOR A THROUGH-SILICON-VIA WILKINSON POWER DIVIDER - A method, structure, and design structure for a through-silicon-via Wilkinson power divider. A method includes: forming an input on a first side of a substrate; forming a first leg comprising a first through-silicon-via formed in the substrate, wherein the first leg electrically connects the input and a first output; forming a second leg comprising a second through-silicon-via formed in the substrate, wherein the second leg electrically connects the input and a second output, and forming a resistor electrically connected between the first output and the second output. | 06-20-2013 |
20130159972 | IDENTIFYING COMPONENTS OF A BUNDLED SOFTWARE PRODUCT - A method for identifying software components of a software product comprises establishing, by a computer, data representative of at least one of an attribute and an action of at least one of a first software component in a computer system and a second software component in the computer system, establishing a first confidence value indicative of a likelihood that the first software component belongs to the software product, establishing, based on the data, a second confidence value indicative of a likelihood that the first software component and the second software component are software components of a common software product, and establishing, based on the first and second confidence values, a third confidence value indicative of a likelihood that the second software component belongs to the software product. | 06-20-2013 |
20130159973 | ACTIVATION LOGIC GENERATION FOR A SOFTWARE APPLIANCE - Embodiments relate to generating an activation logic component for a software appliance. An aspect includes analyzing configuration settings of a software component, the software component being installed in a first system environment. Another aspect includes generating an image-based installation version of the software component for a second system environment, the second system environment being distinct from the first system environment. Yet another aspect includes generating an activation logic component, such that the image-based installation version of the software component and the activation logic component are generated by an activation logic generator. | 06-20-2013 |
20130159975 | DETECTING A BROKEN POINT IN A WEB APPLICATION AUTOMATIC TEST CASE - Testing a broken point in a web application automatic test case is performed by a system that includes a recording module configured to, when the test case runs, for a step in the test case, record a web page output corresponding to the step, and information of an element manipulated by the step. The web page output has an annotation for identifying the web page output. The system also includes a rendering engine module configured to, after the web application has been updated, for the recorded step, render a web page output of the updated web page with the same annotation. The system also includes a detecting module configured to detect whether the rendered web page output of the updated web page contains the element manipulated by the recorded step, and determine the step as a broken point when the rendered web page output does not contain the element. | 06-20-2013 |
20130159983 | OPTIMIZING JUST-IN-TIME COMPILING FOR A JAVA APPLICATION EXECUTING ON A COMPUTE NODE - Methods, systems, and products are disclosed for optimizing just-in-time (‘JIT’) compiling for a Java application executing on a compute node, the compute node having installed upon it a Java Virtual Machine (‘JVM’) capable of supporting the Java application, that include: identifying, by an application manager, a particular portion of the Java application; assigning, by the application manager, a JIT level to the particular portion of the Java application; and jitting, by the JVM installed on the compute node, the particular portion of the Java application in dependence upon the JIT level assigned to that particular portion of the Java application. | 06-20-2013 |
20130159989 | FIX DELIVERY SYSTEM - A fix delivery system receives situation data representative of a problem, analyzes the received situation data to determine whether the problem associated with the situation data matches a known problem, and responsive to a determination that the problem associated with the situation data does not match a known problem, conducts problem determination to identify the problem. The fix delivery system further receives a developed cumulative fix for the identified problem, builds an installable cumulative fix for the identified problem using the situation data, and responsive to a determination that the installable cumulative fix meets predetermined requirements and the installable cumulative fix is a new installable cumulative fix, saves the new installable cumulative fix in a storage system and sends the new installable cumulative fix to a requester. | 06-20-2013 |
20130159994 | APPLICATION RUNTIME EXPERT FOR DEPLOYMENT OF AN APPLICATION ON MULTIPLE COMPUTER SYSTEMS - An application Runtime Expert (ARE) manages deployment of application software on multiple computer systems. The ARE assists the user in verifying and fixing configuration and environment details of an application deployed on multiple computer systems. The ARE creates a user configurable XML template that contains configuration and environment details based on information collected from a master system that has a known good deployment. The ARE allows a user to edit the XML logic in the template and add plug-ins to the template. The XML template with the added plug-ins are sent to a target system and used to verify the deployment on the target system compared to the master system and to make fixes to the target system's configuration. | 06-20-2013 |
20130160015 | AUTOMATICALLY GENERATING COMPOUND COMMANDS IN A COMPUTER SYSTEM - A computer system provides a way to automatically generate compound commands that perform tasks made up of multiple simple commands. A compound command generation mechanism monitors consecutive user commands and compares the consecutive commands a user has taken to a command sequence identification policy. If the user's consecutive commands satisfy the command sequence identification policy the user's consecutive commands become a command sequence. If the command sequence satisfies the compound command policy, the compound generation mechanism can generate a compound command for the command sequence automatically or prompt an administrator to allow the compound command to be generated. Generating a compound command can be done on a user by user basis or on a system wide basis. The compound command can then be displayed to the user to execute so that the command sequence is performed by the user selecting the compound command for execution. | 06-20-2013 |
20130160025 | RUNTIME OPTIMIZATION OF AN APPLICATION EXECUTING ON A PARALLEL COMPUTER - Identifying a collective operation within an application executing on a parallel computer; identifying a call site of the collective operation; determining whether the collective operation is root-based; if the collective operation is not root-based: establishing a tuning session and executing the collective operation in the tuning session; if the collective operation is root-based, determining whether all compute nodes executing the application identified the collective operation at the same call site; if all compute nodes identified the collective operation at the same call site, establishing a tuning session and executing the collective operation in the tuning session; and if all compute nodes executing the application did not identify the collective operation at the same call site, executing the collective operation without establishing a tuning session. | 06-20-2013 |
20130160049 | SELECTIVE DISPLAY OF MESSAGES USING INFORMATION PROCESSING DEVICES - Embodiments relate to a method, apparatus and program product for processing messages. The messaging system includes a plurality of information processing devices communicating via a network and an output status determining unit for verifying a first information processing device is connected to a video output device. It also includes a message processing unit configured to provide a message on a first or a second information processing device. The message is provided in a certain manner based on information provided by the output status determining unit. | 06-20-2013 |
20130160105 | CONFIGURING IDENTITY FEDERATION CONFIGURATION - A method and apparatus for configuring identity federation configuration. The method includes: acquiring a set of identity federation configuration properties of a first computing system and a set of identity federation configuration properties of a second computing system; identifying one or more pairs of associated properties in the first and the second sets, where the pairs of associated properties include one property from each set of identity federation configuration; displaying, properties that need to be configured manually from the each sets of identity federation configuration properties, where the properties that need to be configured manually do not include the property in any pair of associated properties for which the value can be derived from the value of another property in the pair; automatically assigning a property that can be derived from the value of another property; and providing each computing systems with each set of identity federation properties. | 06-20-2013 |
20130160117 | IDENTIFYING REQUESTS THAT INVALIDATE USER SESSIONS - An illustrative embodiment of a computer-implemented process for identifying a request invalidating a session excludes all marked logout requests of a Web application, crawls an identified next portion of the Web application and responsive to a determination, in one instance, that the state of the crawl is out of session, logs in to the Web application. The computer-implemented process further selects all crawl requests sent since a last time the crawl was in-session, excluding all marked logout requests and responsive to a determination that requests remain, crawls a selected next unprocessed request. Responsive to a determination, in the next instance, that state of the crawl is out of session and the selected request meets logout request criteria, the computer-implemented process marks the selected request as a logout request. | 06-20-2013 |
20130160136 | DATA SECURITY IN A MULTI-NODAL ENVIRONMENT - A data security manager in a multi-nodal environment enforces processing constraints stored as security relationships that control how different pieces of a multi-nodal application (called execution units) are allowed to execute to insure data security. The security manager preferably checks the security relationships for security violations when new execution units start execution, when data moves to or from an execution unit, and when an execution unit requests external services. Where the security manager determines there is a security violation based on the security relationships, the security manager may move, delay or kill an execution unit to maintain data security. | 06-20-2013 |
20130160705 | REDUCTION OF EDGE CHIPPING DURING WAFER HANDLING - Methods and systems for reinforcing the periphery of a semiconductor wafer bonded to a carrier are disclosed. In one embodiment, additional adhesive is applied to the semiconductor wafer prior to bonding. The additional adhesive seeps into a crevice between the carrier and wafer and provides reinforcement. In another embodiment, adhesive is applied to the crevice by a dispenser after the wafer is bonded to the glass carrier. | 06-27-2013 |
20130161283 | SAW FILTER HAVING PLANAR BARRIER LAYER AND METHOD OF MAKING - Disclosed herein is a surface acoustic wave (SAW) filter and method of making the same. The SAW filter includes a piezoelectric substrate; a planar barrier layer disposed above the piezoelectric substrate, and at least one conductor buried in the piezoelectric substrate and the planar barrier layer. | 06-27-2013 |
20130161618 | SILICON-ON-INSULATOR (SOI) STRUCTURE CONFIGURED FOR REDUCED HARMONICS AND METHOD OF FORMING THE STRUCTURE - Disclosed is semiconductor structure with an insulator layer on a semiconductor substrate and a device layer is on the insulator layer. The substrate is doped with a relatively low dose of a dopant having a given conductivity type such that it has a relatively high resistivity. Additionally, a portion of the semiconductor substrate immediately adjacent to the insulator layer can be doped with a slightly higher dose of the same dopant, a different dopant having the same conductivity type or a combination thereof. Optionally, micro-cavities are created within this same portion so as to balance out any increase in conductivity due to increased doping with a corresponding increase in resistivity. Increasing the dopant concentration at the semiconductor substrate-insulator layer interface raises the threshold voltage (Vt) of any resulting parasitic capacitors and, thereby reduces harmonic behavior. Also disclosed herein are embodiments of a method for forming such a semiconductor structure. | 06-27-2013 |
20130161649 | STRUCTURE AND METHOD FOR INCREASING STRAIN IN A DEVICE - A method and structure are disclosed for increasing strain in a device, specifically an n-type field effect transistor (NFET) complementary metal-oxide-semiconductor (CMOS) device. Embodiments of this invention include an n-type field effect transistor (NFET) complementary metal-oxide-semiconductor (CMOS) device having a source region and a drain region, the NFET CMOS including: an n-type doped layer in at least one of the source region and the drain region, wherein the n-type doped layer includes substitutional carbon and has a memorized tensile stress induced by a stress memorization technique (SMT). | 06-27-2013 |
20130161687 | BI-DIRECTIONAL BACK-TO-BACK STACKED SCR FOR HIGH-VOLTAGE PIN ESD PROTECTION, METHODS OF MANUFACTURE AND DESIGN STRUCTURES - Bi-directional back-to-back stacked SCRs for high-voltage pin ESD protection, methods of manufacture and design structures are provided. The device includes a symmetrical bi-directional back-to-back stacked silicon controlled rectifier (SCR). An anode of a first of the back-to-back stacked SCR is connected to an input. An anode of a second of the back-to-back stacked SCR is connected to ground. Cathodes of the first and second of the back-to-back stacked SCR are connected together. Each of the symmetrical bi-directional back-to-back SCRs include a pair of diodes directing current towards the cathodes which, upon application of a voltage, become reverse biased effectively and deactivating elements from one of the symmetrical bi-directional back-to-back SCRs while the diodes of another of the symmetrical bi-directional back-to-back SCRs direct current in the same direction as the reverse biased diodes. | 06-27-2013 |
20130161697 | REPLACEMENT GATE MOSFET WITH RAISED SOURCE AND DRAIN - A disposable dielectric spacer is formed on sidewalls of a disposable material stack. Raised source/drain regions are formed on planar source/drain regions by selective epitaxy. The disposable dielectric spacer is removed to expose portions of a semiconductor layer between the disposable material stack and the source/drain regions including the raised source/drain regions. Dopant ions are implanted to form source/drain extension regions in the exposed portions of the semiconductor layer. A gate-level dielectric layer is deposited and planarized. The disposable material stack is removed and a gate stack including a gate dielectric and a gate electrode fill a cavity formed by removal of the disposable material stack. Optionally, an inner dielectric spacer may be formed on sidewalls of the gate-level dielectric layer within the cavity prior to formation of the gate stack to tailor a gate length of a field effect transistor. | 06-27-2013 |
20130161706 | JUNCTION FIELD EFFECT TRANSISTOR WITH AN EPITAXIALLY GROWN GATE STRUCTURE - A method of fabricating a semiconductor device that includes forming a replacement gate structure on a portion of a semiconductor substrate, wherein source regions and drain regions are formed in opposing sides of the replacement gate structure. A dielectric is formed on the semiconductor substrate having an upper surface that is coplanar with an upper surface of the replacement gate structure. The replacement gate structure is removed to provide an opening to an exposed portion of the semiconductor substrate. A functional gate conductor is epitaxially grown within the opening in direct contact with the exposed portion of the semiconductor substrate. The method is applicable to planar metal oxide semiconductor field effect transistors (MOSFETs) and fin field effect transistors (finFETs). | 06-27-2013 |
20130161745 | SOURCE-DRAIN EXTENSION FORMATION IN REPLACEMENT METAL GATE TRANSISTOR DEVICE - In one embodiment a transistor structure includes a gate stack disposed on a surface of a semiconductor body. The gate stack has a layer of gate dielectric surrounding gate metal and overlies a channel region in the semiconductor body. The transistor structure further includes a source having a source extension region and a drain having a drain extension region formed in the semiconductor body, where each extension region has a sharp, abrupt junction that overlaps an edge of the gate stack. Also included is a punch through stopper region having an implanted dopant species beneath the channel in the semiconductor body between the source and the drain. There is also a shallow channel region having an implanted dopant species located between the punch through stopper region and the channel. Both bulk semiconductor and silicon-on-insulator transistor embodiments are described. | 06-27-2013 |
20130161747 | ISOLATION REGION FABRICATION FOR REPLACEMENT GATE PROCESSING - A semiconductor structure includes a silicon-on-insulator (SOI) substrate, the SOI substrate comprising a bottom silicon layer, a buried oxide (BOX) layer, and a top silicon layer; a plurality of active devices formed on the top silicon layer; and an isolation region located between two of the active devices, wherein at least two of the plurality of active devices are electrically isolated from each other by the isolation region, and wherein the isolation region extends through the top silicon layer to the BOX layer. | 06-27-2013 |
20130161748 | STRUCTURE, METHOD AND SYSTEM FOR COMPLEMENTARY STRAIN FILL FOR INTEGRATED CIRCUIT CHIPS - A structure, method and system for complementary strain fill for integrated circuit chips. The structure includes a first region of an integrated circuit having multiplicity of n-channel and p-channel field effect transistors (FETs); a first stressed layer over n-channel field effect transistors (NFETs) of the first region, the first stressed layer of a first stress type; a second stressed layer over p-channel field effect transistors (PFETs) of the first region, the second stressed layer of a second stress type, the second stress type opposite from the first stress type; and a second region of the integrated circuit, the second region not containing FETs, the second region containing first sub-regions of the first stressed layer and second sub-regions of the second stressed layer. | 06-27-2013 |
20130161759 | METHOD FOR GROWING STRAIN-INDUCING MATERIALS IN CMOS CIRCUITS IN A GATE FIRST FLOW - A complementary metal oxide semiconductor (CMOS) circuit incorporating a substrate and a gate wire over the substrate. The substrate comprises an n-type field effect transistor (n-FET) region, a p-type field effect transistor (p-FET) region and an isolation region disposed between the n-FET and p-FET regions. The gate wire comprises an n-FET gate, a p-FET gate, and gate material extending transversely from the n-FET gate across the isolation region to the p-FET gate. A first conformal insulator covers the gate wire and a second conformal insulator is on the first conformal insulator positioned over the p-FET gate without extending laterally over the n-FET gate. Straining regions for producing different types of strain are formed in recess etched into the n-FET and p-FET regions of the substrate. | 06-27-2013 |
20130161764 | REPLACEMENT GATE HAVING WORK FUNCTION AT VALENCE BAND EDGE - Replacement gate stacks are provided, which increase the work function of the gate electrode of a p-type field effect transistor (PFET). In one embodiment, the work function metal stack includes a titanium-oxide-nitride layer located between a lower titanium nitride layer and an upper titanium nitride layer. The stack of the lower titanium nitride layer, the titanium-oxide-nitride layer, and the upper titanium nitride layer produces the unexpected result of increasing the work function of the work function metal stack significantly. In another embodiment, the work function metal stack includes an aluminum layer deposited at a temperature not greater than 420° C. The aluminum layer deposited at a temperature not greater than 420° C. produces the unexpected result of increasing the work function of the work function metal stack significantly. | 06-27-2013 |
20130161777 | ANTI-REFLECTION STRUCTURES FOR CMOS IMAGE SENSORS - Optical structures having an array of protuberances between two layers having different refractive indices are provided. The array of protuberances has vertical and lateral dimensions less than the wavelength range of lights detectable by a photodiode of a CMOS image sensor. The array of protuberances provides high transmission of light with little reflection. The array of protuberances may be provided over a photodiode, in a back-end-of-line interconnect structure, over a lens for a photodiode, on a backside of a photodiode, or on a window of a chip package. | 06-27-2013 |
20130161785 | ON CHIP INDUCTOR WITH FREQUENCY DEPENDENT INDUCTANCE - A set of metal line structures including a signal transmission metal line and a capacitively-grounded inductively-signal-coupled metal line is embedded in a dielectric material layer. A capacitor is serially connected between the capacitively-grounded inductively-signal-coupled metal line and a local electrical ground, which may be on the input side or on the output side. The set of metal line structures and the capacitor collective provide a frequency dependent inductor. The Q factor of the frequency dependent inductor has multiple peaks that enable the operation of the frequency dependent inductor at multiple frequencies. Multiple capacitively-grounded inductively-signal-coupled metal lines may be provided in the frequency-dependent inductor, each of which is connected to the local electrical ground through a capacitor. By selecting different capacitance values for the capacitors, multiple values of the Q-factor may be obtained in the frequency dependent inductor at different signal frequencies. | 06-27-2013 |
20130161791 | 3D VIA CAPACITOR WITH A FLOATING CONDUCTIVE PLATE FOR IMPROVED RELIABILITY - The present invention provides a 3D via capacitor and a method for forming the same. The capacitor includes an insulating layer on a substrate. The insulating layer has a via having sidewalls and a bottom. A first electrode overlies the sidewalls and at least a portion of the bottom of the via. A first high-k dielectric material layer overlies the first electrode. A first conductive plate is over the first high-k dielectric material layer. A second high-k dielectric material layer overlies the first conductive plate and leaves a remaining portion of the via unfilled. A second electrode is formed in the remaining portion of the via. The first conductive plate is substantially parallel to the first electrode and is not in contact with the first and second electrodes. An array of such 3D via capacitors is also provided. | 06-27-2013 |
20130161822 | CONTROLLING DENSITY OF PARTICLES WITHIN UNDERFILL SURROUNDING SOLDER BUMP CONTACTS - A method forms an integrated circuit structure, using a manufacturing device, to have kerf regions and external contacts, and to have conductive structures in the kerf regions. The method also forms an underfill material on a surface of the integrated circuit structure, using the manufacturing device, that contacts the kerf regions and the external contacts. The underfill material comprises electrically attracted filler particles that affect the coefficient of thermal expansion and elastic modulus of the underfill material. When forming the underfill material, the method applies an electrical charge to the conductive structures and the external contacts. | 06-27-2013 |
20130162453 | USING VARIABLE LENGTH ENCODING TO COMPRESS AN INPUT DATA STREAM TO A COMPRESSED OUTPUT DATA STREAM - Provided are a computer program product, system, method, and data structure for using variable length code tables to compress an input data stream to a compressed output data stream. If a number of consecutive data units in the input data stream match the number of consecutive data units in a history buffer of previously received data units in the input data stream, a copy pointer symbol is generated indicating the copy pointer symbol referencing previously received data units in the history buffer and indicating a location of a start of the consecutive data units in the history buffer. A determination is made of an encoding of the number. Indication is made in the generated copy pointer symbol the determined encoding of the number and the copy pointer symbol is outputted in the compressed output data stream. | 06-27-2013 |
20130163397 | OVERWRITE CONTROL FOR DATA IN TAPE RECORDING APPARATUS - A tape recording apparatus in communication with a tape medium, and includes a head and a controlling unit to control the head to read and write data to the tape medium, wherein the controlling unit performs operations, comprising: determining an overwrite starting position by skipping data units whose beginning position cannot be determined until a data unit is reached having a beginning position that can be determined; and performing an overwrite operation at the overwrite starting position at the data unit whose beginning position can be determined. | 06-27-2013 |
20130163413 | LAYERED CONTROL OF SERVICE INTERFACE FOR A BREAKOUT COMPONENT IN A MOBILE DATA NETWORK - Mobile network services are performed in a mobile data network in a way that is transparent to most of the existing equipment in the mobile data network. The mobile data network includes a radio access network and a core network. A breakout component in the radio access network breaks out data coming from a basestation, and performs one or more mobile network services at the edge of the mobile data network based on the broken out data. The breakout component includes a service interface that performs primary control by one system, and backup control by a different system. | 06-27-2013 |
20130163419 | FLEXIBLE AND SCALABLE DATA LINK LAYER FLOW CONTROL FOR NETWORK FABRICS - A network fabric may divide a physical connection into a plurality of VLANs as defined by IEEE 802.1Q. Moreover, many network fabrics use Priority Flow Control to identify and segregate network traffic based on different traffic classes or priorities. Current routing protocols define only eight traffic classes. In contrast, a network fabric may contain thousands of unique VLANs. When network congestion occurs, network devices (e.g., switches, bridges, routers, servers, etc.) can negotiate to pause the network traffic associated with one of the different traffic classes. Pausing the data packets associated with a single traffic class may also stop the data packets associated with thousands of VLANs. The embodiments disclosed herein permit a network fabric to individually pause VLANs rather than entire traffic classes. | 06-27-2013 |
20130163436 | AUTOMATIC UPDATES TO FABRIC ALERT DEFINITIONS - For automatic updates to fabric alert definitions, a method monitors fabric alert definitions defined for a storage area network having a plurality of switches and a plurality of fabrics. The fabric alert definitions define alert conditions within the storage area network relevant to events occurring within one or more of the plurality of fabrics. The method further detects one or more changes to the plurality of fabrics by discovering relationships between each fabric and each switch within the storage area network and comparing current relationships against previous relationships. In addition, the method automatically modifies fabric alert definitions having a reference to changed fabrics responsive to detection of the one or more changes to the plurality of fabrics. | 06-27-2013 |
20130163990 | Provisioning and Commissioning a Communications Network with a Virtual Network Operations Center and Interface - An interface coupled to a virtual network operations center and coupled to a data communications network having at least one optical channel. Equipment on the data communications network is identified by the interface wherein the interface coordinates and correlates communications between the I/O interfaces of a server coupled to the network so that data rates and data protocols are managed properly. The interface receives commands from and transmits commands to the data communications network and translates the commands to be further transmitted and used in the virtual network operations center coupled to the server. The data communications network is represented in a three dimensions virtual world in the virtual network operations center so that events on the network can be represented in real-time in the virtual network operations center. | 06-27-2013 |
20130164522 | STRUCTURE AND METHOD TO FORM NANOPORE - A method of fabricating a material having nanoscale pores is provided. In one embodiment, the method of fabricating a material having nanoscale pores may include providing a single crystal semiconductor. The single crystal semiconductor layer is then patterned to provide an array of exposed portions of the single crystal semiconductor layer having a width that is equal to the minimum lithographic dimension. The array of exposed portion of the single crystal semiconductor layer is then etched using an etch chemistry having a selectivity for a first crystal plane to a second crystal plane of 100% or greater. The etch process forms single or an array of trapezoid shaped pores, each of the trapezoid shaped pores having a base that with a second width that is less than the minimum lithographic dimension. | 06-27-2013 |
20130164680 | PHOTORESIST COMPOSITION FOR NEGATIVE DEVELOPMENT AND PATTERN FORMING METHOD USING THEREOF - The present invention relates to a photoresist composition capable of negative development and a pattern forming method using the photoresist composition. The photoresist composition includes an imaging polymer and a radiation sensitive acid generator. The imaging polymer includes a first monomeric unit having a pendant acid labile moiety and a second monomeric unit containing a reactive ether moiety, an isocyanide moiety or an isocyanate moiety. The patterning forming method utilizes an organic solvent developer to selectively remove unexposed regions of a photoresist layer of the photoresist composition to form a patterned structure in the photoresist layer. The photoresist composition and the pattern forming method are especially useful for forming material patterns on a semiconductor substrate using 193 nm (ArF) lithography. | 06-27-2013 |
20130164877 | ISOLATION STRUCTURES FOR GLOBAL SHUTTER IMAGER PIXEL, METHODS OF MANUFACTURE AND DESIGN STRUCTURES - Pixel sensor cells, e.g., CMOS optical imagers, methods of manufacturing and design structures are provided with isolation structures that prevent carrier drift to diffusion regions. The pixel sensor cell includes a photosensitive region and a gate adjacent to the photosensitive region. The pixel sensor cell further includes a diffusion region adjacent to the gate. The pixel sensor cell further includes an isolation region located below a channel region of the gate and about the photosensitive region, which prevents electrons collected in the photosensitive region to drift to the diffusion region. | 06-27-2013 |
20130164888 | Graphene Solar Cell - A solar cell includes a semiconductor portion, a graphene layer disposed on a first surface of the semiconductor portion, and a first conductive layer patterned on the graphene layer, the first conductive layer including at least one bus bar portion and a plurality of fingers extending from the at least one bus bar portion. | 06-27-2013 |
20130164891 | HIGH DENSITY BUTTED JUNCTION CMOS INVERTER, AND MAKING AND LAYOUT OF SAME - A method of manufacturing a butted junction CMOS inverter with asymmetric complementary FETS on an SOI substrate may include: forming a butted junction that physically contacts a first drain region of a first FET and a second drain region of a second complementary FET on the SOI substrate, where the butted junction is disposed medially to a first channel region of the first FET and a second channel region of the second complementary FET; implanting a first halo implant on only a source side of the first channel region, to form a first asymmetric FET; and forming a second halo implant on only a source side of the second channel region of the second complementary FET, to form a second asymmetric complementary FET. | 06-27-2013 |
20130164905 | 3D VIA CAPACITOR WITH A FLOATING CONDUCTIVE PLATE FOR IMPROVED RELIABILITY - The present invention provides a 3D via capacitor and a method for forming the same. The capacitor includes an insulating layer on a substrate. The insulating layer has a via having sidewalls and a bottom. A first electrode overlies the sidewalls and at least a portion of the bottom of the via. A first high-k dielectric material layer overlies the first electrode. A first conductive plate is over the first high-k dielectric material layer. A second high-k dielectric material layer overlies the first conductive plate and leaves a remaining portion of the via unfilled. A second electrode is formed in the remaining portion of the via. The first conductive plate is substantially parallel to the first electrode and is not in contact with the first and second electrodes. An array of such 3D via capacitors is also provided. | 06-27-2013 |
20130164910 | DEVICES WITH GATE-TO-GATE ISOLATION STRUCTURES AND METHODS OF MANUFACTURE - Devices having gate-to-gate isolation structures and methods of manufacture are provided. The method includes forming a plurality of isolation structures in pad films and an underlying substrate. The method further includes forming a plurality of fins including the isolation structures and a second plurality of fins including the two pad films and a portion of the underlying substrate, each of which are separated by a trench. The method further includes removing portions of the second plurality of fins resulting in a height lower than a height of the plurality of fins including the isolation structures. The method further includes forming gate electrodes within each trench, burying the second plurality of fins and abutting sides of the plurality of fins including the isolation structures. The plurality of fins including the isolation structures electrically and physically isolate adjacent gate electrode of the gate electrodes. | 06-27-2013 |
20130164912 | REDUCTION OF EDGE CHIPPING DURING WAFER HANDLING - Methods and systems for reinforcing the periphery of a semiconductor wafer bonded to a carrier are disclosed. In one embodiment, additional adhesive is applied to the semiconductor wafer prior to bonding. The additional adhesive seeps into a crevice between the carrier and wafer and provides reinforcement. In another embodiment, adhesive is applied to the crevice by a dispenser after the wafer is bonded to the glass carrier. | 06-27-2013 |
20130166209 | DETERMINATION OF A ROUTE OF A MOBILE DEVICE IN A MOBILE NETWORK - A method and system for determining a traveled route of a mobile device in a mobile network. Analysis of a network usage record identifies activities and associated activity information of the mobile device. A series of consecutive movements of the mobile device along a path of locations is determined from the activity information. Historical sets of routes of the mobile device are accessed. Each route is a set of locations from an origin location to a destination location of the route. At least one common route is ascertained from the set of routes. The locations in each common route include all of the locations on the path. The origin location and destination location of each common route is the origin location and destination location of the path, respectively. A common route of the at least one common route is designated as the traveled route of the mobile device. | 06-27-2013 |
20130166258 | Techniques for Thermal Modeling of Data Centers to Improve Energy Efficiency - Techniques for modeling a data center are provided. In one aspect, a method for modeling a data center is provided. The method comprises the following steps. Spatially dense three-dimensional thermal distribution and air flow measurements made in the data center using a mobile off-line surveying system are obtained. A temperature and air flow model for the data center is created using the spatially dense three-dimensional thermal distribution and air flow measurements. The temperature and air flow model is used to make thermal distribution and air flow predictions of the data center. The thermal distribution and air flow predictions are compared with the thermal distribution and air flow measurements made using the mobile off-line surveying system to produce a validated model for the data center. | 06-27-2013 |
20130166301 | ADAPTIVE VOICE PRINT FOR CONVERSATIONAL BIOMETRIC ENGINE - A computer-implemented method, system and/or program product update voice prints over time. A receiving computer receives an initial voice print. A determining period of time is calculated for that initial voice print. This determining period of time is a length of time during which an expected degree of change in subsequent voice prints, in comparison to the initial voice print and according to a speaker's subsequent age, is predicted to occur. A new voice print is received after the determining period of time has passed, and the new voice print is compared with the initial voice print. In response to a change to the new voice print falling within the expected degree of change in comparison to the initial voice print, a voice print store is updated with the new voice print. | 06-27-2013 |
20130166352 | MOBILE CATEGORIZATION - Method and system for assigning a category to a user comprising the steps of: obtaining network usage records from a mobile network. Extracting cell information from the network usage records. Determining location information from the extracted cell information. Generating one or more user behaviour attributes from the determined location information. Assigning a user category to the user based on the determined one or more user behaviour attributes. | 06-27-2013 |
20130166356 | NANOSTRUCTURE TRACKING OF PRODUCT DATA SIGNATURES - Some embodiments of the inventive subject matter are directed to incorporating one or more nanoprocessors to one or more physical structures of one or more components of a product. The product is transportable via a chain of supply. Some embodiments are further directed to configuring the one or more nanoprocessors to store data that describes characteristics of the one or more components, the product, and/or the chain of supply. Some embodiments are further directed configuring the one or more nanoprocessors to transmit one or more signals that contain the data. | 06-27-2013 |
20130166519 | DEVICE ACCESS SYSTEM - Storage system that includes: an address search section for i) storing an address for frequent use data and a data index assigned to the address, ii) acquiring an address of write or read data, and iii) searching stored addresses with the acquired address, a frequent use data storage section for i) storing a tag related to the use data and the index, ii) acquiring the index when an address acquired by the search section has hit a stored address, and iii) identifying frequent use data that corresponds to the tag, a data comparator for i) acquiring the frequent use data from the storage section, ii) comparing the data with write data, and iii) identifying frequent use data that hit the write data, and an compression-expansion section for acquiring and compressing the write data and the frequent use data from the comparator, and for acquiring the read data. | 06-27-2013 |
20130166532 | STRING SEARCHES IN A COMPUTER DATABASE - A query optimizer improves string searches in a computer database that sequentially search for a string in a database record. The query optimizer optimizes the query to search records of a database from a specified start position other than the beginning of the record. The specified start position of the search may be determined by from historical information stored from previous searches. Alternatively, the query optimizer determines the specified start position of the search based on an overriding starting position provided by a system administrator. The query optimizer may also direct that the database record be reorganized to more efficiently search for strings in the record. | 06-27-2013 |
20130166533 | STRING SEARCHES IN A COMPUTER DATABASE - A query optimizer improves string searches in a computer database that sequentially search for a string in a database record. The query optimizer optimizes the query to search records of a database from a specified start position other than the beginning of the record. The specified start position of the search may be determined by from historical information stored from previous searches. Alternatively, the query optimizer determines the specified start position of the search based on an overriding starting position provided by a system administrator. The query optimizer may also direct that the database record be reorganized to more efficiently search for strings in the record. | 06-27-2013 |
20130166536 | DATABASE QUERY GOVERNOR WITH TAILORED THRESHOLDS - A query governor intelligently sets tailored thresholds for a query accessing a computer database. The query governor preferably generates a tailored threshold for each query sent to the database for execution. The tailored threshold for the query is preferably compared to an estimated query execution time to determine whether to execute the query. The query governor uses one or more factors applied to a standard threshold to generate the tailored threshold. The factors preferably include user factors and query factors. These factors are dynamically adjusted by the query governor in an intelligent way to increase optimal use of the database. Other factors may include factors such as job priority factor, resource factor and an application factor. | 06-27-2013 |
20130166539 | DISTRIBUTED MULTI-STEP ABSTRACT QUERIES - Techniques for incorportating query results into an abstract database are described. Embodiments receive a first set of query results produced by executing a first abstract query using a first data abstraction model against a first database. One or more mappings between the first set of query results and one or more logical fields in a second data abstraction model are then determined, where the second data abstraction model models underlying physical data in a manner making a schema of the physical data transparent to a user of the second data abstraction model. Embodiments modify one or more logical field definitions to reference the first set of query results using the determined one or more mappings, such that abstract queries can be executed against both the second database and the first set of query results using the modified second data abstraction model. | 06-27-2013 |
20130166542 | UNIFYING HETROGENOUS DATA - Systems of computer hardware for unifying data are presented including: a complex enterprise query client; a web sphere federation server (WSFS) electronically coupled with the complex enterprise query client, the WSFS configured for receiving a complex query from the complex enterprise query client; a first and second data service wrapper of a number of wrappers configured for transforming a first and second sub-query of the complex query corresponding with a first and second data type into a first and second data query; and a network traversal framework configured for receiving the first data query and the second data query, for sending consolidated first and second data results corresponding with the first and second data query to the first and second data service wrapper. | 06-27-2013 |
20130166545 | GENERATING SIMULATED CONTAINMENT REPORTS OF DYNAMICALLY ASSEMBLED COMPONENTS IN A CONTENT MANAGEMENT SYSTEM - A content management system (CMS) uses a simulated containment report generation mechanism to generate a simulated containment report for elements in the repository based on logged properties that represent potential use of the element during dynamic content delivery that are not represented in formal relationships in the content management system. Properties such as probability, popularity, and recent usage that are not explicit relationships between parent documents and child elements are logged and used to determine which document maps may potentially reuse a selected element. In this manner a simulated containment report can be created for an element that allows the user to see the document maps that are most likely to include the element. | 06-27-2013 |
20130166582 | OPERATION OF A USER INTERFACE - Embodiments relate to a user interface with an aspect having a method for operating a user interface including detecting a sequence of actions with respect to the user interface and accessing a database having a plurality of pattern keys, wherein each of the pattern keys define a sequence of actions with respect to the user interface and a specific end result for the sequence of actions. The method also includes matching the detected sequence of actions with respect to the user interface and responsive to one of the pattern keys in the database and performing a predefined action in the user interface in relation to the specific end result of a matched pattern key. | 06-27-2013 |
20130166620 | ENHANCED BARRIER OPERATOR WITHIN A STREAMING ENVIRONMENT - Techniques are described for processing data. Embodiments receive streaming data to be processed by a plurality of processing elements. An operator graph of the plurality of processing elements that defines at least one execution path is established. Additionally, a first processing element in the operator graph includes a barrier operator that joins the output of one or more upstream operators included in one or more of the plurality of processing elements. Embodiments initiate one or more timeout conditions at the barrier operator. Embodiments also determine, at the first processing element, that one or more timeout conditions have been satisfied before data has been received from each of the one or more upstream operators. Upon determining that the one or more timeout conditions have been satisfied, Embodiments generate output data at the barrier operator without the data from at least one of the one or more upstream operators. | 06-27-2013 |
20130166702 | Method, Device, System, and Program for Optimizing Software Configuration Values using CMDB - A method, device, system, and program able to automatically optimize configuration values in software constituting an integrated system. The integrated system introduction support device collects from a configuration management database (CMDB) software configuration values related to other integrated systems sharing interactive aspects with the software constituting the target integrated system, and generates a regression model of configuration values having a high correlation among the collected software configuration values. When configuration values in a predetermined software program constituting the integrated system have been specified by the user, the integrated system introduction support device applies the specified configuration values to the regression model, and calculates an optimum value for the other software programs constituting the integrated system. | 06-27-2013 |
20130166707 | EXTENDING A DHCP RELAY TO BACKUP A DHCP SERVER - Techniques are described for providing a backup DHCP server using a DHCP relay agent. Embodiments retrieve DHCP server configuration information specifying a configuration of one or more DHCP servers. Additionally, embodiments monitor, at a DHCP relay agent, DHCP lease traffic between the one or more DHCP servers and one or more DHCP clients passing through the DHCP relay agent. Upon determining that the one or more DHCP servers have failed, DHCP server services are provided at the DHCP relay agent, based on the received DHCP server configuration information and the monitored DHCP lease traffic. | 06-27-2013 |
20130166737 | DUPLICATE IP ADDRESS DETECTION BY A DHCP RELAY AGENT - Techniques are described for detecting duplicate IP addresses at a DHCP relay agent. Embodiments identify a list of DHCP clients that have valid IP address leases from one or more DHCP servers. Additionally, network traffic from a first node passing through the DHCP relay agent is monitored. Embodiments then determine whether the first node is using a duplicate IP address, based on the monitored network traffic and the identified list of DHCP clients. | 06-27-2013 |
20130166741 | EFFICIENT MONITORING IN A SOFTWARE SYSTEM - A monitoring of a server system during an execution of a server system processing logic, includes: during collection and storage of operational metrics by a given thread in a thread-local memory, determining that a checkpoint within the server system processing logic is reached; determining whether a threshold number of checkpoints have been encountered by the given thread; in response to the threshold number of checkpoints having been encountered, determining whether a threshold time interval since a last rollup of the collected operational metrics has been exceeded; and in response to the threshold time interval being exceeded, performing a rollup of the collected operational metrics from the thread-local memory to an accumulation point in a shared memory, where the accumulation point stores aggregated operational metrics from a plurality of threads. | 06-27-2013 |
20130166743 | Relevant Alert Delivery In A Distributed Processing System - Methods, systems and products are provided relevant alert delivery including assigning by an event analyzer each received event to an events pool; determining by the event analyzer in dependence upon event analysis rules and the events assigned to the events pool whether to suppress one or more of the events; identifying by the event analyzer in dependence upon event analysis rules and the events assigned to the events pool one or more alerts; sending by the event analyzer to an alert analyzer all the alerts identified by the event analyzer; assigning by the alert analyzer the identified alerts to an alerts pool; determining by the alert analyzer in dependence upon alert analysis rules and the alerts in the alert pool whether to suppress any alerts; and transmitting the unsuppressed alerts to one or more components of the distributed processing system. | 06-27-2013 |
20130166753 | FLEXIBLE AND SCALABLE ENHANCED TRANSMISSION SELECTION METHOD FOR NETWORK FABRICS - IEEE 802.1Q and Enhanced Transmission Selection provide only eight different traffic classes that may be used to control bandwidth in a particular physical connection (or link). Instead of relying only on these eight traffic classes to manage bandwidth, the embodiments discussed herein disclose using an Enhanced Transmission Selection scheduler that permits a network device to set the bandwidth for an individual virtual LAN. Allocating bandwidth in a port based on a virtual LAN ID permits a network device to allocate bandwidth to, e.g., millions of unique virtual LANs. Thus, this technique may increase the granular control of the network fabric and its performance. | 06-27-2013 |
20130166754 | CLIENT-DRIVEN LOAD BALANCING OF DYNAMIC IP ADDRESS ALLOCATION - Techniques are described for load balancing between DHCP servers at a DHCP client. Embodiments receive load information from each of two or more DHCP servers. Here, the load information describes a current workload of the respective DHCP server from which the load information is received. One of the two or more DHCP servers is then selected at the DHCP client based on the received load information. Additionally, embodiments accept an IP address offer from the selected DHCP server. | 06-27-2013 |
20130166844 | STORAGE IN TIERED ENVIRONMENT FOR COLDER DATA SEGMENTS - Exemplary embodiments for storing data by a processor device in a computing environment are provided. In one embodiment, by way of example only, from a plurality of available data segments, a data segment having a storage activity lower than a predetermined threshold is identified as a colder data segment. A chunk of storage is located to which the colder data segment is assigned. The colder data segment is compressed. The colder data segment is migrated to the chunk of storage. A status of the chunk of storage is maintained in a compression data segment bitmap. | 06-27-2013 |
20130166867 | PREVENTION OF OVERLAY OF PRODUCTION DATA BY POINT IN TIME COPY OPERATIONS IN A HOST BASED ASYNCHRONOUS MIRRORING ENVIRONMENT - A primary storage controller is configured to communicate with a secondary storage controller via a system data mover. In response to receiving a command to perform a point in time copy of a source volume of the primary storage controller to a target volume of the primary storage controller, a determination is made as to whether the target volume of the primary storage controller is a source for an asynchronous data replication operation, initiated by the system data mover, between the primary storage controller and the secondary storage controller. In response to determining that the target volume of the primary storage controller is the source for the asynchronous data replication operation, initiated by the system data mover, the point in time copy of the source volume of the primary storage controller to the target volume of the primary storage controller is performed. | 06-27-2013 |
20130166871 | MEMORY CONTROL METHOD FOR A COMPUTER SYSTEM - A memory control method for a computer system is provided. The method includes the steps of: (a) calculating an operation cost of each of given M memory objects in each of N memory regions, M being an integer larger than 0, wherein the operation cost is a quantifiable parameter of a said memory region with respect to a said memory object operating therein; (b) determining an optimized allocation of the M memory objects in the N memory regions according to the calculated operation cost of each of the M memory objects in each of the N memory regions. | 06-27-2013 |
20130166873 | MANAGEMENT OF LOW-PAGING SPACE CONDITIONS IN AN OPERATING SYSTEM - A virtual memory management unit can implement various techniques for managing paging space. The virtual memory management unit can monitor a number of unallocated large sized pages and can determine when the number of unallocated large sized pages drops below a page threshold. Unallocated contiguous smaller-sized pages can be aggregated to obtain unallocated larger-sized pages, which can then be allocated to processes as required to improve efficiency of disk I/O operations. Allocated smaller-sized pages can also be reorganized to obtain the unallocated contiguous smaller-sized pages that can then be aggregated to yield the larger-sized pages. Furthermore, content can also be compressed before being written to the paging space to reduce the number of pages that are to be allocated to processes. This can enable efficient management of the paging space without terminating processes. | 06-27-2013 |
20130166874 | I/O CONTROLLER AND METHOD FOR OPERATING AN I/O CONTROLLER - An I/O controller, coupled to a processing unit and to a memory, includes an I/O link interface configured to receive data packets having virtual addresses; an address translation unit having an address translator to translate received virtual addresses into real addresses by translation control entries and a cache allocated to the address translator to cache a number of the translation control entries; an I/O packet processing unit for checking the data packets received at the I/O link interface and for forwarding the checked data packets to the address translation unit; and a prefetcher to forward address translation prefetch information from a data packet received to the address translation unit; the address translator configured to fetch the translation control entry for the data packet by the address translation prefetch information from the allocated cache or, if the translation control entry is not available in the allocated cache, from the memory. | 06-27-2013 |
20130166888 | PREDICTIVE OPERATOR GRAPH ELEMENT PROCESSING - Techniques are described for predictively starting a processing element. Embodiments receive streaming data to be processed by a plurality of processing elements. An operator graph of the plurality of processing elements that defines at least one execution path is established. Embodiments determine a historical startup time for a first processing element in the operator graph, where, once started, the first processing element begins normal operations once the first processing element has received a requisite amount of data from one or more upstream processing elements. Additionally, embodiments determine an amount of time the first processing element takes to receive the requisite amount of data from the one or more upstream processing elements. The first processing element is then predictively started at a first startup time based on the determined historical startup time and the determined amount of time historically taken to receive the requisite amount of data. | 06-27-2013 |
20130166946 | DISASTER RECOVERY PRODUCTION TAKEOVER - Various embodiments for disaster recovery (DR) production takeover in a computing environment by a processor device are provided. If, for a designated storage system operable in the computing environment, a takeover operation may be executed, and a DR storage system has validly replaced the designated storage system using a replacement process, a withdrawal of a DR mode of operation is performed, and ownership of at least one storage device operable in the computing environment is transferred to the DR storage system. The replacement process authorizes the DR storage system to transfer the ownership while withdrawn from the DR mode of operation. | 06-27-2013 |
20130166948 | UNFUSING A FAILING PART OF AN OPERATOR GRAPH - Techniques for managing a fused processing element are described. Embodiments receive streaming data to be processed by a plurality of processing elements. Additionally, an operator graph of the plurality of processing elements is established. The operator graph defines at least one execution path and wherein at least one of the processing elements of the operator graph is configured to receive data from at least one upstream processing element and transmit data to at least one downstream processing element. Embodiments detect an error condition has been satisfied at a first one of the plurality of processing elements, wherein the first processing element contains a plurality of fused operators. At least one of the plurality of fused operators is selected for removal from the first processing element. Embodiments then remove the selected at least one fused operator from the first processing element. | 06-27-2013 |
20130166961 | DETECTING AND RESOLVING ERRORS WITHIN AN APPLICATION - Techniques for managing errors within an application are provided. Embodiments monitor errors occurring in each of a plurality of portions of the application while the application is executing. An error occurring in a first one of the plurality of portions of the application is detected. Additionally, upon detecting the error occurring in the first portion, embodiments determine whether to prevent subsequent executions of the first portion of the application. | 06-27-2013 |
20130166967 | GROUPING RELATED ERRORS IN A DISTRIBUTED COMPUTING ENVIRONMENT - Techniques are described for detecting the occurrence of error scenarios occurring across a plurality of nodes. Embodiments retrieve a plurality of error scenario profiles. Each of the error scenario profiles specifies prerequisite criteria, the prerequisite criteria including at least one of (i) one or more errors and (ii) one or more conditions. The plurality of nodes is monitored to detect errors occurring on nodes within the plurality of nodes. Embodiments then detect the occurrence of an error scenario, when at least a portion the monitored errors match the prerequisite criteria specified in a first one of the error profiles and when the one or more conditions specified in the first error profile are satisfied. | 06-27-2013 |
20130166968 | REDUCED FOOTPRINT CORE FILES IN STORAGE CONSTRAINED ENVIRONMENTS - A method for creating diagnostic files that includes receiving an error notification indicating that an error has occurred in a particular system section of a system that has a plurality of system sections. The error notification includes information about the error. A diagnostic file that includes a summarized error report of the particular system section is created based on the information included in the error notification. The diagnostic file is saved. | 06-27-2013 |
20130166994 | READ/WRITE OPERATIONS IN SOLID-STATE STORAGE DEVICES - Methods and apparatus are provided for reading and writing data in q-level cells of solid-state memory, where q>2. Input data is encoded into codewords having N q | 06-27-2013 |
20130167064 | SELF-ADAPTING KEYPAD - A method, apparatus and computer-usable medium for implementing a virtual keyboard for use with small input devices. A circular keyboard can be graphically displayed, in response to a user input by a user via a small input device. A circular and centrally located key can be graphically located and displayed within the center of the circular keyboard, wherein character keys radiate outward from the centrally located key (i.e., the “central key”). Character keys that are most commonly utilized by the user are preferably located closed to the circular and centrally located key within the circular keyboard. Character keys least commonly utilized by the user are preferably located at the edges of the keyboard, thereby permitting the circular keyboard to function as a self-adapting virtual keyboard for use with small input devices based on the usage of the keyboard by the user. | 06-27-2013 |
20130167071 | INFORMATION PROCESSING APPARATUS, DISPLAY PROCESSING METHOD, PROGRAM, AND RECORDING MEDIUM - An embodiment provides an information processing apparatus having software running thereon, the software having a window as a user interface for displaying a plurality of objects on a display apparatus, the information processing apparatus performing: in response to a user selecting a desired region in a display region of the display apparatus, putting a mark on the region and an object which is present in the region; when the object of the marked region is not displayed in the window, displaying the object in the marked region in a periphery of the window as a sub-window; and closing the sub-window in response to the object in the marked region being displayed in the window. | 06-27-2013 |
20130167120 | RETRIEVING REVISIONS IN SOURCE CODE FROM A PLURALITY OF REVISION HISTORY DATA SETS - Embodiments includes techniques for retrieving revisions in source code from a plurality of revision history data sets including link information to the source code and version information. The revision history data includes a function unit specified by a user is extracted from the plurality of revision history data sets, and a list of the extracted revision history data is displayed on the display device. Also, the display of this list includes displaying on the display device or creating in a storage area source code or information associated with the source code corresponding to at least two different revision history data sets in this first list. | 06-27-2013 |
20130167130 | Data Prefetching and Coalescing for Partitioned Global Address Space Languages - An illustrative embodiment of a computer-implemented process for shared data prefetching and coalescing optimization versions a loop containing one or more shared references into an optimized loop and an un-optimized loop, transforms the optimized loop into a set of loops, and stores shared access associated information of the loop using a prologue loop in the set of loops. The shared access associated information pertains to remote data and is collected using the prologue loop in absence of network communication and builds a hash table. An associated data structure is updated each time the hash table is entered, and is sorted to remove duplicate entries and create a reduced data structure. Patterns across entries of the reduced data structure are identified and entries are coalesced. Data associated with a coalesced entry is pre-fetched using a single communication and a local buffer is populated with the fetched data for reuse. | 06-27-2013 |
20130167149 | Register Mapping Techniques - A technique for register mapping in a virtual system includes preparing a register pool that includes a plurality of registers for mapping. A mapping table is prepared that has a register identifier (ID) and information related to each of a plurality of parameters that express an operational state of the virtual system for each of the registers. At the time a register access request is issued by a hardware thread, the register ID for an access target and information related to each of the plurality of parameters that express the operational state during operation is acquired. One of the registers, for which the acquired register ID and information related to each of the plurality of parameters match the register ID and information for each of the plurality of parameters within the mapping table, is set as a mapped register to be accessed per the register access request. | 06-27-2013 |
20130167224 | LOCK FUNCTION HANDLING FOR INFORMATION PROCESSING DEVICES - Embodiments relate to a method, program product and an information processing device for handling lock functions. The device includes a lock function for restricting user operations and a lock setting unit responsive to the lock function for transitioning the information processing device to a locked state after a period of inactivity. It also includes a lock releasing unit responsive to the lock setting unit for releasing the locked state in response to the input of a predetermined first password and a changing unit responsive to the lock releasing unit for changing the number of characters to be inputted in the first password to release the locked state. | 06-27-2013 |
20130168015 | POLYMERIC EDGE SEAL FOR BONDED SUBSTRATES - A layer of polymer material is applied on a peripheral region of at least one of the two substrates to be bonded prior to bonding. The bonded structure formed thereby includes a first substrate, a second substrate in direct contact with the first substrate, and a ring of the polymer material in direct contact with the first substrate at a first interface and in direct contact with the second substrate. The ring of polymer material laterally surrounds and seals the interface at which the first substrate contacts the second substrate. A ring-shaped cavity can be formed within the polymeric ring. Alternately, the first interface and the second interface can be contiguous without a ring-shaped cavity between the first and second substrates. | 07-04-2013 |
20130168017 | EDGE PROTECTION SEAL FOR BONDED SUBSTRATES - A dielectric material layer is deposited on exposed surfaces of a bonded structure that includes a first substrate and a second substrate. The dielectric material layer is formed on an exposed planar surface of a second substrate and the entirety of peripheral sidewalls of the first and second substrates. The dielectric material layer can be formed by chemical vapor deposition, atomic layer deposition, or plasma induced deposition. Further, the dielectric material layer seals the entire periphery of the interface between the first and second substrates. If a planar portion of the dielectric material layer can be removed by planarization to facilitate thinning of the bonded structure, the remaining portion of the dielectric material layer can form a dielectric ring. | 07-04-2013 |
20130168736 | METHOD FOR GROWING CONFORMAL EPI LAYERS AND STRUCTURE THEREOF - A method for forming a conformal buffer layer of uniform thickness and a resulting semiconductor structure are disclosed. The conformal buffer layer is used to protect highly-doped extension regions during formation of an epitaxial layer that is used for inducing mechanical stress on the channel region of transistors. | 07-04-2013 |
20130168749 | BORDERLESS CONTACT STRUCTURE EMPLOYING DUAL ETCH STOP LAYERS - Each gate structure formed on the substrate includes a gate dielectric, a gate conductor, a first etch stop layer, and a gate cap dielectric. A second etch stop layer is formed over the gate structures, gate spacers, and source and drain regions. A first contact-level dielectric layer and a second contact-level dielectric layer are formed over the second etch stop layer. Gate contact via holes extending at least to the top surface of the gate cap dielectrics are formed. Source/drain contact via holes extending to the interface between the first and second contact-level dielectric layers are subsequently formed. The various contact via holes are vertically extended by simultaneously etching exposed gate cap dielectrics and exposed portions of the first contact-level dielectric layer, then by simultaneously etching the first and second etch stop layers. Source/drain contact vias self-aligned to the outer surfaces gate spacers are thereby formed. | 07-04-2013 |
20130168775 | METHODS FOR FORMING FIELD EFFECT TRANSISTOR DEVICES WITH PROTECTIVE SPACERS - A field effect transistor device prepared by a process including forming a first gate stack and a second gate stack on a substrate and depositing a first photoresist material over the second gate stack and a portion of the substrate. The process also includes implanting ions in exposed regions of the substrate to define a first source region and a first drain region adjacent to the first gate stack and depositing a first protective layer over the first source region, the first gate stack, the first drain region, and the first photoresist material. The process further includes removing portions of the first protective layer to expose the first photoresist material and to define a first spacer disposed on a portion of the first source region and a portion of the first drain region and removing the first photoresist material. | 07-04-2013 |
20130168804 | STRESS-GENERATING STRUCTURE FOR SEMICONDUCTOR-ON-INSULATOR DEVICES - A stack pad layers including a first pad oxide layer, a pad nitride layer, and a second pad oxide layer are formed on a semiconductor-on-insulator (SOI) substrate. A deep trench extending below a top surface or a bottom surface of a buried insulator layer of the SOI substrate and enclosing at least one top semiconductor region is formed by lithographic methods and etching. A stress-generating insulator material is deposited in the deep trench and recessed below a top surface of the SOI substrate to form a stress-generating buried insulator plug in the deep trench. A silicon oxide material is deposited in the deep trench, planarized, and recessed. The stack of pad layer is removed to expose substantially coplanar top surfaces of the top semiconductor layer and of silicon oxide plugs. The stress-generating buried insulator plug encloses, and generates a stress to, the at least one top semiconductor region. | 07-04-2013 |
20130168806 | ELECTRICAL FUSE STRUCTURE AND METHOD OF FABRICATING SAME - A high programming efficiency electrical fuse is provided utilizing a dual damascene structure located atop a metal layer. The dual damascene structure includes a patterned dielectric material having a line opening located above and connected to an underlying via opening. The via opening is located atop and is connected to the metal layer. The dual damascene structure also includes a conductive feature within the line opening and the via opening. Dielectric spacers are also present within the line opening and the via opening. The dielectric spacers are present on vertical sidewalls of the patterned dielectric material and separate the conductive feature from the patterned dielectric material. The presence of the dielectric spacers within the line opening and the via opening reduces the area in which the conductive feature is formed. As such, a high programming efficiency electrical fuse is provided in which space is saved. | 07-04-2013 |
20130168807 | INTERCONNECT STRUCTURE CONTAINING VARIOUS CAPPING MATERIALS FOR ELECTRICAL FUSE AND OTHER RELATED APPLICATIONS, AND DESIGN STRUCTURE THEREOF - A structure and design structure is provided for interconnect structures containing various capping materials for electrical fuses and other related applications. The structure includes a first interconnect structure having a first interfacial structure and a second interconnect structure adjacent to the first structure. The second interconnect structure has second interfacial structure different from the first interfacial structure. | 07-04-2013 |
20130168818 | DESIGN STRUCTURE, STRUCTURE AND METHOD OF LATCH-UP IMMUNITY FOR HIGH AND LOW VOLTAGE INTEGRATED CIRCUITS - Design structures, structures and methods of manufacturing structures for providing latch-up immunity for mixed voltage integrated circuits. The structure includes a diffused N-Tub structure embedded in a P-wafer and provided below a retrograde N-well to a non-isolated CMOS logic. | 07-04-2013 |
20130168834 | III-V COMPOUND SEMICONDUCTOR MATERIAL PASSIVATION WITH CRYSTALLINE INTERLAYER - The present disclosure reduces and, in some instances, eliminates the density of interface states in III-V compound semiconductor materials by providing a thin crystalline interlayer onto an upper surface of a single crystal III-V compound semiconductor material layer to protect the crystallinity of the single crystal III-V compound semiconductor material layer's surface atoms prior to further processing of the structure. | 07-04-2013 |
20130168863 | ENHANCED DIFFUSION BARRIER FOR INTERCONNECT STRUCTURES - Alternative methods of fabricating an interconnect structure in which an enhanced diffusion barrier including an in-situ formed metal nitride liner formed between an interconnect dielectric material and an overlying metal diffusion barrier liner are provided. In one embodiment, at least one opening is formed into an interconnect dielectric material. A nitrogen enriched dielectric surface layer is formed within exposed surfaces of the interconnect dielectric material utilizing thermal nitridation. A metal diffusion barrier liner is the formed. During and/or after the formation of the metal diffusion barrier liner, a metal nitride liner forms in-situ in a lower region of the metal diffusion barrier liner. A conductive material is then formed on the metal diffusion barrier liner. The conductive material, the metal diffusion barrier liner and the metal nitride liner that are located outside of the at least one opening are removed to provide a planarized structure. | 07-04-2013 |
20130169307 | CONTACT RESISTANCE TEST STRUCTURE AND METHOD SUITABLE FOR THREE-DIMENSIONAL INTEGRATED CIRCUITS - A contact resistance test structure, a method for fabricating the contact resistance test structure and a method for measuring a contact resistance while using the contact resistance test structure are all predicated upon two parallel conductor lines (or multiples thereof) that are contacted by one perpendicular conductor line absent a via interposed there between. The test structure and related methods are applicable within the context of three-dimensional integrated circuits. | 07-04-2013 |
20130169437 | AUDIO FEEDBACK FOR COMMAND LINE INTERFACE COMMANDS - For providing audio feedback for command line interface (CLI) commands in a computing environment, auditory notifications are generated for indicating a completion of CLI commands. The auditory notifications are configurable by user preferences. | 07-04-2013 |
20130169684 | POSITIONAL CONTEXT DETERMINATION WITH MULTI MARKER CONFIDENCE RANKING - A computer implemented method for augmenting a display image includes receiving image data, the image data including data representing one or more objects, and at least a first marker and a second marker. The method includes receiving a first confidence level for the first marker and a second confidence level for the second marker. The method includes determining a selected marker from the first marker and the second marker. The selected marker is determined according to a highest confidence level of the first confidence level and the second confidence level. The method includes determining a transformation and a positional offset for the selected marker. The method includes generating overlaid display data for the one or more objects in the image data, the one or more objects determined in accordance with the transformation and the positional offset. | 07-04-2013 |
20130170067 | Reliability-Aware Disk Power Management - A token value is maintained based on an allowable number of low power transitions of a hard disk drive without adversely affecting reliability, compared to an actual number of low power transitions of said hard disk drive. The allowable number of low power transitions increases over the hard disk drive's lifetime. Before the hard disk drive performs a low power transition, the token is evaluated to determine if the hard disk drive is allowed to perform a low power transition. Low power transitions discussed include parking the head and spinning-down the hard disk drive. | 07-04-2013 |
20130170338 | IMPLEMENTING REDUNDANCY ON INFINIBAND (IB) NETWORKS - Method embodiments are provided to improve efficiency of systems operating on internet protocol (IP) over Infiniband (IB) networks. In an embodiment, by way of example only, a method is provided for implementing redundancy for IB networks. The method includes detecting a failure at a first source port of a source node having a plurality of source ports, selecting a new source port, sending an address resolution protocol (ARP) message to one or more other nodes in communication with the source node including an IP address of the source node and IB address of the new source port of the source node, and re-balancing host traffic. | 07-04-2013 |
20130170339 | Fault Tolerant Communication in a Trill Network - Each of first and second bridges of a data network having respective external links to an external node implement a network bridge component that forwards traffic inside the network and a virtual bridge component that forwards traffic outside of the network. A virtual bridge is formed including the virtual bridge components of the first and second bridges and an interswitch link (ISL) between the virtual bridge components of the first and second bridges. Data frames are redirected via the ISL in response to a link-down condition of one of the external links. | 07-04-2013 |
20130170472 | MOBILITY MANAGEMENT OF OSI CONNECTIONS BETWEEN CELL TOWERS - A processor-implemented method, apparatus, and/or computer program product move Open Systems Interconnection (OSI) layer 4 connections between wirelessly-connected user equipment to a series of cell-towers, wherein an OSI layer 4 connection is extracted out of the underlying cellular protocols at the series of cell-towers. A detection is made that user equipment, which has a broken-out layer 4 connection, has moved from a first cell-tower to a second cell-tower. Traffic for an existing layer 4 connection from the user equipment is tunnelled between the first cell-tower and the second cell-tower. A predetermined trigger event is identified. In response to the predetermined trigger event occurring, an ongoing bidirectional flow of data packets is migrated from the user equipment over to layer 4 connections maintained at the second cell-tower. | 07-04-2013 |
20130170494 | OPERATING AN INFINIBAND NETWORK HAVING NODES AND AT LEAST ONE IB SWITCH - To improve efficiency of systems operating on internet protocol (IP) over Infiniband (IB) networks, mechanisms are provided for operating a system including a plurality of nodes, each connected to at least one IB switch. A determination is made whether an IP address of a node is included in a first level of a global ARP cache. An IP over IB GUID corresponding to the IP address of the node is identified. A determination is made whether an entry in a second level of the global ARP cache includes the identified IP over IB GUID of the node, and corresponding the IP over IB GUID of the node to IB address information of the node. | 07-04-2013 |
20130170605 | RAM Based Implementation for Scalable, Reliable High Speed Event Counters - There is broadly contemplated herein an arrangement whereby each event source feeds a small dedicated “pre-counter” while an actual count is kept in a 64-bit wide RAM. Such an implementation preferably may involve a state machine that simply sweeps through the pre-counters, in a predetermined fixed order. Preferably, the state machine will access each pre-counter, add the value from the pre-counter to a corresponding RAM location, and then clear the pre-counter. Accordingly, the pre-counters merely have to be wide enough such that even at a maximal event rate, the pre-counter will not be able to wrap (i.e., reach capacity or overflow) before the “sweeper” state machine accesses the pre-counter. | 07-04-2013 |
20130170638 | SYSTEM FOR CHECKING ACCEPTANCE OF STRING BY AUTOMATON - A server having an automaton whose state transitions in accordance with received characters, determines whether the automaton has transitioned to a final state on the basis of the characters. The server receives a coding sequence from the client in the characters included in the string. The coding sequence elements corresponding to the characters are values encrypting a non-unity using a first encryption scheme having homomorphism, and whose elements not corresponding to the characters are values encrypting a unity using the first encrypting scheme. The server generates, in response to receiving the coding sequence, exchange data encrypting a subsequent state key corresponding to each of a plurality of previous states of the automaton on the basis of the coding sequence using the first encryption scheme; encrypts exchange data with the corresponding previous state key and sends the encrypted exchange data to the client. | 07-04-2013 |
20130171773 | BONDED STRUCTURE EMPLOYING METAL SEMICONDUCTOR ALLOY BONDING - Vertical stacks of a metal portion and a semiconductor portion formed on a first substrate are brought into physical contact with vertical stacks of a metal portion and a semiconductor portion formed on a second substrate. Alternately, vertical stacks of a metal portion and a semiconductor portion formed on a first substrate are brought into physical contact with metal portions formed on a second substrate. The assembly of the first and second substrates is subjected to an anneal at a temperature that induces formation of a metal semiconductor alloy derived from the semiconductor portions and the metal portions. The first substrate and the second substrate are bonded through metal semiconductor alloy portions that adhere to the first and second substrates. | 07-04-2013 |
20130171780 | BODY CONTACTED HYBRID SURFACE SEMICONDUCTOR-ON-INSULATOR DEVICES - A portion of a top semiconductor layer of a semiconductor-on-insulator (SOI) substrate is patterned into a semiconductor fin having substantially vertical sidewalls. A portion of a body region of the semiconductor fin is exposed on a top surface of the semiconductor fin between two source regions having a doping of a conductivity type opposite to the body region of the semiconductor fin. A metal semiconductor alloy portion is formed directly on the two source regions and the top surface of the exposed body region between the two source regions. The doping concentration of the exposed top portion of the body region may be increased by ion implantation to provide a low-resistance contact to the body region, or a recombination region having a high-density of crystalline defects may be formed. A hybrid surface semiconductor-on-insulator (HSSOI) metal-oxide-semiconductor-field-effect-transistor (MOSFET) thus formed has a body region that is electrically tied to the source region. | 07-04-2013 |
20130171794 | EPITAXIAL EXTENSION CMOS TRANSISTOR - A pair of horizontal-step-including trenches are formed in a semiconductor layer by forming a pair of first trenches having a first depth around a gate structure on the semiconductor layer, forming a disposable spacer around the gate structure to cover proximal portions of the first trenches, and by forming a pair of second trenches to a second depth greater than the first depth. The disposable spacer is removed, and selective epitaxy is performed to form an integrated epitaxial source and source extension region and an integrated epitaxial drain and drain extension region. A replacement gate structure can be formed after deposition and planarization of a planarization dielectric layer and subsequent removal of the gate structure and laterally expand the gate cavity over expitaxial source and drain extension regions. Alternately, a contact-level dielectric layer can be deposited directly on the integrated epitaxial regions and contact via structures can be formed therein. | 07-04-2013 |
20130171795 | TRENCH SILICIDE CONTACT WITH LOW INTERFACE RESISTANCE - An electrical structure is provided that includes a dielectric layer present on a semiconductor substrate and a via opening present through the dielectric layer. | 07-04-2013 |
20130171796 | METHODS OF FABRICATING TRENCH GENERATED DEVICE STRUCTURES - Methods for fabricating device structures, such as bipolar transistors and diodes. The method includes forming a trench extending through stacked semiconductor and insulator layers and into an underlying semiconductor substrate. The trench may be at least partially filled with a sacrificial plug containing a dopant with a conductivity type opposite to the conductivity type of the semiconductor substrate. Dopant is transported outwardly from the sacrificial plug into the semiconductor substrate surrounding the trench to define a doped region of the second conductivity type in the semiconductor substrate. A first contact is formed that extends through the semiconductor and insulator layers to a portion of the semiconductor substrate outside of the doped region. A second contact is formed that extends through the semiconductor and insulator layers to the doped region. | 07-04-2013 |
20130171813 | FIELD EFFECT TRANSISTOR DEVICE AND FABRICATION - A method for forming a field effect transistor (FET) device includes forming a dielectric layer on a substrate, forming a first metal layer on the dielectric layer, removing a portion of the first metal layer to expose a portion of the dielectric layer, forming a second metal layer on the dielectric layer and the first metal layer, and removing a portion of the first metal layer and the second metal layer to define a boundary region between a first FET device and a second FET device. | 07-04-2013 |
20130171817 | STRUCTURE AND METHOD FOR REDUCING VERTICAL CRACK PROPAGATION - A semiconductor device and a method of fabricating the same, includes vertically stacked layers on an insulator. Each of the layers includes a first dielectric insulator portion, a first metal conductor embedded within the first dielectric insulator portion, a first nitride cap covering the first metal conductor, a second dielectric insulator portion, a second metal conductor embedded within the second dielectric insulator portion, and a second nitride cap covering the second metal conductor. The first and second metal conductors form first vertically stacked conductor layers and second vertically stacked conductor layers. The first vertically stacked conductor layers are proximate the second vertically stacked conductor layers, and at least one air gap is positioned between the first vertically stacked conductor layers and the second vertically stacked conductor layers. An upper semiconductor layer covers the first vertically stacked conductor layers, the air gap and the second plurality of vertically stacked conductor layers. | 07-04-2013 |
20130171839 | C-RICH CARBON BORON NITRIDE DIELECTRIC FILMS FOR USE IN ELECTRONIC DEVICES - A carbon-rich carbon boron nitride dielectric film having a dielectric constant of equal to, or less than 3.6 is provided that can be used as a component in various electronic devices. The carbon-rich carbon boron nitride dielectric film has a formula of C | 07-04-2013 |
20130173219 | METHOD AND APPARATUS FOR MEASURING PERFORMANCE OF AN APPLIANCE - A computer program product and apparatus measure performance of an information appliance. The computer program product comprises code for: a test module receiving, from a client, and recording a request for processing of a sample data by an information appliance. The test module sends the sample data received from the client to the information appliance for processing. If a request to a backend application is present, the test module also sends the request to the backend application for processing and receives and records a response from the backend application. The test module sends the response from the backend application to the information appliance for processing. A generated correlation ID is used to update a performance data table with start time and a stop time of a response for transaction sample data processed by the information appliance. | 07-04-2013 |
20130173253 | SPEECH EFFECTS - A method of complementing a spoken text. The method including receiving text data representative of a natural language text, receiving effect control data including at least one effect control record, each effect control record being associated with a respective location in the natural language text, receiving a stream of audio data, analyzing the stream of audio data for natural language utterances that correlate with the natural language text at a respective one of the locations, and outputting, in response to a determination by the analyzing that a natural language utterance in the stream of audio data correlates with a respective one of the locations, at least one effect control signal based on the effect control record associated with the respective location. | 07-04-2013 |
20130173256 | NATURAL LANGUAGE PROCESSING ('NLP') - Natural language processing (‘NLP’) including: receiving text specifying predetermined evidence; receiving a text passage to process, the text passage including conditions and logical operators, the text passage comprising criteria for evidence; decomposing the text passage into coarse grained text fragments, including grouping text segments in dependence upon the logical operators; analyzing each coarse grained text fragment to identify conditions; evaluating each identified condition in accordance with the predetermined evidence and predefined condition evaluation rules; evaluating each coarse grained text fragment in dependence upon the condition evaluations and the logical operators; and calculating, in dependence upon the evaluations of each text fragment, a truth value indicating a degree to which the evidence meets the criteria of the text passage. | 07-04-2013 |
20130173326 | RECHARGING OF BATTERY ELECTRIC VEHICLES ON A SMART ELECTRICAL GRID SYSTEM - Some example embodiments include a method for recharging a number of battery electric vehicles. The method include receiving (by a control module configured to control an electrical grid system that include a number of recharging stations that are configured to recharge the number of battery electric vehicles and from the number of battery electric vehicles) usage data that comprises a current charge level, a current location, and a planned itinerary that includes a destination. The method includes determining anticipated electrical loads in the number of sectors of the electrical grid system based on the usage data of the number of battery electric vehicles. The method also includes redistributing the electrical supply on the electrical grid system to at least one recharging station of the number of recharging stations based on the anticipated electrical loads, prior to actual usage defined by the usage data by the number of battery electrical vehicles. | 07-04-2013 |
20130173483 | NANOSTRUCTURE TRACKING OF PRODUCTS - Some embodiments of the inventive subject matter are directed to incorporating a plurality of nanoparticles with a physical structure of an object. The object is transportable via locations associated with a chain of supply. Some embodiments are further directed to writing charges to modifiable portions of the plurality of nanoparticles incorporated with the physical structure of the object. Some embodiments are further directed to configuring the charges on the modifiable portions of the plurality of nanoparticles as data. The data describes one or more characteristics of the object and components of the object. The data is accessible via the locations associated with the chain of supply. | 07-04-2013 |
20130173543 | MATERIALIZED QUERY TABLE JOURNALING IN A COMPUTER DATABASE SYSTEM - An apparatus and method utilize MQTs in a more efficient manner in a high availability computer database to improve database performance and utility. In preferred embodiments, an MQT control file indicates whether journal entries for specific tables are to be propagated to replicated databases residing on other computer servers. In other embodiments, the MQT control file includes metrics that are used to control when the propagation is turned on and off. | 07-04-2013 |
20130173544 | MATERIALIZED QUERY TABLE JOURNALING IN A COMPUTER DATABASE SYSTEM - An apparatus and method utilize MQTs in a more efficient manner in a high availability computer database to improve database performance and utility. In preferred embodiments, an MQT control file indicates whether journal entries for specific tables are to be propagated to replicated databases residing on other computer servers. In other embodiments, the MQT control file includes metrics that are used to control when the propagation is turned on and off. | 07-04-2013 |
20130173548 | METHOD AND SYSTEM FOR BACKUP AND RECOVERY - For data backup and recovery based on linked file repositories with each of the linked file repositories representing an individual file system capable of storing at least one version of a file and being connected to at least one server system, each of the linked file repositories are placed in a certain position for storing a certain version of the file. Each position of each of the linked file repositories is continuously numbered. A number of the versions of the file are determined by the position of the one of the linked file repositories. A version-movement process over each of the linked file repositories is implemented to perform a read operation of the at least one version of the file. | 07-04-2013 |
20130173551 | FAST SNAPSHOTS - A fast snapshot is configured to store a state of a computing environment at a point in time. The fast snapshot operation is performed by avoiding reference counts of one or more data units associated with the snapshot from being updated at a creation and a deletion time. | 07-04-2013 |
20130173552 | SPACE EFFICIENT CASCADING POINT IN TIME COPYING - Embodiments for space-efficient cascading point-in-time copying of source data by creating a plurality of cascading point-in-time target copies, the target copies being created at different points in time, are provided. Data is physically copied form the source to a repository to create a physical copy, and a data mapping is created that associates the physical copy with a most recent target copy. | 07-04-2013 |
20130173555 | Reducing a Backup Time of a Backup of Data Files - A mechanism is provided for reducing the backup time of data files from a memory. Data files are pre-staged by identifying the data files in the memory to be backed up to a backup storage system, sorting the data files by size thereby forming a set of small data files and a set of large data files, and copying the set of small data files to a cache while leaving the set of large data files in a disk subsystem. The set of small data files are then backed-up from the cache and the set of large data files are backed-up from the disk subsystem to a backup storage system. Thus, the time required to backup the set of small data files from the cache is performed at a faster rate as compared to backing up the set of large data files from the disk subsystem. | 07-04-2013 |
20130173613 | FLEXIBLE CONNECTOR FRAMEWORK FOR DATA FEED GENERATION - A data feed engine allows a user to create a data feed without protocol and/or formatting knowledge for the data source. The data feed engine dynamically selects a connector for any one of a variety of different types of data sources. The date feed engine can then build a search command with search parameters and a data source identity based on the automatically selected data source connector. The data feed engine publishes a reference to the generated data feed definition in a catalog of data feeds. A framework that utilizes such functionality allows creation of a mashup to with a combination of data feeds from different types of data sources. | 07-04-2013 |
20130173671 | EXTENDED TAGGING METHOD AND SYSTEM - Tagging a resource in a network with a tag belonging to a tag type includes creating, using a processor, a tag and relating the tag to the resource, categorizing the tag into a tag type, registering the tag type in a registry, associating tag type attributes to the tag type, associating a subset of the tag type attributes of the tag type to the tag, and associating a tag type attribute value to each member of the subset of the tag type attributes of the tag. The tag, the tag type, the subset of tag type attributes of the tag, and associated tag type attribute values are stored. Using the processor, a dynamically linkable executable program logic is triggered based on the subset of tag type attributes and the tag type attribute values. | 07-04-2013 |
20130173675 | PERFORMING A GLOBAL BARRIER OPERATION IN A PARALLEL COMPUTER - Performing a global barrier operation in a parallel computer that includes compute nodes coupled for data communications, where each compute node executes tasks, with one task on each compute node designated as a master task, including: for each task on each compute node until all master tasks have joined a global barrier: determining whether the task is a master task; if the task is not a master task, joining a single local barrier; if the task is a master task, joining the global barrier and the single local barrier only after all other tasks on the compute node have joined the single local barrier. | 07-04-2013 |
20130173740 | ADMINISTERING GLOBALLY ACCESSIBLE MEMORY SPACE IN A DISTRIBUTED COMPUTING SYSTEM - In a distributed computing system that includes compute nodes that include computer memory, globally accessible memory space is administered by: for each compute node: mapping a memory region of a predefined size beginning at a predefined address; executing one or more memory management operations within the memory region, including, for each memory management operation executed within the memory region: executing the operation collectively by all compute nodes, where the operation includes a specification of one or more parameters and the parameters are the same across all compute nodes; receiving, by each compute node from a deterministic memory management module in response to the memory management operation, a return value, where the return value is the same across all compute nodes; entering, by each compute node after local completion of the memory management operation, a barrier; and when all compute nodes have entered the barrier, resuming execution. | 07-04-2013 |
20130173763 | ARRANGEMENT FOR OPERATING A COMPUTER CLUSTER - An arrangement is disclosed for operating a computer cluster distributed over multiple locations using quorum mechanism. The cluster includes interconnected defined system instances. The arrangement includes distributing the cluster configuration to each system instance, and constantly checking system instance connectivity to determine reachable system instances. Each healthy system instance determines a subcluster of itself and all reachable system instances. Information of the distributed cluster configuration is used to calculate a corresponding subcluster configuration. Subcluster configuration information and the cluster configuration information is used for computing a new quorum decision for the corresponding subcluster, using a location quorum function considering proportions of connected locations containing reachable system instances, and weights of the reachable system instances in the locations. Workload placement decisions are made based on the new quorum granting permission to place workload to system instances in a specific subcluster, or refusing the permission, whenever the subcluster changes. | 07-04-2013 |
20130173787 | SYSTEM FOR DETECTING WHETHER CLIENT STATE MATCHES PREDETERMINED STATE - A server connectable to a client able to manage successively transitioned-to states. The server has a set storage unit for storing a set including a predetermined state; a server-side communication unit for communicating with the client using a privacy-preserving set-intersection protocol for detecting whether an element in a mutual set is a common element between mutual sets while preserving privacy; and a determining unit for determining whether the state of the client is included in the set stored by the set storage unit on the basis of ciphertext received in accordance with the privacy-preserving set-intersection protocol. Also provided is an information processing method which is able to manage successively transitioned-to-states. | 07-04-2013 |
20130173815 | Selectively processing cookies in a proxy - An intermediary (such as a web reverse proxy), which is located between a web browser and one or more backend applications, manages cookies that are provided by the backend applications and returned to the web browser during a user session. The intermediary decides which cookies should be sent to the browser and which cookies should be stored therein. Preferably, this determination is made in an automated manner by examining the response for any cookie-dependent code (e.g., scripting) included in the response. | 07-04-2013 |
20130173858 | Method for Scheduling Memory Refresh Operations Including Power States - A method for performing refresh operations on a rank of memory devices is disclosed. After the completion of a memory operation, a determination is made whether or not a refresh backlog count value is less than a predetermined value and the rank of memory devices is being powered down. If the refresh backlog count value is less than the predetermined value and the rank of memory devices is being powered down, an Idle Count threshold value is set to a maximum value such that a refresh operation will be performed after a maximum delay time. If the refresh backlog count value is not less than the predetermined value or the rank of memory devices is not in a powered down state, the Idle Count threshold value is set based on the slope of an Idle Delay Function such that a refresh operation will be performed accordingly. | 07-04-2013 |
20130173861 | NEAR NEIGHBOR DATA CACHE SHARING - Parallel computing environments, where threads executing in neighboring processors may access the same set of data, may be designed and configured to share one or more levels of cache memory. Before a processor forwards a request for data to a higher level of cache memory following a cache miss, the processor may determine whether a neighboring processor has the data stored in a local cache memory. If so, the processor may forward the request to the neighboring processor to retrieve the data. Because access to the cache memories for the two processors is shared, the effective size of the memory is increased. This may advantageously decrease cache misses for each level of shared cache memory without increasing the individual size of the caches on the processor chip. | 07-04-2013 |
20130173863 | Memory Management Among Levels Of Cache In A Memory Hierarchy - Methods, apparatus, and product for memory management among levels of cache in a memory hierarchy in a computer with a processor operatively coupled through two or more levels of cache to a main random access memory, caches closer to the processor in the hierarchy characterized as higher in the hierarchy, including: identifying a line in a first cache that is preferably retained in the first cache, the first cache backed up by at least one cache lower in the memory hierarchy, the lower cache implementing an LRU-type cache line replacement policy; and updating LRU information for the lower cache to indicate that the line has been recently accessed. | 07-04-2013 |
20130173873 | Method and Apparatus for Performing Mapping Within a Data Processing System Having Virtual Machines - In an environment in which a processor operates a hypervisor and multiple guest partitions operating under the hypervisor's control, it is desirable to allow a guest partition access to a physical memory device without decreasing system performance. Accordingly, a conversion instruction for converting a logical address to a real address, i.e., an LTOR instruction, executable from a guest partition, is added to the processor. Upon the guest partition's execution of the conversion instruction with the logical address specified, the processor converts the logical address to an encrypted real address, and returns it to the guest partition. The guest partition is then able to pass the encrypted real address to an accelerator that converts the encrypted real address to a real address in order to access the memory device using the real address. | 07-04-2013 |
20130173878 | SOURCE-TARGET RELATIONS MAPPING - A data preservation function is provided which, in one embodiment, includes indicating by a map, usage of a particular map extent range by a relationship between a source extent range of storage locations on a source storage device containing data to be preserved in the source extent range, and a target extent range mapped to the map particular extent range. In another aspect, in response to receipt of a data preservation command, a data preservation operation is performed including determining whether a map indicates availability of a map extent range mapped to the identified target extent range. Upon determining that a particular map indicates availability of a map extent range mapped to the identified target extent range, a relationship between the identified source extent range and the identified target extent range is established. Other features and aspects may be realized, depending upon the particular application. | 07-04-2013 |
20130173899 | Method for Secure Self-Booting of an Electronic Device - The present invention relates to a method for a self-boot of an electronic device, wherein an external component is accessible through an interface of the electronic device ( | 07-04-2013 |
20130173963 | DYNAMIC TESTING OF NETWORKS - Service providers strive to maintain networks with high levels of availability and performance. To maintain the networks, the service providers measure performance and perform network diagnostics. Measuring performance and performing network diagnostics typically involves manual verification of functionality or performing individual tests between user agents. Service providers who maintain networks and service providers who use networks can dynamically run tests with operations of a signaling protocol (e.g., session initiation protocol) to diagnose network problems and determine appropriate responses. An agent manager can coordinate the dynamic tests across multiple user agents to gather more information to increase problem diagnosis accuracy. | 07-04-2013 |
20130173967 | HARD MEMORY ARRAY FAILURE RECOVERY UTILIZING LOCKING STRUCTURE - A technique for managing hard failures in a memory system employing a locking is disclosed. An error count is maintained for units of memory within the memory system. When the error count indicates a hard failure, the unit of memory is locked out from further use. An arbitrary set of error counters are assigned to record errors resulting from access to the units of memory. Embodiments of the present invention advantageously enable a system to continue reliable operation even after one or more internal hard memory failures. Other embodiments advantageously enable manufacturers to salvage partially failed devices and deploy the devices as having a lower-performance specification rather than discarding the devices, as would otherwise be indicated by conventional practice. | 07-04-2013 |
20130174021 | CONFLICT RESOLUTION OF CSS DEFINITION FROM MULTIPLE SOURCES - Conflict resolution of cascading style sheet definitions from multiple sources includes storing a rule for a rewriting of cascading style sheet definitions and intercepting, within a proxy component including a processor, a client server communication of a web page request, wherein the web page request originates from a client, and wherein a response to the web page request includes at least two cascading style sheet definitions. At least one of the two cascading style sheet definitions is rewritten based on the rule, resulting in non-conflicting cascading style sheet definitions. The non-conflicting cascading style sheet definitions are provided together with related content to the client. | 07-04-2013 |
20130174114 | CHANGING THE LOCATION OF A BUFFER BAY IN A NETLIST - In an embodiment, a buffer bay is represented with a moveable object that has a location within a unit in a netlist. The location of the moveable object that represents the buffer bay is changed to a new location in the netlist if changing the location improves placement within the unit. In an embodiment, a net weight of a net that connects the moveable object to an artificial pin is considered in determining whether to change the location to the new location. In an embodiment a bounding area that encompasses the location is considered in determining whether to change the location to the new location. | 07-04-2013 |
20130174123 | SYSTEM AND METHOD FOR APPLICATION CONFIGURATION COMPARISON AND REUSE - A system and method allow a user to extract the set of customizations performed on an application and use these to estimate the time and effort and cost of (a) migrating to a new version of the application and/or (b) consolidating systems. The user can browse the extracted data and select configuration elements for re-use. After downloading the one or more configurations and comparing them, the user selects elements of the configurations for re-use. The first step is to scan one or more application systems and extract the configuration data using a surveyor. The method according to the invention automatically identifies configuration differences. The user then selects configuration elements for re-use. A graphic user interface (GUI) can be provided which allows the user to make these selections by dragging and dropping selected elements to a “To Be” configuration. The selected configurations are then uploaded and installed on an instance of the application. | 07-04-2013 |
20130174127 | CONTROL FLOW ANALYSIS - A method for control flow analysis according to an embodiment of the present invention includes: acquiring an original function call tree of a program, wherein nodes of the original function call tree represent functions and a parent/child relation between the nodes represents a calling relation; generating a corresponding function dominator tree from the calling relation, wherein nodes of the function dominator tree represent the functions and a parent/child relation between the nodes represents a dominator relation, wherein a first function dominates a second function if all the invocations to the second function are originated by the first function; and simplifying the original function call tree according to the function dominator tree so as to obtain a simplified function call tree. According to an embodiment of the present invention, the function call tree for control flow analysis can be simplified. | 07-04-2013 |
20130174131 | CODE CONVERTING METHOD, PROGRAM, AND SYSTEM - A system, method and computer program product to provide a technique for achieving high speed and stable dispatch of a code in a programming language based on erasure, the code being converted from a code written in a programming language based on reification. | 07-04-2013 |
20130174138 | SOFTWARE CATALOG INFORMATION MANAGEMENT - A first computing entity receives a respective set of software discovery rules from each of a plurality of other computing entities. Based on each of the sets of software discovery rules, a set of software discovery rules associated with the first computing entity is modified. The modified set of software discovery rules is communicated to each of the plurality of other computing entities. | 07-04-2013 |
20130174142 | RECONFIGURATION OF COMPUTER SYSTEM TO ALLOW APPLICATION INSTALLATION - A method and apparatus for installing an application on a computer are disclosed. Following receipt of a request to install an application, a software state, comprising the new application and several of the applications installed on the computer, is derived. Then, the method determines whether the software state can be implemented on the computer. If the software state cannot be implemented on the computer, then the method derives another software state. This is repeated until the derived software state can be implemented on the computer system, or until determining that no software state exists which can be implemented on the computer system. | 07-04-2013 |
20130174156 | Measuring Transaction Performance Across Application Asynchronous Flows - A mechanism modifies a deployment descriptor of each application component including at least one producer application component or consumer application component, by adding, for each producer application component or consumer application component, an application component identifier, a producer or consumer type, and a recipient identifier of a recipient the application component uses. Responsive to determining a match exists and the given application component is of producer type, the application server virtual machine logs an identifier of a recipient containing a message sent by the given application component, a correlation identifier of the given application component, and an execution start time. Responsive to determining a match exists and the given application component is of consumer type, the application server virtual machine logs an identifier of the recipient resource containing a message processed by the given application component, a correlation identifier of the given application component, and an execution end time. | 07-04-2013 |
20130174159 | FACILITATING PROCESSING WITHIN COMPUTING ENVIRONMENTS SUPPORTING PAGEABLE GUESTS - Processing within a computing environment that supports pageable guests is facilitated. Processing is facilitated in many ways, including, but not limited to, associating guest and host state information with guest blocks of storage; maintaining the state information in control blocks in host memory; enabling the changing of states; and using the state information in management decisions. In one particular example, the guest state includes an indication of usefulness and importance of memory contents to the guest, and the host state reflects the ease of access to memory contents. The host and guest state information is used in managing memory of the host and/or guests. | 07-04-2013 |
20130174169 | UPDATING WORKFLOW NODES IN A WORKFLOW - Provided a method, system, and article of manufacture for updating workflow nodes in a workflow. A workflow program processes user input at one node in a workflow comprised of nodes and workflow paths connecting the nodes, wherein the user provides user input to traverse through at least one workflow path to reach the current node. The workflow program transmits information on a current node to an analyzer. The analyzer processes the information on the current node to determine whether there are modifications to at least one subsequent node following the current node over at least one workflow path from the current node. The analyzer transmits to the workflow program an update including modifications to the at least one subsequent node in response to determining the modifications. | 07-04-2013 |
20130174171 | INTELLIGENT INCLUSION/EXCLUSION AUTOMATION - Methods, computer systems, and computer program products for automating tasks in a computing environment, are provided. In one such embodiment, by way of example only, if an instant task is not found in one of list of included tasks and a list of excluded tasks, at least one of the following is performed: the instant task is compared the with previous instances of the task, if any; the instant task is analyzed, including an input/output (I/O) sequence for the instant task, to determine if the instant task is similar to an existing task; and the instant task is considered as a possible candidate for automation. If the instant task is determined to be an automation candidate, the instant task is added to the list of included tasks, otherwise the instant task is added to the list of excluded tasks. | 07-04-2013 |
20130174175 | RESOURCE ALLOCATION FOR A PLURALITY OF RESOURCES FOR A DUAL ACTIVITY SYSTEM - Exemplary method, system, and computer program product embodiments for resource allocation of a plurality of resources for a dual activity system by a processor device, are provided. In one embodiment, by way of example only, each of the activities may be started at a static quota. The resource boundary may be increased for a resource request for at least one of the dual activities until a resource request for an alternative one of the at least one of the dual activities is rejected. In response to the rejection of the resource request for the alternative one of the at least one of the dual activities, a resource boundary for the at least one of the dual activities may be reduced, and a wait after decrease mode may be commenced until a current resource usage is one of less than and equal to the reduced resource boundary. | 07-04-2013 |
20130174220 | SECURE BOOT OF A DATA BREAKOUT APPLIANCE WITH MULTIPLE SUBSYSTEMS AT THE EDGE OF A MOBILE DATA NETWORK - A secure boot is provided for a breakout system having multiple subsystems at the edge of a mobile data network. The secure boot utilizes two trusted platform modules (TPM) to secure multiple subsystems. Further described is utilizing a first TPM to boot a service processor and then utilizing a second TPM to secure boot two additional subsystems. Booting of the final subsystem is accomplished in a two step process which first loads a boot loader and verifies the boot loader, and then second loads an operating system load image and verifies the operating system code. | 07-04-2013 |
20130174267 | Method for Secure Web Browsing - The invention relates to a computer-implemented method for secure web browsing. The method includes:
| 07-04-2013 |
20130174269 | MANAGING LICENSE KEYS - For managing license keys, a license key service module creates a license key service object of a license key service class. The license key service object includes a plurality of management operations including a get all license keys operation that acquires a license key for licensing services. A hosted license key service module creates a hosted license key service object of a hosted license key service class that includes the license key service object. A license key module creates a license key object of a license key class. The license key object includes the license key and employs the plurality of management operations. A management module manages the license key using the license key object, license key service object, and hosted license key service object. | 07-04-2013 |
20130174421 | DIRECTLY CONNECTED HEAT EXCHANGER TUBE SECTION AND COOLANT-COOLED STRUCTURE - A method is provided for fabricating a cooling apparatus for cooling an electronics rack, which includes an air-to-liquid heat exchanger, one or more coolant-cooled structures, and a tube. The heat exchanger is associated with the electronics rack and disposed to cool air passing through the rack, includes a plurality of coolant-carrying tube sections, each tube section having a coolant inlet and outlet, one of which is coupled in fluid communication with a coolant loop to facilitate flow of coolant through the tube section. The coolant-cooled structure(s) is in thermal contact with an electronic component(s) of the rack, and facilitates transfer of heat from the component(s) to the coolant. The tube connects in fluid communication one coolant-cooled structure and the other of the coolant inlet or outlet of the one tube section, and facilitates flow of coolant directly between that coolant-carrying tube section of the heat exchanger and the coolant-cooled structure. | 07-11-2013 |
20130174909 | SINGLE-JUNCTION PHOTOVOLTAIC CELL - A single-junction photovoltaic cell includes a doped layer comprising a dopant diffused into a semiconductor substrate; a patterned conducting layer formed on the doped layer; a semiconductor layer comprising the semiconductor substrate located on the doped layer on a surface of the doped layer opposite the patterned conducting layer; and an ohmic contact layer formed on the semiconductor layer. | 07-11-2013 |
20130175547 | FIELD EFFECT TRANSISTOR DEVICE - A method for forming a field effect transistor device includes forming a gate stack portion on a substrate, forming a spacer portion on the gates stack portion and a portion of the substrate, removing an exposed portion of the substrate, epitaxially growing a first silicon material on the exposed portion of the substrate, removing a portion of the epitaxially grown first silicon material to expose a second portion of the substrate, and epitaxially growing a second silicon material on the exposed second portion of the substrate and the first silicon material. | 07-11-2013 |
20130175587 | SELF-ALIGNED CONTACT FOR REPLACEMENT GATE DEVICES - A conductive top surface of a replacement gate stack is recessed relative to a top surface of a planarization dielectric layer by at least one etch. A dielectric capping layer is deposited over the planarization dielectric layer and the top surface of the replacement gate stack so that the top surface of a portion of the dielectric capping layer over the replacement gate stack is vertically recessed relative to another portion of the dielectric layer above the planarization dielectric layer. The vertical offset of the dielectric capping layer can be employed in conjunction with selective via etch processes to form a self-aligned contact structure. | 07-11-2013 |
20130175626 | INTEGRATED CIRCUIT HAVING RAISED SOURCE DRAINS DEVICES WITH REDUCED SILICIDE CONTACT RESISTANCE AND METHODS TO FABRICATE SAME - A structure has at least one field effect transistor having a gate stack disposed between raised source drain structures that are adjacent to the gate stack. The gate stack and raised source drain structures are disposed on a surface of a semiconductor material. The structure further includes a layer of field dielectric overlying the gate stack and raised source drain structures and first contact metal and second contact metal extending through the layer of field dielectric. The first contact metal terminates in a first trench formed through a top surface of a first raised source drain structure, and the second contact metal terminates in a second trench formed through a top surface of a second raised source drain structure. Each trench has silicide formed on sidewalls and a bottom surface of at least a portion of the trench. Methods to fabricate the structure are also disclosed. | 07-11-2013 |
20130175634 | STRUCTURE AND METHOD FOR USING HIGH-K MATERIAL AS AN ETCH STOP LAYER IN DUAL STRESS LAYER PROCESS - A method is provided that includes forming a high-k dielectric etch stop layer over at least a first conductivity type semiconductor device on a first portion of a substrate and at least a second conductivity type semiconductor device on a second portion of the semiconductor device. A first stress-inducing layer is deposited over the first conductivity type semiconductor device and the second conductivity type semiconductor device. The portion of the first stress-inducing layer that is formed over the second conductivity type semiconductor device is then removed with an etch that is selective to the high-k dielectric etch stop layer to provide an exposed surface of second portion of the substrates that includes at least the second conductivity type semiconductor device. A second stress-inducing layer is then formed over the second conductivity type semiconductor device. | 07-11-2013 |
20130175635 | REPLACEMENT METAL GATE STRUCTURES FOR EFFECTIVE WORK FUNCTION CONTROL - A stack of a barrier metal layer and a first-type work function metal layer is deposited in replacement metal gate schemes. The barrier metal layer can be deposited directly on the gate dielectric layer. The first-type work function metal layer is patterned to be present only in regions of a first type field effect transistor. A second-type work function metal layer is deposited directly on the barrier metal layer in the regions of a second type field effect transistor. Alternately, the first-type work function layer can be deposited directly on the gate dielectric layer. The barrier metal layer is patterned to be present only in regions of a first type field effect transistor. A second-type work function metal layer is deposited directly on the gate dielectric layer in the regions of the second type field effect transistor. A conductive material fill and planarization form dual work function replacement gate structures. | 07-11-2013 |
20130175641 | REPLACEMENT GATE MOSFET WITH A HIGH PERFORMANCE GATE ELECTRODE - In a replacement gate scheme, a continuous material layer is deposited on a bottom surface and a sidewall surface in a gate cavity. A vertical portion of the continuous material layer is removed to form a gate component of which a vertical portion does not extend to a top of the gate cavity. The gate component can be employed as a gate dielectric or a work function metal portion to form a gate structure that enhances performance of a replacement gate field effect transistor. | 07-11-2013 |
20130175642 | SCALING OF METAL GATE WITH ALUMINUM CONTAINING METAL LAYER FOR THRESHOLD VOLTAGE SHIFT - A method of forming a p-type semiconductor device is provided, which in one embodiment employs an aluminum containing threshold voltage shift layer to produce a threshold voltage shift towards the valence band of the p-type semiconductor device. The method of forming the p-type semiconductor device may include forming a gate structure on a substrate, in which the gate structure includes a gate dielectric layer in contact with the substrate, an aluminum containing threshold voltage shift layer present on the gate dielectric layer, and a metal containing layer in contact with at least one of the aluminum containing threshold voltage shift layer and the gate dielectric layer. P-type source and drain regions may be formed in the substrate adjacent to the portion of the substrate on which the gate structure is present. A p-type semiconductor device provided by the above-described method is also provided. | 07-11-2013 |
20130175651 | DAMASCENE METAL GATE AND SHIELD STRUCTURE, METHODS OF MANUFACTURE AND DESIGN STRUCTURES - Semiconductor structures with damascene metal gates and pixel sensor cell shields, methods of manufacture and design structures are provided. The method includes forming a dielectric layer over a dummy gate structure. The method further includes forming one or more recesses in the dielectric layer. The method further includes removing the dummy gate structure in the dielectric layer to form a trench. The method further includes forming metal in the trench and the one more recesses in the dielectric layer to form a damascene metal gate structure in the trench and one or more metal components in the one or more recesses. | 07-11-2013 |
20130175658 | TONE INVERSION WITH PARTIAL UNDERLAYER ETCH FOR SEMICONDUCTOR DEVICE FORMATION - A structure for tone inversion for integrated circuit fabrication includes a substrate; a partially etched underlayer comprising a first pattern located over the substrate, the first pattern being partially etched into a portion of the underlayer such that a remaining portion of the underlayer is protected and forms a second pattern, and such that the first pattern does not expose the substrate located underneath the underlayer; and an image reversal material (IRM) layer located over the partially etched underlayer. | 07-11-2013 |
20130175954 | DYNAMIC RECONFIGURATION-SWITCHING OF WINDINGS IN AN ELECTRIC MOTOR USED AS A GENERATOR IN AN ELECTRIC VEHICLE - For an electric motor used as a generator in an electric vehicle for dynamic braking, employing a dynamic reconfiguration-switching of motor windings upon the generator exceeding one of a maximum usable constraint of a first rechargeable battery in order to reduce a voltage constant of the electric motor thereby limiting one of a produced voltage and a produced power. | 07-11-2013 |
20130175966 | DYNAMIC RECONFIGURATION-SWITCHING OF WINDINGS IN A MOTOR USED AS A GENERATOR IN A TURBINE - For a motor used as a generator, employing a dynamic reconfiguration-switching of motor windings upon the generator, used in a turbine, exceeding a maximum usable constraint of a first direct current (DC)-to-alternating current (AC) inverter in order to reduce a voltage constant of the motor thereby limiting one of a produced voltage and a produced power. | 07-11-2013 |
20130176304 | METHOD AND APPARATUS FOR PROCESSING THREE-DIMENSIONAL MODEL DATA - Apparatus and method for the network transmission and displaying of the computer graphics. The method and apparatus for processing three-dimensional model data includes: obtaining the mesh data for an original mesh model; constructing a derivative mesh model from the vertex data for the original mesh model by using a pre-defined mesh model construction algorithm; comparing the mesh data for the original mesh model with the mesh data for the derivative mesh model to obtain the error data for the derivative mesh model; transmitting the vertex data related to the original mesh model; and transmitting the error data for the derivative mesh model. | 07-11-2013 |
20130176641 | TAPE STORAGE DEVICE - A method, and tape storage device configured to perform such method, according to various embodiments, includes writing data stored in a buffer onto a tape; writing an interval marker on the tape after the data stored in the buffer is written onto the tape; writing next data subsequent to the interval marker when the next data is stored in the buffer in a first round corresponding to a first-time write in a single track in the tape; writing data stored in the buffer in an area of the interval marker in a second round corresponding to a second-time write in the single track; and writing data stored in the buffer in an area of the interval marker in which no data is written in each of third and subsequent rounds corresponding to third- and subsequent-time writes in the single track. | 07-11-2013 |
20130176642 | SELECTIVELY LOWERING RESISTANCE OF A CONSTANTLY USED PROTION OF MOTOR WINDINGS IN DISK DRIVE - Dynamic reconfiguration-switching of motor windings in a disk drive is optimized between winding-configurations by selectively lowering resistance of a constantly used portion of one of the motor windings. Acceleration is traded off in favor of higher velocity upon detecting the electric motor in the electric vehicle is at an optimal angular-velocity for switching to an optimal lower torque constant and voltage constant. The total back electromotive force (BEMF) is prohibited from inhibiting further acceleration to a higher angular-velocity. | 07-11-2013 |
20130176679 | Cooling System for Electronic Components - Embodiments of the present invention provide for non interruptive fluid cooling of an electronic enclosure. One or more electronic component packages may be removable from a circuit card having a fluid flow system. When installed, the electronic component packages are coincident to and in a thermal relationship with the fluid flow system. If a particular electronic component package becomes non-functional, it may be removed from the electronic enclosure without affecting either the fluid flow system or other neighboring electronic component packages. | 07-11-2013 |
20130176805 | METHODS AND SYSTEMS INVOLVING ELECTRICALLY REPROGRAMMABLE FUSES - An electrically reprogrammable fuse comprising an interconnect disposed in a dielectric material, a sensing wire disposed at a first end of the interconnect, a first programming wire disposed at a second end of the interconnect, and a second programming wire disposed at a second end of the interconnect, wherein the fuse is operative to form a surface void at the interface between the interconnect and the sensing wire when a first directional electron current is applied from the first programming wire through the interconnect to the second programming wire, and wherein, the fuse is further operative to heal the surface void between the interconnect and the sensing wire when a second directional electron current is applied from the second programming wire through the interconnect to the first programming wire. | 07-11-2013 |
20130176842 | DYNAMIC ERROR DAMPENING IN A NETWORK SYSTEM - A method and computer system for dynamic error dampening in a node of a network system. Data traffic of the node is implemented in accordance with an Open Systems Interconnection (OSI) network management model that includes a Layer 1 physical link, a Layer 2 link including a data link in which primitive point-to-point data communication with neighboring nodes is enabled upon the Layer 1 physical link, and a Layer 3 link which is a network link operating on top of the Layer 2 link. Implementation of an error dampening process at the node includes deactivating the Layer 3 link of the node while monitoring data traffic over the Layer 2 link of the node. Deactivating the Layer 3 link of the node includes preventing the Layer 3 Link of the node from receiving incoming data traffic for routing to other nodes in the network system. | 07-11-2013 |
20130176851 | DYNAMIC FLOW CONTROL IN MULTICAST SYSTEMS - Machines, systems and methods for enhancing performance in a multicasting system, the method comprising monitoring flow rates in a multicasting system as system characteristics change over time, wherein the multicasting system is operating based on a first mapping between a plurality of data flows and multicasting groups, wherein data is disseminated by way of the mapping to subscribers to one or more of the data flows; decreasing flow rate thresholds of satisfied flows so as to minimize the difference between the flow rate thresholds and respective target transmission rates of the satisfied flows, as the system's feasible data transmission workload is maintained with respect to subscriber reception rate capacities; and increasing flow rate thresholds of unsatisfied flows so as to minimize the difference between the flow rate threshold and respective target transmission rates for the unsatisfied flows. | 07-11-2013 |
20130176904 | Providing Full Point-To-Point Communications Among Compute Nodes Of An Operational Group In A Global Combining Network Of A Parallel Computer - Methods, apparatus, and products are disclosed for providing full point-to-point communications among compute nodes of an operational group in a global combining network of a parallel computer, each compute node connected to each adjacent compute node in the global combining network through a link, that include: receiving a network packet in a compute node, the network packet specifying a destination compute node; selecting, in dependence upon the destination compute node, at least one of the links for the compute node along which to forward the network packet toward the destination compute node; and forwarding the network packet along the selected link to the adjacent compute node connected to the compute node through the selected link. | 07-11-2013 |
20130177249 | Semantic Parsing of Objects in Video - Techniques, systems, and computer program products for parsing objects in a video are provided herein. A method includes producing and storing a plurality of versions of an image of an object derived from a video input, wherein each version of said image has a different resolution of said image; computing an appearance score at each of a plurality of regions on the lowest resolution version of said image for a plurality of semantic attributes with associated parts for said object, said appearance score denoting a probability of each semantic attribute appearing in the region; analyzing increasingly higher resolution versions than the lowest resolution version to compute a resolution context score for each region in the lowest resolution version; and ascertaining an optimized configuration of body parts and associated semantic attributes in the lowest resolution version, said ascertaining utilizing the appearance scores and the resolution context scores. | 07-11-2013 |
20130177391 | ELECTROSTATIC CONTROL OF AIR FLOW TO THE INLET OPENING OF AN AXIAL FAN - A method of modifying the airflow to the inlet of an axial fan comprises operating an axial fan to move air longitudinally through an air inlet opening of the axial fan, and, during operation of the axial fan, applying an electrical potential between an emitter and a collector to cause ionic air movement radially outwardly away from a central axis of the axial fan, wherein the radially outward air movement is caused upstream of the axial fan before the air reaches the air inlet opening. | 07-11-2013 |
20130177840 | ALIGNMENT MARKS FOR MULTI-EXPOSURE LITHOGRAPHY - A plurality of reticles for printing structures in the same lithography level includes an alignment structure pattern within a same relative location in each reticle. Each set of process segmentations in a grating has a reticle segmentation pitch, which is common across all gratings in the plurality of reticles. Within each pair of alignment structure patterns that occupy the same relative location in any two of the plurality of reticles, the process segmentations in one reticle are shifted relative to the process segmentations in the other reticle by a fraction of a reticle segmentation pitch. After printing all patterns in the plurality of reticles, a composite printed process segmentation structure on the substrate includes printed segmentation structures that are spaced by 1/n times the printed segmentation pitch. The pattern for the next level can be aligned to the composite printed process segmentation structure in a single alignment operation. | 07-11-2013 |
20130178035 | STRUCTURE AND METHOD TO ENABLING A BORDERLESS CONTACT TO SOURCE REGIONS AND DRAIN REGIONS OF A COMPLEMENTARY METAL OXIDE SEMICONDUCTOR (CMOS) TRANSISTOR - A semiconductor device that includes a gate structure on a channel region of a semiconductor substrate. A first source region and a first drain region are present in the semiconductor substrate on opposing sides of the gate structure. At least one spacer is present on the sidewalls of the gate structure. The at least one spacer includes a first spacer and a second spacer. The first spacer of the at least one spacer is in direct contact with the sidewall of the gate structure and is present over an entire width of the first source region and the first drain region. The second spacer of the at least one spacer extends from the first spacer of the at least one spacer and has a length that covers an entire length of a first source region and a first drain region. | 07-11-2013 |
20130178041 | BACK-END-OF-LINE PLANAR RESISTOR - A stack of an interconnect-level dielectric material layer and a disposable dielectric material layer is patterned so that at least one recessed region is formed through the disposable dielectric material layer and in an upper portion of the interconnect-level dielectric material layer. A dielectric liner layer and a metallic liner layer is formed in the at least one recessed region. At least one photoresist is applied to fill the at least one recessed region and lithographically patterned to form via cavities and/or line cavities in the interconnect-level dielectric material layer. After removing the at least one photoresist, the at least one recessed region, the via cavities, and/or the line cavities are filled with at least one metallic material, which is subsequently planarized to form at least one planar resistor having a top surface that is coplanar with top surfaces of metal lines or metal vias. | 07-11-2013 |
20130178053 | SELF-ALIGNED CONTACT EMPLOYING A DIELECTRIC METAL OXIDE SPACER - A dielectric liner is formed on sidewalls of a gate stack and a lower contact-level dielectric material layer is deposited on the dielectric liner and planarized. The dielectric liner is recessed relative to the top surface of the lower contact-level dielectric material layer and the top surface of the gate stack. A dielectric metal oxide layer is deposited and planarized to form a dielectric metal oxide spacer that surrounds an upper portion of the gate stack. The dielectric metal oxide layer has a top surface that is coplanar with a top surface of the planarized lower contact-level dielectric material layer. Optionally, the conductive material in the gate stack may be replaced. After deposition of at least one upper contact-level dielectric material layer, at least one via hole extending to a semiconductor substrate is formed employing the dielectric metal oxide spacer as a self-aligning structure. | 07-11-2013 |
20130178056 | FIELD EFFECT TRANSISTOR HAVING AN ASYMMETRIC GATE ELECTRODE - The gate electrode of a metal oxide semiconductor field effect transistor (MOSFET) comprises a source side gate electrode and a drain side gate electrode that abut each other near the middle of the channel. In one embodiment, the source side gate electrode comprises a silicon oxide based gate dielectric and the drain side gate electrode comprises a high-k gate dielectric. The source side gate electrode provides high carrier mobility, while the drain side gate electrode provides good short channel effect and reduced gate leakage. In another embodiment, the source gate electrode and drain gate electrode comprises different high-k gate dielectric stacks and different gate conductor materials, wherein the source side gate electrode has a first work function a quarter band gap away from a band gap edge and the drain side gate electrode has a second work function near the band gap edge. | 07-11-2013 |
20130178058 | INTERCONNECT STRUCTURE EMPLOYING A Mn-GROUP VIIIB ALLOY LINER - A metallic liner stack including at least a Group VIIIB element layer and a CuMn alloy layer is deposited within a trench in a dielectric layer. Copper is deposited on the metallic liner stack and planarized to form a conductive interconnect structure, which can be a metal line, a metal via, or a combination thereof. The deposited copper and the metallic liner stack are annealed before or after planarization. The Mn atoms are gettered by the Group VIIIB element layer to form a metallic alloy liner including Mn and at least one of Group VIIIB elements. Mn within the metallic alloy liner combines with oxygen during the anneal to form MnO, which acts as a strong barrier to oxygen diffusion, thereby enhancing the reliability of the conductive interconnect structure. | 07-11-2013 |
20130179131 | MODELING A MATRIX FOR FORMAL VERIFICATION - A reference model may be defined to refer to a matrix of a target computerized system. The reference model may comprise a reference index and a reference matrix. The reference index may have a non-deterministic value enabling the reference matrix to refer to the matrix using a fewer number of cells. The disclosed subject matter may enable a more efficient model checking process of a computerized device by using a reference model that is relatively easy to define or maintain or by using a reference model that is configured to be more efficient for model checking as it uses non-determinism. | 07-11-2013 |
20130179211 | Calendaring Tool With Optimized Management Capabilities - A computer-implemented method, apparatus and computer-readable storage device for arranging a meeting in a networked environment having nodes assigned to respective individual users. Upon receiving a set of meeting requirements including at least a meeting time and a set of invitees from a user at one of the nodes, invitations for a meeting at the meeting time are sent to at least a subset of the invitees based on one or more of the meeting requirements. The meeting is confirmed at the meeting time responsive to receiving acceptances from a predetermined subset of the invitees, such as a set of invitees identified as being critical invitees or a number of invitees constituting a quorum, and is rescheduled or cancelled responsive to a failure to receive acceptances from the predetermined subset of the invitees. | 07-11-2013 |
20130179251 | METHOD OF PROVIDING ERROR-SPECIFIC ADVERTISEMENT DISPLAY - Methods for providing an error-specific advertisement at a client computer are implemented in a computer system, and include providing an error-specific advertisement at a client computer. The methods also include determining that an error has occurred, identifying an advertisement for a product or a service relating to resolution of the error and/or generating an error message. The error message may include the advertisement and information regarding the error. | 07-11-2013 |
20130179278 | SYSTEM AND METHOD FOR ITEM INQUIRY AND INFORMATION PRESENTATION VIA STANDARD COMMUNICATION PATHS - A method and system is provided for item inquiry and information presentation via standard communication paths. More particularly, the method and system detects an item associated with an avatar of a virtual universe (VU) user in a VU and determine information associated with the item. Additionally, the method and system are operable to display the information in the VU in a high-resolution component of the VU. | 07-11-2013 |
20130179296 | CONSUMER REPRESENTATION RENDERING WITH SELECTED MERCHANDISE - A representation system receives a subscription for a consumer to monitor online vendors. The representations system monitors a separate inventory of merchandise items of each online vendor. The representations system detects a new merchandise item added by at least one of the online vendors to a current inventory of merchandise items. The representation system accesses a representation of the consumer specified by a selection of sizing measurements stored by the selection service. The representation system selects at least one merchandise item from among the new separate merchandise items each with a set of merchandise characteristics of a size selected to fit the selection of sizing measurements. The representation system generates an email communication to deliver to the consumer from which a rendering of the selected merchandise item on the representation according to the set of merchandise characteristics as applied to the selection of sizing measurements is accessible to the consumer. | 07-11-2013 |
20130179364 | LINKED DECISION NODES IN A BUSINESS PROCESS MODEL - Embodiments of the present invention address deficiencies of the art in respect to decision node processing in a business process model and provide a method, system and computer program product for linked decision nodes in business process models. In an embodiment of the invention, a business process model simulation method can be provided. The method can include loading a business process model for simulation, designating a decision node in the business process model as a master decision node and linking another decision node in the business process model as a slave decision node to the master decision node. The method further can include simulating the master decision node to select an output pin and storing the selected output pin in association with the master decision node, and applying the selected output pin in the slave decision node when arriving at the slave decision node during the simulation without simulating the slave decision node. | 07-11-2013 |
20130179380 | PREDICTION METHOD, PREDICTION SYSTEM AND PROGRAM - A method for predicting an output variable from explanatory values provided as sets of combinations of discrete variables and continuous variables includes receiving input data that contains the explanatory variables to predict the output variable; searching for each element in the combinations for elements in a plurality of sets with matching discrete variables using training data which the output variable has been observed; applying a function giving the degree of similarity between two sets weighed by a scale variable to each element in the input data, and to one or more elements found in the elements of the input data to calculate function values, and calculating the sum of the function values for all of the elements in the input data; and applying the calculated sum for each element to a prediction equation for predicting the output variable to calculate a prediction value of the output variable for each element. | 07-11-2013 |
20130179384 | MULTIPLE-PAIRS SHORTEST PATH FINDING METHOD AND SYSTEM - A method and system for solving shortest paths from multiple sources to multiple destinations faster. A method of solving the multiple-pairs shortest path problem is provided using processing by a computer having storage means. The method includes the steps of: (A) reading road network data S on multiple vertices as search starting points from a storage area of the computer; (B) reading road network data T on multiple vertices as search targets from the storage area of the computer; (C) selecting k vertices s | 07-11-2013 |
20130179390 | DEPLOYMENT PATTERN REALIZATION WITH MODELS OF COMPUTING ENVIRONMENTS - Deployment pattern matching is implemented by accessing a target computing environment model that captures environment modeling parameters relating to resources and resource-resource relationships of a corresponding computing environment and expressing the target computing environment model as a model graph defined by target resource elements and resource-to-resource relationship links. Deployment pattern matching is further implemented by accessing a realization pattern that captures deployment parameters relating to resources and resource-resource relationships of a deployment of interest and expressing the realization pattern as a pattern graph defined by conceptual resource elements and constraints arranged by resource-to-resource relationship links and constraint links. The realization pattern is then evaluated against the target computing environment model by executing at least one pattern matching algorithm that attempts to match the pattern graph to the model graph and information corresponding to results of the evaluation are conveyed. | 07-11-2013 |
20130179396 | Defining and Detecting Bundle Information in Databases - An approach is provided to registering a database at a database manager in a manner that defines software bundles. Registering the database involves receiving database metadata that corresponds to the database which is stored in a metadata data store that is maintained by the database manager. A software product associated with the registered database is processed by receiving software product metadata corresponding to the software product and this software product metadata is also stored in the metadata data store maintained by the database manager. | 07-11-2013 |
20130179412 | QUERY-AWARE COMPRESSION OF JOIN RESULTS - A method is provided for compressing results of a join query. A join order of a result set is determined from the join query, where the result set includes a plurality of tuples. A plurality of dictionary entries for the result set is received. A nested hierarchy of dictionaries is created based on the join order and the dictionary entries. A plurality of encoded tuples is received. The nested hierarchy of dictionaries is used by a processor to decode the plurality of encoded tuples so as to produce the plurality of tuples of the result set. | 07-11-2013 |
20130179432 | QUERY EXECUTION AND OPTIMIZATION WITH AUTONOMIC ERROR RECOVERY FROM NETWORK FAILURES IN A PARALLEL COMPUTER SYSTEM WITH MULTIPLE NETWORKS - A database query execution monitor determines if an network error or low performance condition exists and then where possible modifies the query. The query execution monitor then determines an alternate query execution plan to continue execution of the query. The query optimizer can re-optimize the query to use a different network or node. Thus, the query execution monitor allows autonomic error recovery for network failures using an alternate query execution. The alternate query execution could also be determined at the initial optimization time and then this alternate plan used to execute a query in the case of a particular network failure. | 07-11-2013 |
20130179433 | DATABASE QUERY OPTIMIZATION USING INDEX CARRYOVER TO SUBSET AN INDEX - A method, apparatus and program product use a first index associated with a field in a database table to identify a range of records in the database table that includes instances of a first key value in the field and use the identified range of records to subset a second index associated with another field in a database table. The database query identifies the first key value for the field in the database table and the second key value for the other field in the database table. By doing so, information from an index may be carried over and applied to another index to subset the other index, often reducing the quantity of entries that are searched in the other index and improving performance. | 07-11-2013 |
20130179446 | Linking Single System Synchronous Inter-Domain Transaction Activity - An approach is provided to correlate transaction data occurring at two different domains running on a common operating system image without using static, or common, correlators. Request-type event records are collected at a first domain within the operating system image, with each of the request-type event records including execution identifiers and a unique token that indicates the order in which the corresponding request-type event occurred on the first domain. Similarly, response-type event records are collected at a second domain within the operating system image. The request-type event records are matched with the response-type event records based on the execution identifiers and an overall order that is indicated by unique tokens included in the records. The matching of request-type event records with response-type event records indicate a number of inter-domain transactions which are recorded in a correlation data store. | 07-11-2013 |
20130179451 | DYNAMICALLY SCALABLE MODES - The present disclosure includes techniques for storing indexed values that may increase computing performance. In one example, a method includes receiving a request to store an input value in a data structure. The method also includes determining the input value is not represented by an index value of the first group of index values, generating an index value that represents the input value, and determining the generated index value is not included in the first group of representations. The method also includes generating a second group of index values wherein a first size of index values of the first group is different than a second size of index values of the second group. The method also includes modifying a storage capacity of the data structure to store the index values of the second group of the second size and storing the second group of index values in the data structure. | 07-11-2013 |
20130179464 | SYSTEM AND METHOD FOR PROVENANCE FUNCTION WINDOW OPTIMIZATION - A system and method for managing provenance data are disclosed. In accordance with one method, input data elements assessed by a processing element are evaluated. The method further includes determining whether an input window comprising the input data elements includes a sufficient amount of relevant input data. If the input window does not include a sufficient amount of relevant input data, then the input data elements are designated for reference in response to a provenance query. | 07-11-2013 |
20130179485 | DISTRIBUTED PARALLEL COMPUTATION WITH ACCELERATION DEVICES - A method for distributed computing between a host computer and at least one accelerator device interconnected through a network includes profiling a data transfer rate and a computation rate for a range of data sizes to find an optimal chunk size for the data transfer through the network; splitting or aggregating a size of the data stored in a memory in the host computer for encapsulating the data into a chunk with the optimal chunk size; dispatching the encapsulated data to the accelerator device; and instructing pipeline computation to the accelerator device with respect to the encapsulated data received. | 07-11-2013 |
20130179509 | Identifying guests in web meetings - A technique that identifies registered or guest users in web meetings. Registered and guest users are provided different forms of a meeting invite URL. A guest user receives a unique URL for the meeting that is generated with a nonce value associated with the user's contact information. The nonce value does not expose the contact information. To join the web meeting, each registered user follows a common web meeting link and authenticates. Information obtained during authentication is used to identify the registered user, whose identity is then displayed. Each guest user follows his or her unique URL to join the meeting. The web meeting service receives the nonce in the unique URL and maps it to the guest user's contact details. The service displays the guest user's contact details as the guest user's identity. | 07-11-2013 |
20130179553 | CONTROLLING THE STATE OF DUPLEXING OF COUPLING FACILITY STRUCTURES - A coupling facility is coupled to one or more other coupling facilities via one or more peer links. The coupling of the facilities enables various functions to be supported, including the duplexing of structures of the coupling facilities. Duplexing is performed on a structure basis, and thus, a coupling facility may include duplexed structures, as well as non-duplexed or simplexed structures. | 07-11-2013 |
20130179573 | Identity provider instance discovery - A method of discovering an identity provider instance according to this disclosure begins upon receipt from a service provider (or from a discovery service to which the service provider redirects the user) of a request for an IdP instance. Preferably, the request for an IdP instance is received as a Web services request following receipt at the service provider of an end user client request to access an application. In response to receiving the request, an IdP instance is selected, preferably using one or more criteria, such as user proximity, instance load, instance availability, the existence of a prior IdP binding, or the like. Following the selection, a response to the request is generated and returned to the requesting service provider. Preferably, the response is a redirect to the selected IdP instance. | 07-11-2013 |
20130179591 | TRIGGERING WINDOW CONDITIONS BY STREAMING FEATURES OF AN OPERATOR GRAPH - In a stream computing application, data may be transmitted between operators using tuples. However, the receiving operator may not evaluate these tuples as they arrive but instead wait to evaluate a group of tuples—i.e., a window. A window is typically triggered when a buffer associated with the receiving operator reaches a maximum window size or when a predetermined time period has expired. Additionally, a window may be triggered by a monitoring a tuple rate—i.e., the rate at which the operator receives the tuples. If the tuple rate exceeds or falls below a threshold, a window may be triggered. Further, the number of exceptions, or the rate at which an operator throws exceptions, may be monitored. If either of these parameters satisfies a threshold, a window may be triggered, thereby instructing an operator to evaluate the tuples contained within the window. | 07-11-2013 |
20130179620 | Administering Connection Identifiers For Collective Operations In A Parallel Computer - Administering connection identifiers for collective operations in a parallel computer, including prior to calling a collective operation, determining, by a first compute node of a communicator to receive an instruction to execute the collective operation, whether a value stored in a global connection identifier utilization buffer exceeds a predetermined threshold; if the value stored in the global ConnID utilization buffer does not exceed the predetermined threshold: calling the collective operation with a next available ConnID including retrieving, from an element of a ConnID buffer, the next available ConnID and locking the element of the ConnID buffer from access by other compute nodes; and if the value stored in the global ConnID utilization buffer exceeds the predetermined threshold: repeatedly determining whether the value stored in the global ConnID utilization buffer exceeds the predetermined threshold until the value stored in the global ConnID utilization buffer does not exceed the predetermined threshold. | 07-11-2013 |
20130179641 | MEMORY SYSTEM INCLUDING A SPIRAL CACHE - An integrated memory system with a spiral cache responds to requests for values at a first external interface coupled to a particular storage location in the cache in a time period determined by the proximity of the requested values to the particular storage location. The cache supports multiple outstanding in-flight requests directed to the same address using an issue table that tracks multiple outstanding requests and control logic that applies the multiple requests to the same address in the order received by the cache memory. The cache also includes a backing store request table that tracks push-back write operations issued from the cache memory when the cache memory is full and a new value is provided from the external interface, and the control logic to prevent multiple copies of the same value from being loaded into the cache or a copy being loaded before a pending push-back has been completed. | 07-11-2013 |
20130179660 | Virtual Logical Volume for Overflow Storage of Special Data Sets - System embodiments for facilitating overflow storage of special data sets that reside on a single logical volume are provided. A virtual logical volume is created from unallocated memory units across a plurality of logical volumes in a volume group. The virtual logical volume appears the same as any one of the logical volumes in the volume group to an external client. Upon receipt of a special data set that must reside in a single logical volume, an attempt is first made to allocate the special data set to one of the logical volumes in the volume group. If that allocation attempt fails, the special data set is allocated to the virtual logical volume. The virtual logical volume may be created only upon the failure to allocate the special data set to one of the logical volumes, and may be destroyed if sufficient space in one of the logical volumes is freed up to transfer the special data set. Creation of the virtual logical volume may be reserved for only critical special data sets whose failure would result in a storage system outage. | 07-11-2013 |
20130179775 | DATA EDITING FOR IMPROVING READABILITY OF A DISPLAY - Provides ability to analyze the readability of an image to be displayed on a screen as a web page, etc., and appropriately modify the image. It includes a rendering section for generating an image by rendering an HTML document; an image processing section for performing image processing on the image generated by the rendering section to simulate and evaluate how the image is viewed under a certain visual characteristic; and a result presentation section for locating an HTML element that needs to be modified in the HTML document to be processed based on the evaluation result of the image processing section and for presenting the HTML element to a web page creator. The result presentation section also retrieves a modification method for the HTML element that needs to be modified from a symptom model storage section. A document modification processing section actually modifies the HTML document. | 07-11-2013 |
20130179789 | AUTOMATIC GENERATION OF A PRESENTATION - In one aspect, a method for assigning dynamic metadata to presentation pages is provided. The method includes storing presentation pages in a storage system, assigning static metadata to each presentation page of the presentation pages, and assigning the dynamic metadata to the presentation pages. Additionally, a method for selecting presentation pages is provided. In one aspect, this method includes receiving a selection parameter indicative of criteria for selecting presentation pages stored in a storage system, and selecting a group of presentation pages from the storage system based on static metadata, dynamic metadata, and the selection parameter. | 07-11-2013 |
20130179793 | ENHANCING VISUALIZATION OF RELATIONSHIPS AND TEMPORAL PROXIMITY BETWEEN EVENTS - Components and functionality can be implemented in an event management application to display events along a timeline. A display interval earl be configured for the timeline and a scroll bar can allow scrolling to view different time periods on the timeline. In addition, filters may be applied to the events, so that relationships between events may be visualized. For example, the events may be filtered by network resource name, so that groups of events related to each network resource can be grouped together along the timeline. | 07-11-2013 |
20130179809 | SMART DISPLAY - A smart display allows a user to build custom layouts of user interface blocks on the smart display independent of the software on the computer creating the user interface. A customization mechanism in the smart display allows a user to select portions of a user interface and move them to different positions on the display. The customization mechanism creates custom layout metadata that defines a screen offset for portions of a user interface moved by the user. The smart display monitors the incoming display data and re-assigns pixel rendering data to the new location in the moved user interface blocks as the data coming from the computer application changes. | 07-11-2013 |
20130179852 | SYSTEMS AND METHODS FOR CORRELATED PARAMETERS IN STATISTICAL STATIC TIMING ANALYSIS - Systems and methods for accommodating correlated parameters in SSTA are provided. The method includes determining a correlation between at least two parameters. The method further includes calculating a new parameter or a new parameter set based on the correlation between the at least two parameters. The method further includes performing the SSTA such that the new parameter or the new parameter set is propagated into the SSTA. The method further includes projecting slack using the correlation between the at least two parameters and using a processor. | 07-11-2013 |
20130179853 | DOUBLE-SIDED INTEGRATED CIRCUIT CHIPS - A double-sided integrated circuit chips, methods of fabricating the double-sided integrated circuit chips and design structures for double-sided integrated circuit chips. The method includes removing the backside silicon from two silicon-on-insulator wafers having devices fabricated therein and bonding them back to back utilizing the buried oxide layers. Contacts are then formed in the upper wafer to devices in the lower wafer and wiring levels are formed on the upper wafer. The lower wafer may include wiring levels. The lower wafer may include landing pads for the contacts. Contacts to the silicon layer of the lower wafer may be silicided. | 07-11-2013 |
20130179861 | BUILDING OPTIMIZED DOWNLOAD MODULES LEVERAGING MODULARIZED DEPENDENCIES - Embodiments relate to building a downloadable application. In response to a request to build a downloadable application, a build system determines a set of resources used by the downloadable application. The build system reads this initial set of resources to discover other resources used by the downloadable application. The build system determines resource dependencies for the set of resources and the discovered set of resources, and creates a dependency data. structure according to the resource dependencies. Using the dependency data structure, the build system determines a subset of the set of resources and the discovered set of resources to include in a module associated with the downloadable application. | 07-11-2013 |
20130179866 | Debugging A High Performance Computing Program - Methods, apparatus, and computer program products are disclosed for debugging a high performance computing program by gathering lists of addresses of calling instructions for a plurality of threads of execution of the program, assigning the threads to groups in dependence upon the addresses, and displaying the groups to identify defective threads. | 07-11-2013 |
20130179878 | INTELLIGENT AND AUTOMATED CODE DEPLOYMENT - Exemplary method embodiments for deploying code in a computing sysplex environment are provided. In one embodiment, by way of example only, a system-wide trending mechanism is applied. At least one of an idle time and a low Central Processing Unit (CPU) utilization time of one system in the sysplex environment is matched with an estimated deployment time obtained from at least one of a latest measured period of time and a calculated time trend. A system-wide coordinating mechanism is applied. A staggered code deployment operation is recommended for at least one node of the system at an optimum system time generated from the matching. Data obtained from the code deployment operation is recorded, including a new latest measured period of time. The data is used to generate an updated time trend. | 07-11-2013 |
20130179883 | MIGRATION OF VIRTUAL MACHINES - To migrate two or more virtual machines in a source hypervisor to a target hypervisor, a list of active and connected virtual machines in the source hypervisor is acquired. Connections between the source virtual machines are rerouted to a buffer so that data flowing between the source virtual machines is captured. The source virtual machines are migrated to a target hypervisor and are connected in the same manner as in the source hypervisor. The buffered data is migrated to the respective migrated virtual machines, and the target virtual machines are activated. The virtual machines can be migrated in order of data flow dependency such that the least dependent virtual machine is migrated first. | 07-11-2013 |
20130179885 | Virtual Machine Administration For Data Center Resource Managers - Virtual machine administration for data center resource managers including discovering resources of the datacenter to be managed by a resource manager; determining, in dependence upon attributes of the resources, processing capabilities of the discovered resources; determining, in dependence upon attributes of the resources of the datacenter to be managed, memory capabilities of the discovered resources; determining, in dependence upon attributes of the resources, minimum memory requirements for managing the discovered resources; determining, in dependence upon attributes of the resources of the datacenter to be managed, minimum processing requirements for managing the discovered resources; deploying, in dependence upon the determined processing capabilities and memory capabilities upon one or more of the resources of the datacenter to be managed, a virtual machine having at least the minimum memory requirements and the minimum processing requirements; and deploying the resource manager on the virtual machine. | 07-11-2013 |
20130179889 | MANAGING JOB EXECUTION - A method for managing jobs scheduled for execution on a target system in which some jobs may spawn additional jobs scheduled for execution on the target system including intercepting jobs scheduled for execution in the target system, determining whether there is resource sufficiency in the target system for executing jobs, responsive to an affirmative determination of resource sufficiency, releasing previously intercepted jobs for execution in the target system, computing a limit of a number of jobs which can be concurrently scheduled by an external system to the target system, and transmitting the computed limit to the external system. | 07-11-2013 |
20130179897 | Thread Selection During Context Switching On A Plurality Of Compute Nodes - Methods, apparatus, and products are disclosed for thread selection during context switching on a plurality of compute nodes that includes: executing, by a compute node, an application using a plurality of threads of execution, including executing one or more of the threads of execution; selecting, by the compute node from a plurality of available threads of execution for the application, a next thread of execution in dependence upon power characteristics for each of the available threads; determining, by the compute node, whether criteria for a thread context switch are satisfied; and performing, by the compute node, the thread context switch if the criteria for a thread context switch are satisfied, including executing the next thread of execution. | 07-11-2013 |
20130179902 | Network On Chip With An I/O Accelerator - Data processing on a network on chip (‘NOC’) that includes IP blocks, routers, memory communications controllers, and network interface controllers; each IP block adapted to a router through a memory communications controller and a network interface controller; each memory communications controller controlling communication between an IP block and memory; each network interface controller controlling inter-IP block communications through routers; each IP block adapted to the network by a low latency, high bandwidth application messaging interconnect comprising an inbox and an outbox; a computer software application segmented into stages, each stage comprising a flexibly configurable module of computer program instructions identified by a stage ID with each stage executing on a thread of execution on an IP block; and at least one of the IP blocks comprising an input/output (‘I/O’) accelerator that administers at least some data communications traffic to and from the at least one IP block. | 07-11-2013 |
20130179905 | Administering Incident Pools For Event And Alert Analysis - Administering incident pools including creating a pool of incidents, the pool having a predetermined initial period of time; assigning each received incident to the pool; assigning, by the incident analyzer, to each incident a predetermined minimum time for inclusion in a pool; extending for one or more of the incidents the predetermined initial period of time of the pool by a particular period of time assigned to the incident; determining whether conditions have been met to close the pool; and if conditions have been met to close the pool determining for each incident in the pool whether the incident has been in the pool for its predetermined minimum time for inclusion in a pool; and if the incident has not been in the pool for its predetermined minimum time, evicting the incident from the closed pool and including the incident in a next pool. | 07-11-2013 |
20130179938 | Security policy management using incident analysis - A security analytics system receives incident data (from an incident management system) and security policy information (from a security policy management system). The security analytics system evaluates these data sets against one another, preferably using a rules-based analysis engine. As a result, the security analytics system determines whether a particular security policy configuration (as established by the security policy management system) needs to be (or should be) changed, e.g., to reduce the number of incidents caused by a misconfiguration, to increase its effectiveness in some manner, or the like. As a result of the evaluation, the security analytics system may cause a policy to be updated automatically, notify an administrator of the need for the change (and the recommendation), or take some other action to evolve one or more security policies being enforced by the security policy management system. | 07-11-2013 |
20130179970 | Receiving Security Risk Feedback From Linked Contacts Due to a User's System Actions and Behaviors - An approach is provided in receiving risk feedback from a social network. Feedback transmissions are received by a user's system with each of the feedback transmissions being received over a computer network from a social network contact. The received feedback transmissions are analyzed and, based on the analysis, a risky action that was performed by the user is identified. The user performs a risk avoidance measure to counteract the identified risky action. In one embodiment, the risk avoidance measure is reported back to the user's contacts. | 07-11-2013 |
20130179977 | Assessing Social Risk Due To Exposure From Linked Contacts - An approach is provided in which a risk assessment is performed that accesses the risk to a user of an information handling system due to the user's link to a social network contact. Risky action values is received with the values corresponding to the social network contact. A risk level is calculated with the risk level corresponding to one or more of the risky action values. A preventative security action is then performed based on the calculated risk level. In another embodiment, an approach is provided in which the potential risks posed by a user are transmitted to the user's social network contacts. In this approach, potentially risky actions that are performed by the user are detected. Risky action values are identified that correspond to the detected potentially risky actions. The risky action values are then transmitted to the user's social network contacts over a computer network. | 07-11-2013 |
20130179978 | Automated Detection of Flaws and Incompatibility Problems in Information Flow Downgraders - Mechanisms for evaluating downgrader code in application code with regard to a target deployment environment. Downgrader code in the application code is identified. Based on an input string, an output string that the downgrader code outputs in response to receiving the input string is identified. One or more sets of illegal string patterns are retrieved. Each of the one or more sets of illegal string patterns is associated with a corresponding deployment environment. The illegal string patterns are string patterns that a downgrader identifies in the information flow for security purposes. A determination is made as to whether the downgrader code is compatible with the target deployment environment based on the one or more sets of illegal string patterns and the output string. An output indicative of the results of the determining is generated. | 07-11-2013 |
20130179979 | DETECTING SECURITY VULNERABILITIES IN WEB APPLICATIONS - Method to detect security vulnerabilities includes: interacting with a web application during its execution to identify a web page exposed by the web application; statically analyzing the web page to identify a parameter within the web page that is constrained by a client-side validation measure and that is to be sent to the web application; determining a server-side validation measure to be applied to the parameter in view of the constraint placed upon the parameter by the client-side validation measure; statically analyzing the web application to identify a location within the web application where the parameter is input into the web application; determining whether the parameter is constrained by the server-side validation measure prior to the parameter being used in a security-sensitive operation; and identifying the parameter as a security vulnerability. | 07-11-2013 |
20130179994 | Dual Trust Architecture - Mechanisms for executing a software routine in an application executing as a multi-user single address space subsystem in an operating environment having a trusted mode of operation for trusted routines and a reduced-trust mode of operation for untrusted routines. The application includes a control module for execution as a trusted routine and a trusted routine table including identifiers of trusted routines. The control module performs switches between a trusted mode of operation for execution and a reduced trust mode of operation based on various determinations regarding the nature of a calling routine being trusted or untrusted, a call stack, and whether the calling routine is being restored or not from the call stack. | 07-11-2013 |
20130180543 | DEPOSITION CHAMBER CLEANING METHOD INCLUDING STRESSED CLEANING LAYER - A method for cleaning a deposition chamber includes forming a deposited layer over an interior surface of the deposition chamber, wherein the deposited layer has a deposited layer stress and a deposited layer modulus; forming a cleaning layer over the deposited layer, wherein a material comprising the cleaning layer is selected such that the cleaning layer adheres to the deposited layer, and has a cleaning layer stress and a cleaning layer modulus, wherein the cleaning layer stress is higher than the deposited layer stress, and wherein the cleaning layer modulus is higher than the deposited layer modulus; and removing the deposited layer and the cleaning layer from the interior of the deposition chamber. | 07-18-2013 |
20130180686 | PRESSURE CONTROL UNIT AND METHOD FACILITATING SINGLE-PHASE HEAT TRANSFER IN A COOLING SYSTEM - A pressure control unit and method are provided for facilitating single-phase heat transfer within a liquid-based cooling system. The pressure control unit includes a pressure vessel containing system coolant, and a pressurizing mechanism associated with the pressure vessel. A coolant line couples system coolant in the pressure vessel in fluid communication with the coolant loop of the cooling system, and a regulator mechanism couples to the pressurizing mechanism to maintain pressure within the pressure vessel at or above a defined pressure threshold, thus maintaining pressure within the coolant loop above the pressure threshold. The defined pressure threshold is set to facilitate system coolant within the coolant loop remaining single-phase throughout an operational temperature range of the system coolant within the coolant loop. More particularly, the pressure threshold is set to ensure pressure of system coolant within the coolant loop remains above the coolant's saturation pressure at maximum operational temperature. | 07-18-2013 |
20130180687 | CONDENSER FIN STRUCTURES FACILITATING VAPOR CONDENSATION COOLING OF COOLANT - Vapor condensers and cooling apparatuses are provided herein which facilitate vapor condensation cooling of a coolant employed in cooling an electronic device or electronic subsystem. The vapor condenser includes a thermally conductive base structure having an operational orientation when the condenser is facilitating vapor condensate formation, and a plurality of thermally conductive condenser fins extending from the thermally conductive base structure. The plurality of thermally conductive condenser fins have a varying cross-sectional perimeter along at least a portion of their length. The cross-sectional perimeters of the plurality of thermally conductive condenser fins are configured to increase in a direction of condensate travel when the thermally conductive base structure is in the operational orientation and the vapor condenser is facilitating vapor condensate formation. | 07-18-2013 |
20130181267 | WAFER FILL PATTERNS AND USES - A semiconductor device includes an active region including an element formed in a double etch, double exposure method and an inactive region including one or more fills, at least one of the one or more fills including a cut-away hole formed therein, where the cut-away holes expose a layer in the inactive region used for an endpoint detection. | 07-18-2013 |
20130181743 | Binary Logic Unit and Method to Operate a Binary Logic Unit - A binary logic unit to apply any Boolean operation on two input signals (v | 07-18-2013 |
20130181784 | VARIABLE CAPACITANCE DEVICE - A variable capacitance device including: first and second transistors coupled in parallel between first and second nodes of the capacitive device, a control node of the first transistor being adapted to receive a control signal, and a control node of the second transistor being adapted to receive the inverse of the control signal, wherein the first and second transistors are formed in a same semiconductor well. | 07-18-2013 |
20130181785 | DEVICE OF VARIABLE CAPACITANCE - A variable capacitance device including: first and second transistors coupled in series by their main current nodes between first and second nodes of the device, a control node of the first transistor being adapted to receive a first control signal, and a control node of the second transistor being adapted to receive a second control signal; and control circuitry adapted to generate the first and second control signals from a selection signal. | 07-18-2013 |
20130181996 | VISUAL CONNECTIVITY OF WIDGETS USING EVENT PROPAGATION - A method, system and computer program product receive a set of objects for connection, create a moving object within the set of objects, display visual connection cues on objects in the set of objects, adjust the visual connection cues of the moving object and a target object in the set of objects, identify event propagation precedence, and connect the moving object with the target object. | 07-18-2013 |
20130182003 | Method and System for Executing a Graphics Application - A system, program product and method of executing a predefined graphics application on objects belonging to a rendered image. The method comprises receiving an array of properties representing properties of the image objects and a mapping data structure. The mapping data structure maps pixel locations in the rendered image to indices in the array of properties. In response to the reception of a user input identifying the location of a given object in the rendered image the method comprises the following steps: (i) determining from the mapping data structure an object index for the designated object using the location of the given object; (ii) retrieving the properties of the designated object from the array of properties at the object index; and (iii) executing the predefined graphics application using the properties determined in step ii for the designated object. | 07-18-2013 |
20130182391 | Rotatable Latch For Compressing Thermal Interface Material Between A Heat Generating Electrical Component And A Cooling Electrical Component - Apparatuses for compressing a thermal interface material between a heat generating electrical component and a cooling electrical component are provided. Embodiments include a draw rod coupled at one end to the cooling electrical component, the draw rod passing through the heat generating electrical component; wherein the draw rod includes a pin on the end opposite the end coupled to the cooling electrical component; and a rotatable latch coupled to the heat generating electrical component, the rotatable latch including a hook at one end; wherein when the rotatable latch is in an engaged position, the hook of the rotatable latch engages the pin of the draw rod such that the thermal interface material adhered to the heat generating component is coupled to the cooling electrical component. | 07-18-2013 |
20130182511 | DIGITAL MEMORY SYSTEM THAT DYNAMICALLY ADJUSTS REFERENCE VOLTAGE AS A FUNCTION OF TRAFFIC INTENSITY - A digital memory system includes a memory controller having a driver configured for generating a digital signal. A memory module has a receiver in communication with the driver. The driver is configured for selectively directing the digital signal to the receiver of the memory module. A voltage control module is configured for determining a traffic intensity at which the digital signal is directed to the receiver and dynamically adjusting the reference voltage as a function of the traffic intensity at which the digital signal is directed to the receiver. | 07-18-2013 |
20130182571 | NETWORK TRAFFIC DISTRIBUTION - A switch for a switching network includes a plurality of ports for communicating data traffic and a switch controller that controls switching between the plurality of ports. The switch controller selects a forwarding path for the data traffic based on at least topological congestion information for the switching network. In a preferred embodiment, the topological congestion information includes sFlow topological congestion information and the switch controller includes an sFlow client that receives the sFlow topological congestion information from an sFlow controller in the switching network. | 07-18-2013 |
20130183805 | HIGH CAPACITANCE TRENCH CAPACITOR - A dual node dielectric trench capacitor includes a stack of layers formed in a trench. The stack of layers include, from bottom to top, a first conductive layer, a first node dielectric layer, a second conductive layer, a second node dielectric layer, and a third conductive layer. The dual node dielectric trench capacitor includes two back-to-back capacitors, which include a first capacitor and a second capacitor. The first capacitor includes the first conductive layer, the first node dielectric layer, the second conductive layer, and the second capacitor includes the second conductive layer, the second node dielectric layer, and the third conductive layer. The dual node dielectric trench capacitor can provide about twice the capacitance of a trench capacitor employing a single node dielectric layer having a comparable composition and thickness as the first and second node dielectric layers. | 07-18-2013 |
20130183806 | High Density Memory Cells Using Lateral Epitaxy - In a vertical dynamic memory cell, monocrystalline semiconductor material of improved quality is provided for the channel of an access transistor by lateral epitaxial growth over an insulator material (which complements the capacitor dielectric in completely surrounding the storage node except at a contact connection structure, preferably of metal, from the access transistor to the storage node electrode) and etching away a region of the lateral epitaxial growth including a location where crystal lattice dislocations are most likely to occur; both of which features serve to reduce or avoid leakage of charge from the storage node. An isolation structure can be provided in the etched region such that space is provided for connections to various portions of a memory cell array. | 07-18-2013 |
20130183927 | TRANSPORT SYSTEM - Method and system of detecting traffic in a transport network comprising obtaining network usage records from a mobile network. Extracting cell information from the network usage records. Identifying the network usage records originating from moving handsets from the extracted cell information. Matching the identified network usage records with one or more transport routes. Matching each of the one or more transport routes with a transport mode using transport mode map data. | 07-18-2013 |
20130183928 | METHODS OF ANALYSING A CELLULAR NETWORK - There is provided a method of establishing a likelihood of one or more cellular terminal carriers travelling to a predetermined location, using cellular network data. The method comprises the steps of: obtaining network usage records from a cellular network; extracting cell information from the network usage records; establishing terminal carrier routes for cellular terminals that have changed location as determined from the extracted cell information; deriving terminal carrier profile attributes for the terminal carriers that have changed location, based on the established terminal carrier routes; and establishing the likelihood of one or more cellular terminal carriers travelling to the predetermined location, based on the established terminal carrier routes and the derived terminal carrier profile attributes. By combining a terminal carrier route with one or more terminal carrier profile attributes, a more precise and accurate inference of the likelihood of the cellular terminal carrier travelling to a predetermined location, or attending an event, may be obtained. | 07-18-2013 |
20130183996 | METHODS OF ANALYSING A CELLULAR NETWORK - A method of managing a load within a cellular network. The method includes obtaining network usage records from the cellular network; extracting cell information from the network usage records; establishing terminal carrier routes for cellular terminals that have changed location as determined from the extracted cell information; deriving terminal carrier profile attributes for the cellular terminals that have changed location, based on the established terminal carrier routes; determining a load on a resource of the cellular network, based on the established terminal carrier routes and the derived terminal carrier profile attributes; and controlling resources of the cellular network in response to the determined load, so as to manage the load within the cellular network. By combining established terminal carrier routes with derived terminal carrier profile attributes, it is possible to anticipate areas where cellular terminal carriers are likely to be concentrated at specific times during the day and allocate resources accordingly. | 07-18-2013 |
20130184983 | METHOD, APPARATUS AND COMPUTER PROGRAM FOR DETERMINING THE LOCATION OF A USER IN AN AREA - Apparatus for orientating a user in a space wherein the space comprises a plurality of zones of which only certain zones constitute functional zones wherein each functional zone includes a first type device containing information relating to the position of the zone in the space and wherein the first type device is reactive to the presence of a second type device associated with the user to provide the user with the information to determine the orientation of the user in the space. A method of orientating the user within the space and guiding the user toward one or more features in the space is also disclosed. | 07-18-2013 |
20130184992 | METHOD, APPARATUS AND COMPUTER PROGRAM FOR ESTIMATING DRIVER'S PERSONALITY OF ROUTE SELECTION - A method for selecting a route from a departure point to an arrival point includes acquiring information concerning a departure point and an arrival point and information concerning a route from the departure point to the arrival point; generating a plurality of basic routes; calculating a parameter of an evaluation function that yields the selected route as an optimum route; generating a new route using the calculated parameter, determining whether or not the generated new route is identical to the selected route; on a condition that the generated new route is not identical to the selected route, adding the generated new route to the basic routes, recalculating the parameter, generating a new route, and comparing the new route with the selected route; and if the new route is identical to the selected route, storing the parameter when the new data becomes identical to the selected route. | 07-18-2013 |
20130185034 | SIMULATION EXECUTION METHOD, PROGRAM, AND SYSTEM - [Object] To provide a technique for increasing the speed of parallel running of logical processes without sacrificing the accuracy of data update timing in a parallel discrete event simulation system. | 07-18-2013 |
20130185067 | NOISE REDUCTION METHOD. PROGRAM PRODUCT AND APPARATUS - A probability model represented as the product of the probability distribution of a mismatch vector g (or clean speech x) with an observed value y as a factor and the probability distribution of a mismatch vector g (or clean speech x) with a confidence index β for each band as a factor, executes MMSE estimation on the probability model, and estimates a clean speech estimated value x̂. As a result, each band influences the result of MMSE estimation, with a degree of contribution in accordance with the level of its confidence. Further, the higher the S/N ratio of observation speech, the more the output value becomes shifted to the observed value. As a result, the output of a front-end is optimized. | 07-18-2013 |
20130185092 | Dynamically Allocating Business Workflows - Dynamically allocating business workflows, each workflow comprising a reusable component of a business transaction, including: receiving, by a workflow orchestrator, a request for a business transaction; determining, by the workflow orchestrator, a desired result for the business transaction in dependence upon the request; selecting, by the workflow orchestrator, one or more workflows from a set of available workflows in dependence upon the request and the desired result; determining an execution order for the one or more workflows; and executing, by the workflow orchestrator, the one or more selected workflows in the execution order. | 07-18-2013 |
20130185243 | ALERT MANAGEMENT SYSTEM AND METHOD - A system and related method for automating alert decision-making in a computer network are disclosed. The system and method act to receive an alert request from an event management system, and then carries out operations using several sets of inference rules, associating the alert request to a generic conceptual data model of alert request and alert resolution. The generic model is specialized to represent the specific environment being monitored. The alert request is enriched with contextual and network information in order to generate one or several alert resolution actions. | 07-18-2013 |
20130185256 | Controlling the Placement of Data in a Storage System - A method, computer readable storage medium and computer system for controlling the allocation of data to one of a plurality of storage units of a storage system, the method comprising: accessing a source storage unit comprising the data; gathering file system level (FS-level) metadata from the source storage unit; analyzing the gathered FS-level metadata for determining if the data should be moved to one of the other storage units, said other storage unit acting as a destination storage unit; and in case the data should be moved, displaying an indication of the destination storage unit and/or automatically moving the data to the determined destination storage unit. | 07-18-2013 |
20130185269 | REAL-TIME SELECTION OF COMPRESSION OPERATIONS - Exemplary method, system, and computer program product embodiments for real-time selection of compression operations are provided. In one embodiment, by way of example only, available compression operations are initialized according to an assigned success factor. The available compression operations are tested for determining if at least one of the compression operations yields a compression ratio greater than a minimal compression ratio. The available compression operations selected in real time for compressing at least one of the data blocks is applied. Additional system and computer program product embodiments are disclosed and provide related advantages. | 07-18-2013 |
20130185278 | QUERY OPTIMIZATION IN A PARALLEL COMPUTER SYSTEM TO REDUCE NETWORK TRAFFIC - A database query optimizer optimizes a query that uses multiple networks. The query optimizer optimizes a query to reduce network traffic on a network or node that is overloaded or above an established parameter in a node/network attribute table. The query optimization to reduce network traffic may result in a sub-optimal query in other respects such as execution time. The result is a query optimizer that rewrites or optimizes a query to execute on multiple nodes or networks to reduce traffic on a network or node according to the loading characteristics and assigned attributes of a node or network. | 07-18-2013 |
20130185279 | QUERY OPTIMIZATION IN A PARALLEL COMPUTER SYSTEM WITH MULTIPLE NETWORKS - A database query optimizer optimizes a query that uses multiple networks. The database query optimizer optimizes a query that uses multiple networks to satisfy the query by splitting the query execution to use multiple networks. Thus, the query optimizer rewrites or optimizes a query to execute on multiple nodes or networks to more efficiently execute the query and reduce network traffic on a network. The query optimizer uses plan cache statistics to determine whether to use multiple networks to optimize the query. | 07-18-2013 |
20130185282 | CLIENT AND DATABASE PROBLEM DETERMINATION AND MONITORING - Provided are techniques for collecting client information for client applications running on a client computer, wherein the client information includes a client application identifier for each client application that issues queries to a database along with text of each of the queries that the client application issues; collecting database monitoring information that includes text of each query issued against the database and performance information for each query; combining the client information and the database monitoring information based on the text of the queries to obtain combined information that provides the client application identifier and the performance information for each of the queries; and identifying a problem query and at least one client application that has issued the problem query using the combined information. | 07-18-2013 |
20130185283 | QUERY OPTIMIZATION IN A PARALLEL COMPUTER SYSTEM WITH MULTIPLE NETWORKS - A database query optimizer optimizes a query that uses multiple networks. The database query optimizer optimizes a query that uses multiple networks to satisfy the query by splitting the query execution to use multiple networks. Thus, the query optimizer rewrites or optimizes a query to execute on multiple nodes or networks to more efficiently execute the query and reduce network traffic on a network. The query optimizer uses plan cache statistics to determine whether to use multiple networks to optimize the query. | 07-18-2013 |
20130185301 | INSERTING DATA INTO AN IN-MEMORY DISTRIBUTED NODAL DATABASE - A database loader loads data to an in-memory database across multiple nodes in a parallel computing system. The database loader uses SQL flags, historical information gained from monitoring prior query execution times and patterns, and node and network configuration to determine how to effectively cluster data attributes across multiple nodes. The database loader may also allow a system administrator to force placement of database structures in particular nodes. | 07-18-2013 |
20130185302 | INSERTING DATA INTO AN IN-MEMORY DISTRIBUTED NODAL DATABASE - A database loader loads data to an in-memory database across multiple nodes in a parallel computing system. The database loader uses SQL flags, historical information gained from monitoring prior query execution times and patterns, and node and network configuration to determine how to effectively cluster data attributes across multiple nodes. The database loader may also allow a system administrator to force placement of database structures in particular nodes. | 07-18-2013 |
20130185308 | SYSTEM AND METHOD FOR EXTRACTION OF OFF-TOPIC PART FROM CONVERSATION - A system and method extract off-topic parts from a conversation. The system includes a first corpus including documents of a plurality of fields; a second corpus including only documents of a field to which the conversation belongs; a determination means for determination as a lower limit subject word a word for which IDF value for the first corpus and IDF value for the second corpus are each below a first certain threshold value; a score calculation part for calculation as a score a TF-IDF value for each word included in the second corpus; a clipping part, for sequential cutting out of intervals from text data that are contents of the conversation; and an extraction part for extraction as an off-topic part an interval where average value of the score of words included in the clipped interval is larger than a second certain threshold value. | 07-18-2013 |
20130185312 | GENERATING SIMULATED CONTAINMENT REPORTS OF DYNAMICALLY ASSEMBLED COMPONENTS IN A CONTENT MANAGEMENT SYSTEM - A content management system (CMS) uses a simulated containment report generation mechanism to generate a simulated containment report for elements in the repository based on logged properties that represent potential use of the element during dynamic content delivery that are not represented in formal relationships in the content management system. Properties such as probability, popularity, and recent usage that are not explicit relationships between parent documents and child elements are logged and used to determine which document maps may potentially reuse a selected element. In this manner a simulated containment report can be created for an element that allows the user to see the document maps that are most likely to include the element. | 07-18-2013 |
20130185313 | GENERATING SIMULATED CONTAINMENT REPORTS OF DYNAMICALLY ASSEMBLED COMPONENTS IN A CONTENT MANAGEMENT SYSTEM - A content management system (CMS) uses a simulated containment report generation mechanism to generate a simulated containment report for elements in the repository based on logged properties that represent potential use of the element during dynamic content delivery that are not represented in formal relationships in the content management system. Properties such as probability, popularity, and recent usage that are not explicit relationships between parent documents and child elements are logged and used to determine which document maps may potentially reuse a selected element. In this manner a simulated containment report can be created for an element that allows the user to see the document maps that are most likely to include the element. | 07-18-2013 |
20130185338 | EFFICIENT GARBAGE COLLECTION IN A COMPRESSED JOURNAL FILE - A map corresponding to data blocks with overwritten compressed journal entries is configured. Weighted conditions for each of the overwritten compressed journal entries are calculated. The weighted conditions are arranged in the map from lowest to highest. One of the weighted conditions includes a biasing variable towards selecting data blocks having free space at an end of at least one associated record. | 07-18-2013 |
20130185342 | MANAGING GLOBAL CACHE COHERENCY AND ACCESS PERMISSIONS - Systems. Methods, and Computer Program Products are provided managing a global cache coherency and reducing messaging traffic for coordination of access permissions in a distributed shared caching for a clustered file systems (CFS). The CFS manages access permissions to an entire space of the data segments by using the DSM module. In response to processing a request message from a remote DSM module to access one of the data segments, including in a response message permission to access the one of the data segments, and deciding if one of a transfer of ownership, and most recent contents of the one of the data segments should be included in the response message for the permission to access the one of the data segments. The last two components of the response message depend upon availability of the one of the data segments that is requested in a local external cache. | 07-18-2013 |
20130185379 | EFFICIENT STATE TRACKING FOR CLUSTERS - Exemplary method, system, and computer program product embodiments for efficient state tracking for clusters are provided. In one embodiment, by way of example only, in a distributed shared memory architecture, an asynchronous calculation of deltas and the views is performed while concurrently receiving client requests and concurrently tracking the client requests times. The results of the asynchronous calculation may be applied to each of the client requests that are competing for data of the same concurrency during a certain period with currently executing client requests. Additional system and computer program product embodiments are disclosed and provide related advantages. | 07-18-2013 |
20130185407 | AUTONOMIC ASSISTANCE FOR POLICY GENERATION - A system, method and apparatus for autonomically assisting in the creation of an administrative policy. The method can include detecting a stimuli in a system under study and monitoring a response by a systems administrator to the stimuli. The stimuli and the response can be forwarded to a policy maker suited to analyze the stimuli and the response. Also, the policy maker can be queried for a preferred response to the stimuli. Based upon the preferred response to the stimuli, a policy for responding to the stimuli can be formulated. As such, the policy can be enforced in managing the system under study. Moreover, the policy can be forwarded for future consideration to the systems administrator. | 07-18-2013 |
20130185423 | DYNAMIC DISTRIBUTION OF NODES ON A MULTI-NODE COMPUTER SYSTEM - I/O nodes are dynamically distributed on a multi-node computing system. An I/O configuration mechanism located in the service node of a multi-node computer system controls the distribution of the I/O nodes. The I/O configuration mechanism uses job information located in a job record to initially configure the I/O node distribution. The I/O configuration mechanism further monitors the I/O performance of the executing job to then dynamically adjusts the I/O node distribution based on the I/O performance of the executing job. | 07-18-2013 |
20130185439 | CLOUD-BASED CONTENT MANAGEMENT SYSTEM - Methods for providing content management services in a Cloud computing environment. A content management application and associated content is distributed across a set of servers in a Cloud computing environment. Requests for Cloud content management services are received from requesters that are using the Cloud computing environment. The received requests are analyzed to determine an amount of resources needed for responding to the requests. Based on the results of the analysis and a predetermined set of rules, the content management application is dynamically replicated to additional servers within the Cloud computing environment. Any instance of the content management application is capable of replying to any received request so as to maintain a high throughput of the Cloud content management services. | 07-18-2013 |
20130185458 | COMPRESSION BLOCK INPUT/OUTPUT REDUCTION - Exemplary method, system, and computer program product embodiments compression blocks input/output (I/O) reduction are provided. In one embodiment, by way of example only, data blocks are arranged into groups to provide a single I/O. Lists indicating the available block space for the data blocks are organized in advance according to space size. The data blocks required for a single command are allocated as the single I/O. The data blocks are sequentially ordered. Additional system and computer program product embodiments are disclosed and provide related advantages. | 07-18-2013 |
20130185459 | Method and Apparatus for Performing Device Configuration Rediscovery - A data processing system and computer instructions in a data processing system for identifying device configurations. Unique identification information is identified for a set of devices in the data processing system. The identified unique identification information is compared with previously identified unique identification information. Configuration data is moved to a memory for devices in the set of devices in which a match exists between the identified unique identification information and the previously identified unique identification information for devices. Configuration information is obtained from a device in which configuration information is absent in the memory after configuration data has been moved to the memory for the devices to form a current set of configuration data for the set of devices. | 07-18-2013 |
20130185465 | Fencing Direct Memory Access Data Transfers In A Parallel Active Messaging Interface Of A Parallel Computer - Fencing direct memory access (‘DMA’) data transfers in a parallel active messaging interface (‘PAMI’) of a parallel computer, the PAMI including data communications endpoints, each endpoint including specifications of a client, a context, and a task, the endpoints coupled for data communications through the PAMI and through DMA controllers operatively coupled to segments of shared random access memory through which the DMA controllers deliver data communications deterministically, including initiating execution through the PAMI of an ordered sequence of active DMA instructions for DMA data transfers between two endpoints, effecting deterministic DMA data transfers through a DMA controller and a segment of shared memory; and executing through the PAMI, with no FENCE accounting for DMA data transfers, an active FENCE instruction, the FENCE instruction completing execution only after completion of all DMA instructions initiated prior to execution of the FENCE instruction for DMA data transfers between the two endpoints. | 07-18-2013 |
20130185474 | TECHNIQUES USED BY A VIRTUAL MACHINE IN COMMUNICATION WITH AN EXTERNAL MACHINE AND RELATED VIRTUAL MACHINE SYSTEM - A method used by a virtual machine in communication with an external machine includes providing a single sharing page that is shared between a plurality of virtual machines and a particular virtual machine, wherein the particular virtual machine and the plurality of virtual machines run on a same physical machine; writing into the single sharing page a data packet to be sent by the virtual machine to the external machine; scheduling a page swap between the single sharing page and a blank memory page of the particular virtual machine; and sending, to the external machine, the data packet in the memory page of the particular virtual machine subsequent to the page swap. | 07-18-2013 |
20130185497 | MANAGING CACHING OF EXTENTS OF TRACKS IN A FIRST CACHE, SECOND CACHE AND STORAGE - Provided are a computer program product, system, and method for managing caching of extents of tracks in a first cache, second cache and storage device. A determination is made of an eligible track in a first cache eligible for demotion to a second cache, wherein the tracks are stored in extents configured in a storage device, wherein each extent is comprised of a plurality of tracks. A determination is made of an extent including the eligible track and whether second cache caching for the determined extent is enabled or disabled. The eligible track is demoted from the first cache to the second cache in response to determining that the second cache caching for the determined extent is enabled. Selection is made not to demote the eligible track in response to determining that the second cache caching for the determined extent is disabled. | 07-18-2013 |
20130185500 | AUTONOMIC RECLAMATION PROCESSING FOR TAPES - Various embodiments for autonomic reclamation processing for tapes are provided. Instructions are received to perform reclamation processing on the formatted tape. Formatted tape is loaded into a tape drive for buffering active data during reclamation processing and consolidating all of the active data in capacity optimized manner on the same formatted tape. The formatted tape comprises metadata denoting active and inactive data blocks for files. The meta data of the formatted tape is read into a reclamation memory. The table is sorted and a starting block address is sorted. All active files ordered in the table starting at the starting block address are read into the reclamation memory. The files are written from the reclamation memory to the formatted tape from the starting block address and updating the table with new block addresses of the files. The meta data is updated with the updated table. | 07-18-2013 |
20130185502 | DEMOTING PARTIAL TRACKS FROM A FIRST CACHE TO A SECOND CACHE - A determination is made of a track to demote from the first cache to the second cache, wherein the track in the first cache corresponds to a track in the storage system and is comprised of a plurality of sectors. In response to determining that the second cache includes a the stale version of the track being demoted from the first cache, a determination is made as to whether the stale version of the track includes track sectors not included in the track being demoted from the first cache. The sectors from the track demoted from the first cache are combined with sectors from the stale version of the track not included in the track being demoted from the first cache into a new version of the track. The new version of the track is written to the second cache. | 07-18-2013 |
20130185506 | Controlling a Storage System - A method, computer-readable storage medium and computer system for controlling a storage system, the storage system comprising a plurality of logical storage volumes, the method comprising: monitoring, for each of the logical storage volumes, one or more load parameters; receiving, for each of the logical storage volumes, one or more load parameter threshold values; comparing, for each of the logical storage volumes, the first load parameter values of said logical storage volume with the corresponding one or more load parameter threshold values; in case at least one of the first load parameter values of one of the logical storage volumes violates the load parameter threshold value it is compared with, automatically executing a corrective action. | 07-18-2013 |
20130185507 | WRITING ADJACENT TRACKS TO A STRIDE, BASED ON A COMPARISON OF A DESTAGING OF TRACKS TO A DEFRAGMENTATION OF THE STRIDE - Compressed data is maintained in a plurality of strides of a redundant array of independent disks, wherein a stride is configurable to store a plurality of tracks. A request is received to write one or more tracks. The one or more tracks are written to a selected stride of the plurality of strides, based on comparing the number of operations required to destage selected tracks from the selected stride to the number of operations required to defragment the compressed data in the selected stride. | 07-18-2013 |
20130185510 | CACHING SOURCE BLOCKS OF DATA FOR TARGET BLOCKS OF DATA - Provided is a method for processing a read operation for a target block of data. A read operation for the target block of data in target storage is received, wherein the target block of data is in an instant virtual copy relationship with a source block of data in source storage. It is determined that the target block of data in the target storage is not consistent with the source block of data in the source storage. The source block of data is retrieved. The data in the source block of data in the cache is synthesized to make the data appear to be retrieved from the target storage. The target block of data is marked as read from the source storage. In response to the read operation completing, the target block of data that was read from the source storage is demoted. | 07-18-2013 |
20130185512 | MANAGEMENT OF PARTIAL DATA SEGMENTS IN DUAL CACHE SYSTEMS - For movement of partial data segments within a computing storage environment having lower and higher levels of cache by a processor, a whole data segment containing one of the partial data segments is promoted to both the lower and higher levels of cache. Requested data of the whole data segment is split and positioned at a Most Recently Used (MRU) portion of a demotion queue of the higher level of cache. Unrequested data of the whole data segment is split and positioned at a Least Recently Used (LRU) portion of the demotion queue of the higher level of cache. The unrequested data is pinned in place until a write of the whole data segment to the lower level of cache completes. | 07-18-2013 |
20130185514 | CACHE MANAGEMENT OF TRACK REMOVAL IN A CACHE FOR STORAGE - In one embodiment, a cache manager releases a list lock during a scan when a track has been identified as a track for cache removal processing such as demoting the track, for example. By releasing the list lock, other processors have access to the list while the identified track is processed for cache removal. In one aspect, the position of the previous entry in the list may be stored in a cursor or pointer so that the pointer value points to the prior entry in the list. Once the cache removal processing of the identified track is completed, the list lock may be reacquired and the scan may be resumed at the list entry identified by the pointer. Other features and aspects may be realized, depending upon the particular application. | 07-18-2013 |
20130185518 | DETERMINING DATA CONTENTS TO BE LOADED INTO A READ-AHEAD CACHE IN A STORAGE SYSTEM - Read messages are issued by a client for data stored in a storage system of the networked client-server architecture. A client agent mediates between the client and the storage system. Each sequence of read requests generated by a single thread of execution in the client to read a specific data segment in the storage is defined as a client read session. Each read request sent from the client agent to the storage system includes positions and size for reading. A read-ahead cache is maintained for each client read session. The read-ahead cache is partitioned into two buffers. Data is loaded into the logical buffers according to the changes of the positions in the read requests of the client read session and loading of new data into the buffers is triggered by the read requests positions exceeding a position threshold in the data covered by the second logical buffer. | 07-18-2013 |
20130185519 | MANAGING GLOBAL CACHE COHERENCY IN A DISTRIBUTED SHARED CACHING FOR CLUSTERED FILE SYSTEMS - Systems. Methods, and Computer Program Products are provided for managing a global cache coherency in a distributed shared caching for a clustered file systems (CFS). The CFS manages access permissions to an entire space of data segments by using the DSM module. In response to receiving a request to access one of the data segments, a calculation operation is performed for obtaining most recent contents of one of the data segments. The calculation operation performs one of providing the most recent contents via communication with a remote DSM module which obtains the one of the data segments from an associated external cache memory, instructing by the DSM module to read from storage the one of the data segments, and determining that any existing contents of the one of the data segments in the local external cache are the most recent contents. | 07-18-2013 |
20130185528 | RUNTIME DYNAMIC PERFORMANCE SKEW ELIMINATION - For runtime dynamic performance skew elimination in a computer environment, an exemplary computer environment is configured for calculating a rank heats by utilizing a plurality of fine-grained statistics collected at an extent granularity, including considering bandwidth (BW) and input/outputs per second (IOPS) metrics. An adaptive data placement plan is generated to relocate the data. | 07-18-2013 |
20130185529 | AUTOMATED DEPLOYMENT OF SOFTWARE FOR MANAGED HARDWARE IN A STORAGE AREA NETWORK - In one aspect of the present description, a systems manager based upon a common model of information protocol or standard includes automated storage area network (SAN) expansion management which permits additional provider modules to be automatically installed if needed in response to devices being added to the SAN. In addition, the automated SAN expansion management permits installed provider modules to be automatically configured in response to devices being added to the SAN. Still further, in another aspect, the automated SAN expansion management can automatically determine if a suitable host processor exists to host installation of a new provider module and if not, the automated SAN expansion management can automatically deploy a suitable host processor such as a virtual server to host installation of a new provider module. Other features and aspects may be realized, depending upon the particular application. | 07-18-2013 |
20130185534 | SYSTEMS AND METHODS FOR VIRTUALIZING STORAGE SYSTEMS AND MANAGING DATA INDEPENDENTLY - Method, data processing systems, and computer program products are provided for virtualizing and managing a storage virtualization system (SVS) in a storage management architecture. Source data is copied from the source storage media to target data in a target storage media based on a predefined copy policy in a copy mapping table. A relation between the source data and the target data is tracked in a copy mapping table. It is determined if a copy of the requested data exists using the copy mapping table. | 07-18-2013 |
20130185587 | Controlling a Solid State Disk (SSD) Device - A mechanism is provided for controlling a solid state disk. A failure detector detects a failure in the solid state disk. Responsive to failure detector detecting a failure, a status degrader sets a degraded status indicator for the solid state disk. Responsive to the degraded status indicator, a degraded status controller maintains the solid state disk in operation in a degraded operation mode. | 07-18-2013 |
20130185588 | QUERY EXECUTION AND OPTIMIZATION WITH AUTONOMIC ERROR RECOVERY FROM NETWORK FAILURES IN A PARALLEL COMPUTER SYSTEM WITH MULTIPLE NETWORKS - A database query execution monitor determines if a network error or low performance condition exists and then where possible modifies the query. The query execution monitor then determines an alternate query execution plan to continue execution of the query. The query optimizer can re-optimize the query to use a different network or node. Thus, the query execution monitor allows autonomic error recovery for network failures using an alternate query execution. The alternate query execution could also be determined at the initial optimization time and then this alternate plan used to execute a query in the case of a particular network failure. | 07-18-2013 |
20130185595 | Analysis of Tests of Software Programs Based on Classification of Failed Test Cases - A solution is proposed for analyzing a test of a software program comprising a plurality of software components, the test comprising a plurality of test cases each one for exercising a set of corresponding exercised software components. A corresponding method comprises the steps of receiving an indication of each failed test case whose current execution has failed, retrieving a suspicion attribute of each failed test case indicative of a change to the corresponding exercised software components since a previous execution of the failed test case, retrieving a change attribute of each failed test case indicative of a change to the failed test case since the previous execution thereof, retrieving a regression attribute of each failed test case indicative of a regression of the failed test case since the previous execution thereof, and classifying each failed test case into a plurality of disjoint classes according to the corresponding suspicion attribute, change attribute and regression attribute. | 07-18-2013 |
20130185596 | Serialized Error Injection Into a Function Under Test - System, and computer program product embodiments for triggering error injection into a function under test using a serialization resource are provided. A test process invokes the function under test immediately after relinquishing exclusive control of the serialization resource. An error-injection process injects the error into the running function after gaining exclusive control of the serialization resource from the test process. The error-injection process may add a delay to inject the error. If the processes are repeated, the error-injection process may vary the delay, perhaps randomly, over a specified time window to thoroughly exercise the function's error recovery routine. | 07-18-2013 |
20130185597 | SERVER THROTTLED CLIENT DEBUGGING - Systems and methods of debugging client applications may provide for detecting a runtime error in a first version of a client application, and obtaining a second version of the client application server in response to the runtime error. The second version of the client application may be used to conduct a diagnosis of the runtime error. | 07-18-2013 |
20130185604 | FAULT TOLERANT STABILITY CRITICAL EXECUTION CHECKING USING REDUNDANT EXECUTION PIPELINES - A circuit arrangement and method utilize existing redundant execution pipelines in a processing unit to execute multiple instances of stability critical instructions in parallel so that the results of the multiple instances of the instructions can be compared for the purpose of detecting errors. For other types of instructions for which fault tolerant or stability critical execution is not required or desired, the redundant execution pipelines are utilized in a more conventional manner, enabling multiple non-stability critical instructions to be concurrently issued to and executed by the redundant execution pipelines. As such, for non-stability critical program code, the performance benefits of having multiple redundant execution units are preserved, yet in the instances where fault tolerant or stability critical execution is desired for certain program code, the redundant execution units may be repurposed to provide greater assurances as to the fault-free execution of such instructions. | 07-18-2013 |
20130185621 | DOCUMENT RENEWAL AND TRANSLATION - Methods, systems and program products for renewing documents relating to an agreement between two entities. Content from an existing document is extracted, the existing document having been created from component documents. A selection of one or more updated component documents is received. An updated document is then generated based on the extracted content from the received document and the received selection of one or more updated component documents, wherein the updated document defines a revised agreement between the two entities. | 07-18-2013 |
20130185659 | STANDARDIZED VISUAL INDICATORS IN ELECTRONIC MEDIA - Methods and systems for associating a standardized electronic icon with a user and displaying the icon with electronic communications of the user are disclosed. A computer implemented method includes: determining one or more icons to associate with an individual when the individual creates an electronic message; and at least one of selectively and automatically appending at least one of the one or more icons that are associated with the individual to the electronic message. The at least one of the one or more icons is subsequently displayed with the electronic message to a recipient to provide information about the individual to the recipient. | 07-18-2013 |
20130185661 | MONITORING AND DEBUGGING QUERY EXECUTION OBJECTS - Nodes in a query execution data structure have monitor and dump methods defined. The monitor method enables the collection of information from the node. The dump method outputs the monitored information from each node. A monitor and debug mechanism of the preferred embodiments includes a graphical user interface that allows a user to graphically examine a query execution tree, to enable monitoring of the nodes on a node-by-node basis, and to view information dumped from the query execution data structure as the query is executed or after the query is executed. The result is a powerful tool that allows efficiently monitoring and debugging a query implemented in an object oriented query execution data structure. | 07-18-2013 |
20130185672 | Smart Window Creation in a Graphical User Interface - A method for controlling a graphical user interface (GUI) comprises preparing a window for displaying at an intended display location in a window display operation. The location of GUI control elements of the window at the intended display location and the location of a graphical cursor are identified. GUI control element location and the graphical cursor location are compared. The window display operation is modified if the two coincide, for example by moving the window display location so that they do not coincide. | 07-18-2013 |
20130185691 | TASK-BASED MULTI-PROCESS DESIGN SYNTHESIS - A task-based multi-process design synthesis methodology relies on a plurality of child processes to assist a parent process in performing optimizations on an integrated circuit design. Objects from an integrated circuit design are grouped into subsets and assigned to child processes, with each child process performing a transform on each of the objects in the subset assigned to that child process and determining which of the objects in the subset are candidate objects for which performance of the transform has been successful. The child processes then notify the parent process of those objects that qualify as candidate objects, so that the parent process only has to perform the transform on the candidate objects, thereby relieving the parent process from the overhead associated with performing the transform on non-candidate objects for which the transform has been determined by the child processes as not being successful. | 07-18-2013 |
20130185693 | WORK PACKET ENABLED ACTIVE PROJECT MANAGEMENT SCHEDULE - A method for managing projects in a software factory is presented. A project management tool includes an end-to-end project plan for a project to create a software product by using a software factory in a global delivery network. A status block is appended to a work packet that is utilized when executing the project. After initiating the project, an alert is automatically triggered whenever the execution status of the work packet changes. The alert is transmitted to the project management tool to update a project schedule for the project, such that a completion status of the end-to-end project plan reflects a status of a project schedule for the project described by the end-to-end project plan. | 07-18-2013 |
20130185704 | PROVIDING PERFORMANCE TUNED VERSIONS OF COMPILED CODE TO A CPU IN A SYSTEM OF HETEROGENEOUS CORES - A compiler may optimize source code and any referenced libraries to execute on a plurality of different processor architecture implementations. For example, if a compute node has three different types of processors with three different architecture implementations, the compiler may compile the source code and generate three versions of object code where each version is optimized for one of the three different processor types. After compiling the source code, the resultant executable code may contain the necessary information for selecting between the three versions. For example, when a program loader assigns the executable code to the processor, the system determines the processor's type and ensures only the optimized version that corresponds to that type is executed. Thus, the operating system is free to assign the executable code to any processor based on, for example, the current status of the processor (i.e., whether its CPU is being fully utilized) and still enjoy the benefits of executing code that is optimized for whichever processor is assigned the executable code. | 07-18-2013 |
20130185714 | INSTALLING SOFTWARE ONTO A CLIENT THROUGH A NETWORK, AND CORRESPONDING CLIENT - The present invention relates to a method and system for installing software onto a client in the NIM environment and corresponding client. Said method includes: initializing said client, wherein a virtual mapping device associated with a memory driver of the client is created, the virtual mapping device for scheduling between the client's memory driver and the remote NIM server with respect to the I/O operation for running the software so as to direct the I/O operation for running said software to the client's memory driver or the remote NIM server; running said software on the client; acquiring the resources desired for running software; and conducting data migration operation from the NIM server to the client while running said software, wherein the migrated data is the resource data obtained from NIM server and desired for installing said software; and the software installation being completed when all the data desired for installing said software are migrated to the memory driver of the client. It is unnecessary for the present invention to copy all the installation images to the local client before installing software, therefore time delay of installing OSs or application programs can be shortened or even eliminated. | 07-18-2013 |
20130185730 | MANAGING RESOURCES FOR MAINTENANCE TASKS IN COMPUTING SYSTEMS - Methods for managing resources for maintenance tasks in computing systems are provided. One system includes a controller and memory coupled to the controller, the memory configured to store a module. The controller, when executing the module, is configured to determine an amount of available resources for use by a plurality of maintenance tasks in a computing system and divide the available resources between the plurality of maintenance tasks based on a need for each maintenance task. One method includes determining, by a central controller, an amount of available resources for use by a plurality of maintenance tasks in a computing system and dividing the available resources between the plurality of maintenance tasks based on a need for each maintenance task. Computer storage mediums including a computer program product method for managing resources for maintenance tasks in computing systems are also provided. | 07-18-2013 |
20130185731 | DYNAMIC DISTRIBUTION OF NODES ON A MULTI-NODE COMPUTER SYSTEM - I/O nodes are dynamically distributed on a multi-node computing system. An I/O configuration mechanism located in the service node of a multi-node computer system controls the distribution of the I/O nodes. The I/O configuration mechanism uses job information located in a job record to initially configure the I/O node distribution. The I/O configuration mechanism further monitors the I/O performance of the executing job to then dynamically adjusts the I/O node distribution based on the I/O performance of the executing job. | 07-18-2013 |
20130185732 | PROVIDING BY ONE PROGRAM TO ANOTHER PROGRAM ACCESS TO A WARNING TRACK FACILITY - A program (e.g., an operating system) is provided a warning that it has a grace period in which to perform a function, such as cleanup (e.g., complete, stop and/or move a dispatchable unit). The program is being warned, in one example, that it is losing access to its shared resources. For instance, in a virtual environment, a guest program is warned that it is about to lose its central processing unit resources, and therefore, it is to perform a function, such as cleanup. | 07-18-2013 |
20130185738 | USE OF A WARNING TRACK INTERRUPTION FACILITY BY A PROGRAM - A program (e.g., an operating system) is provided a warning that it has a grace period in which to perform a function, such as cleanup (e.g., complete, stop and/or move a dispatchable unit). The program is being warned, in one example, that it is losing access to its shared resources. For instance, in a virtual environment, a guest program is warned that it is about to lose its central processing unit resources, and therefore, it is to perform a function, such as cleanup. | 07-18-2013 |
20130185739 | WARNING TRACK INTERRUPTION FACILITY - A program (e.g., an operating system) is provided a warning that it has a grace period in which to perform a function, such as cleanup (e.g., complete, stop and/or move a dispatchable unit). The program is being warned, in one example, that it is losing access to its shared resources. For instance, in a virtual environment, a guest program is warned that it is about to lose its central processing unit resources, and therefore, it is to perform a function, such as cleanup. | 07-18-2013 |
20130185796 | METHOD AND APPARATUS FOR SECURE AND RELIABLE COMPUTING - In one embodiment, the invention is a method and apparatus for secure and reliable computing. One embodiment of an end-to-end security system for protecting a computing system includes a processor interface coupled to at least one of an application processor and an accelerator of the computing system, for receiving requests from the at least one of the application processor and the accelerator, a security processor integrating at least one embedded storage unit and connected to the processor interface with a tightly coupled memory unit for performing at least one of: authenticating, managing, monitoring, and processing the requests, and a data interface for communicating with a display, a network, and at least one embedded storage unit for securely holding at least one of data and programs used by the at least one of the application processor and the accelerator. | 07-18-2013 |
20130185814 | TECHNIQUES FOR PRESENTING AND COLLECTING END USER LICENSE AGREEMENT ACCEPTANCE - Techniques are disclosed for presenting and collecting end user license agreement acceptance for software applications or firmware components executed on a computing appliance. A sentry component allows only certain commands to be executed before the relevant end user license agreements are accepted, e.g., commands to configure a network interface and web server on the appliance executed on a shell over a serial interface. Once configured the web server is used to provide a rich interface for presenting end user license agreements and obtaining acceptance thereof. Once the user accepts the terms of the relevant license agreements, then the appliance is made active and all configuration commands become operational, including commands needed to configure the device and start services which would otherwise be prohibited by the sentry component prior to license acceptance. | 07-18-2013 |
20130186612 | APPARATUS AND METHOD FOR ADJUSTING COOLANT FLOW RESISTANCE THROUGH LIQUID-COOLED ELECTRONICS RACK(S) - A method is presented for adjusting coolant flow resistance through one or more liquid-cooled electronics racks. Flow restrictors are employed in association with multiple heat exchange tube sections of a heat exchange assembly, or in association with a plurality of coolant supply lines or coolant return lines feeding multiple heat exchange assemblies. Flow restrictors associated with respective heat exchange tube sections (or respective heat exchange assemblies) are disposed at the coolant channel inlet or coolant channel outlet of the tube sections (or of the heat exchange assemblies). These flow restrictors tailor coolant flow resistance through the heat exchange tube sections or through the heat exchange assemblies to enhance overall heat transfer within the tube sections or across heat exchange assemblies by tailoring coolant flow. In one embodiment, the flow restrictors tailor a coolant flow distribution differential across multiple heat exchange tube sections or across multiple heat exchange assemblies. | 07-25-2013 |
20130187129 | SEMICONDUCTOR DEVICES FABRICATED BY DOPED MATERIAL LAYER AS DOPANT SOURCE - A method of forming a semiconductor device is provided, in which the dopant for the source and drain regions is introduced from a doped dielectric layer. In one example, a gate structure is formed on a semiconductor layer of an SOI substrate, in which the thickness of the semiconductor layer is less than 10 nm. A doped dielectric layer is formed over at least the portion of the semiconductor layer that is adjacent to the gate structure. The dopant from the doped dielectric layer is driven into the portion of the semiconductor layer that is adjacent to the gate structure. The dopant diffused into the semiconductor provides source and drain extension regions. | 07-25-2013 |
20130187234 | STRUCTURE AND METHOD FOR STRESS LATCHING IN NON-PLANAR SEMICONDUCTOR DEVICES - Techniques are discloses to apply an external stress onto the source/drain semiconductor fin sidewall areas and latch the same onto the semiconductor fin before releasing the sidewalls for subsequent salicidation and contact formation. In particular, selected portions of a semiconductor are subjected to an amorphizing ion implantation which disorients the crystal structure of the selected portions of the semiconductor fins, relative to portions of the semiconductor fin that is beneath a gate stack and encapsulated with various liners. At least one stress liner is formed and then stress memorization occurs by performing a stress latching annealing. During this anneal, recrystallization of the disoriented crystal structure occurs. The at least one stress liner is removed and thereafter merging of the semiconductor fins in the source/drain regions is performed. | 07-25-2013 |
20130187239 | STRUCTURE AND METHOD OF Tinv SCALING FOR HIGH k METAL GATE TECHNOLOGY - A complementary metal oxide semiconductor structure including a scaled nFET and a scaled pFET which do not exhibit an increased threshold voltage and reduced mobility during operation is provided. The method includes forming a plasma nitrided, nFET threshold voltage adjusted high k gate dielectric layer portion within an nFET gate stack, and forming at least a pFET threshold voltage adjusted high k gate dielectric layer portion within a pFET gate stack. The pFET threshold voltage adjusted high k gate dielectric layer portion in the pFET gate stack can also be plasma nitrided. The plasma nitrided, nFET threshold voltage adjusted high k gate dielectric layer portion contains up to 15 atomic % N | 07-25-2013 |
20130187243 | METHOD, STRUCTURE AND DESIGN STRUCTURE FOR CUSTOMIZING HISTORY EFFECTS OF SOI CIRCUITS - A design structure is embodied in a machine readable medium for designing, manufacturing, or testing a design. The design structure includes a high-leakage dielectric formed over an active region of a FET and a low-leakage dielectric formed on the active region and adjacent the high-leakage dielectric. The low-leakage dielectric has a lower leakage than the high-leakage dielectric. Also provided is a structure and method of fabricating the structure. | 07-25-2013 |
20130187244 | PROGRAMMABLE FETs USING Vt-SHIFT EFFECT AND METHODS OF MANUFACTURE - Programmable field effect transistors (FETs) are provided using high-k dielectric metal gate Vt shift effect and methods of manufacturing the same. The method of controlling Vt shift in a high-k dielectric metal gate structure includes applying a current to a gate contact of the high-k dielectric metal gate structure to raise a temperature of a metal forming a gate stack. The temperature is raised beyond a Vt shift temperature threshold for providing an on-state. | 07-25-2013 |
20130187249 | STRUCTURES AND DESIGN STRUCTURES FOR IMPROVED ADHESION OF PROTECTIVE LAYERS OF IMAGER MICROLENS STRUCTURES - Structures and design structures for improved adhesion of protective layers of imager microlens structures are disclosed. A method of fabricating a semiconductor structure includes forming an interfacial region between a microlens and a protective oxide layer. The interfacial region has a lower concentration of oxygen than the protective oxide layer. | 07-25-2013 |
20130187253 | HIGH DENSITY MULTI-ELECTRODE ARRAY - A high density micro-electrode array includes a transistor layer including a plurality of access transistors and a substrate in operable communication with the transistor layer including, wherein at least a portion of the substrate includes a plurality of trenches. The system includes a plurality of electrodes at least partially located in the plurality of trenches, wherein each of the plurality of electrodes is connected to at least one of the plurality of access transistors and wherein each of the electrodes is separated by a distance less than approximately one microns. | 07-25-2013 |
20130187841 | THREE DIMENSIONAL LCD MONITOR DISPLAY - Creating a three dimensional (3D) image on a liquid crystal display (LCD) including providing a light source, a pixel matrix, and a plurality of electrodes for applying a voltage to the pixel matrix. The pixel matrix includes a plurality of first pixels having a first polarization and a plurality of second pixels having a second polarization. A first light is emitted from the light source, and first voltage is applied to at least one of the plurality of first pixels. A second light is emitted from the second light source and a second voltage is applied to at least one of the plurality of second pixels. | 07-25-2013 |
20130188102 | THREE DIMENSIONAL IMAGE PROJECTOR WITH SINGLE MODULATOR - A method of projecting a three-dimensional image is provided. The method includes providing a first light source, the first light source emitting light at a first polarization. A second light source is provided opposite the first light source, the second light source emitting light at a second polarization. A liquid crystal on silicone (LCoS) image device is provided. A beam splitter device is provided between the first light source and the second light source adjacent the LCoS image device. The beam splitter device is rotated. A first light is emitted from the first light source. The first light is reflected with the beam splitter device onto the LCoS image device. A second light is emitted from the second light source after the first light is emitted. The second light is reflected with the beam splitter device onto the LCoS image device. | 07-25-2013 |
20130188146 | THREE DIMENSIONAL IMAGE PROJECTOR - A method of projecting an image is provided. The method includes the step of providing a first light source, the first light source emitting light at a first polarization. A second light source is provided adjacent the first light source, the second light source emitting light at a second polarization. A digital mirror device is provided (DMD), the DMD having a first axis. A mirror is provided optically disposed between the first light source, the second light source and the DMD, the mirror being adjacent the DMD. A first light is emitted from the first light source. The first light is reflected with the mirror onto the DMD. A second light is emitted from the second light source after the first light is emitted. The second light is reflected with the mirror onto the DMD. | 07-25-2013 |
20130188151 | THREE DIMENSIONAL IMAGE PROJECTOR WITH CIRCULAR LIGHT POLARIZATION - A method is provided that includes providing a light source having a light emitting diode (LED) that emits a light. A polarization conversion system (PCS) is located adjacent the LED and has both a linear polarizer and a wave plate. A polarizing beam splitter (PBS) is adjacent the light source and an imaging device is adjacent the PBS. The wave plate of the PCS is rotated to a first position, and a first light is emitted by the LED in the first light source. This first light is converted to circular polarization. This first light travels to the PBS where it is reflected onto the imaging device. The wave plate is then rotated to a second position, and a second light is emitted by the light source. This second light is converted to circular polarization and reflects from the PBS onto the imaging device. | 07-25-2013 |
20130188152 | THREE DIMENSIONAL IMAGE PROJECTOR WITH TWO COLOR IMAGING - A method for projecting a three-dimensional image, that includes providing a first light source and a second light source. A polarizing beam splitter (PBS) is disposed adjacent the first light source and the second light source. An imaging device is adjacent the PBS. A polarization flipping element is disposed adjacent the PBS opposite the imaging device, and a mirror is disposed adjacent the polarization flipping element. A first light is emitted from the first light source. The first light is polarized such that it reflects through the PBS to a polarization flipping element. The first light passes through the polarization flipping element twice such that it will reach the surface of the imaging device. The second light is emitted from the second light source after the first light is emitted. The second light is polarized such that the light passes through the PBS to the imaging device. | 07-25-2013 |
20130188153 | THREE DIMENSIONAL IMAGE PROJECTOR - A method is provided for projecting a three-dimensional image. The system includes a first light source, the first light source emitting light in a first direction and a second light source emitting light in a second direction. A beam splitter device is disposed adjacent each light source and an imaging device is disposed adjacent the beam splitter device. Light from the first light source and the second light source travel a common optical path to a projector lens assembly. | 07-25-2013 |
20130188157 | THREE DIMENSIONAL IMAGE PROJECTOR STABILIZATION CIRCUIT - A method for providing a feedback circuit for a three dimensional projector. First and second input devices and a sensor for determining the rotational speed of the second input device are provided. A control device for controlling the rotational speed of the second input device and a phase locked loop (PLL) are provided. A phase reference signal is created based on the signal rate of the first input device. A phase signal is created based on the rotational speed of the second input device. The PLL compares the phase reference signal and the phase feedback signal to determine whether the first input device and the second input device are synchronized. A signal is sent to the control device for the second input device to change the rotational speed of the second input device in response to determining that the first input device and the second input device are not synchronized. | 07-25-2013 |
20130188316 | FLUID COOLING SYSTEM AND ASSOCIATED FITTING ASSEMBLY FOR ELECTRONIC COMPONENT - A fluid cooling system and associated fitting assembly for an electronic component such as a multi-processor computer offer easy and reliable connect and disconnect operations while doing so in a minimum amount of available space without damaging associated components of an electronic device, computer or cooling system. One exemplary fitting assembly includes a manifold mount with a port that is in fluid communication with a manifold tube. A fitting is sized and configured to mate with the port and is in fluid communication with associated cooling tubes of a cold plate. A latch is pivotally mounted to the manifold mount for movement to and between a first position in which the latch secures the fitting to the manifold mount and a second position in which the fitting is capable of being disconnected from the manifold mount. | 07-25-2013 |
20130188640 | MULTICAST MISS NOTIFICATION FOR A DISTRIBUTED NETWORK SWITCH - Techniques are provided for multicast miss notification for a distributed network switch. In one embodiment, a bridge element in the distributed network switch receives a frame destined for a multicast group on a network. If a local multicast forwarding table of the bridge element does not include any forwarding entry for the multicast group, a forwarding entry is selected from the local multicast forwarding table as a candidate for being replaced. An indication of the candidate is sent to a management controller in the distributed network switch. | 07-25-2013 |
20130188656 | Communicating Control Information for a Data Communications Link Via a Line Being Calibrated - Control information for controlling a parallel data link is communicated on a line being calibrated. Preferably, the parallel data link includes a redundant line. The redundant line permits one line to be calibrated while the others carry functional data, a switching mechanism enabling each line to be selected in turn for calibration. The control information preferably includes information for coordinating calibration activity. Preferably, the link is bi-directional, having a separate redundant line in each direction, enabling a bi-directional handshaking protocol to be used for communicating control information. Preferably, the lines selected for calibration are time-multiplexed to carry calibration patterns and control information at different time intervals. | 07-25-2013 |
20130189813 | COMPUTER READABLE MEDIUM ENCODED WITH A PROGRAM FOR FABRICATING A 3D INTEGRATED CIRCUIT STRUCTURE - A computer readable medium encoded with a program for fabricating a 3D integrated circuit structure is provided. The program includes instructions for performing the following process. A first active circuitry layer wafer that includes active circuitry is provided, and a first portion of the first active circuitry layer wafer is removed such that a second portion of the first active circuitry layer wafer remains. Another wafer that includes active circuitry is provided, and the other wafer is bonded to the second portion of the first active circuitry layer wafer. | 07-25-2013 |
20130189818 | TRENCH ISOLATION AND METHOD OF FABRICATING TRENCH ISOLATION - Trench isolation structure and method of forming trench isolation structures. The structures includes a trench in a silicon region of a substrate, the trench extending from a top surface of the substrate into the silicon region; an ion implantation stopping layer over sidewalls of the trench; a dielectric fill material filling remaining space in the trench, the dielectric fill material not including any materials found in the stopping layer; an N-type dopant species in a first region of the silicon region on a first side of the trench; the N-type dopant species in a first region of the dielectric material adjacent to the first side of the trench; a P-type dopant species in a second region of the silicon region on a second side of the trench; and the P-type dopant species in a second region of the dielectric material adjacent to the second side of the trench. | 07-25-2013 |
20130189824 | VOLTAGE SENSITIVE RESISTOR (VSR) READ ONLY MEMORY - A method to form a voltage sensitive resistor (VSR) read only memory (ROM) device on a semiconductor substrate having a semiconductor device including depositing by chemical vapor deposition (CVD) a titanium nitride layer having residual titanium-carbon bonding such that the VSR is resistive as formed and can become less resistive by at least an order of 10 | 07-25-2013 |
20130189826 | Reduced Corner Leakage in SOI Structure and Method - A structural alternative to retro doping to reduce transistor leakage is provided by providing a liner in a trench, undercutting a conduction channel region in an active semiconductor layer, etching a side, corner and/or bottom of the conduction channel where the undercut exposes semiconductor material in the active layer and replacing the removed portion of the conduction channel with insulator. This shaping of the conduction channel increases the distance to adjacent circuit elements which, if charged, could otherwise induce a voltage and cause a change in back-channel threshold in regions of the conduction channel and narrows and reduces cross-sectional area of the channel where the conduction in the channel is not well-controlled; both of which effects significantly reduce leakage of the transistor. | 07-25-2013 |
20130189827 | THROUGH WAFER VIAS AND METHOD OF MAKING SAME - A method of forming and structure for through wafer vias and signal transmission lines formed of through wafer vias. The structure includes, a semiconductor substrate having a top surface and an opposite bottom surface; and an array of through wafer vias comprising at least one electrically conductive through wafer via and at least one electrically non-conductive through wafer via, each through wafer via of the array of through wafer vias extending from the top surface of to the bottom surface of the substrate, the at least one electrically conductive via electrically isolated from the substrate. | 07-25-2013 |
20130189834 | SELF-ALIGNED CONTACTS FOR HIGH k/METAL GATE PROCESS FLOW - A semiconductor structure is provided that includes a semiconductor substrate having a plurality of gate stacks located thereon. Each gate stack includes a high k gate dielectric layer, a work function metal layer and a conductive metal. A spacer is located on sidewalls of each gate stack and a self-aligned dielectric liner is present on an upper surface of each spacer. A bottom surface of each self-aligned dielectric liner is present on an upper surface of a semiconductor metal alloy. A contact metal is located between neighboring gate stacks and is separated from each gate stack by the self-aligned dielectric liner. The structure also includes another contact metal having a portion that is located on and in direct contact with an upper surface of the contact metal and another portion that is located on and in direct contact with the conductive metal of one of the gate stacks. | 07-25-2013 |
20130189836 | PHOTO-PATTERNABLE DIELECTRIC MATERIALS CURABLE TO POROUS DIELECTRIC MATERIALS, FORMULATIONS, PRECURSORS AND METHODS OF USE THEREOF - Silsesquioxane polymers that cure to porous silsesquioxane polymers, silsesquioxane polymers that cure to porous silsesquioxane polymers in negative tone photo-patternable dielectric formulations, methods of forming structures using negative tone photo-patternable dielectric formulations containing silsesquioxane polymers that cure to porous silsesquioxane polymers, structures containing porous silsesquioxane polymers and monomers and method of preparing monomers for silsesquioxane polymers that cure to porous silsesquioxane polymers. | 07-25-2013 |
20130190910 | DIGITAL MEDIA USAGE IN RESPONSE TO IMPACT DATA - Aspects provide digital media content as a function of embedded environmental impact data. When a digital multimedia item is received, an embedded environmental impact value is decoded and the item is utilized as a function of the decoded embedded environmental impact value. Some aspects account for amounts and types of energy used in creating the item to calculate an environmental impact value for the item, and the calculated environmental impact value is embedded within digital data of the item. | 07-25-2013 |
20130190930 | Energy Saving Control for Data Center - A data center includes at least one rack containing electronic devices, a data center air conditioning system (DCAC), and an environmental parameter monitoring system. At least one set of eligible environmental parameters is determined that satisfies the cooling demand of the at least one rack containing electronic devices. According to the at least one set of eligible environmental parameters and corresponding relationships between sets of setting parameters of the DCAC and corresponding sets of environmental parameters determined by an artificial neural network, plural sets of setting parameters of the DCAC are determined A power consumption of the DCAC to which each set of setting parameters in the plural sets of setting parameters corresponds is obtained. A set of setting parameters for which the corresponding power consumption satisfies a predetermined condition for energy saving is selected and us to set the DCAC. | 07-25-2013 |
20130191096 | FLUID DISTRIBUTION METHOD FACILITATING COOLING OF ELECTRONICS RACK(S) AND SIMULATING HEATED AIRFLOW EXHAUST OF ELECTRONICS RACK(S) - Apparatus and method are provided for facilitating simulation of heated airflow exhaust of an electronics subsystem, electronics rack or row of electronics racks. The apparatus includes a thermal simulator, which includes an air-moving device and a fluid-to-air heat exchanger. The air-moving device establishes airflow from an air inlet to air outlet side of the thermal simulator tailored to correlate to heated airflow exhaust of the electronics subsystem, rack or row of racks being simulated. The fluid-to-air heat exchanger heats airflow through the thermal simulator, with temperature of airflow exhausting from the simulator being tailored to correlate to temperature of the heated airflow exhaust of the electronics subsystem, rack or row of racks being simulated. The apparatus further includes a fluid distribution apparatus, which includes a fluid distribution unit disposed separate from the fluid simulator and providing hot fluid to the fluid-to-air heat exchanger of the thermal simulator. | 07-25-2013 |
20130191129 | Information Processing Device, Large Vocabulary Continuous Speech Recognition Method, and Program - System and method for performing speech recognition using acoustic invariant structure for large vocabulary continuous speech. An information processing device receives sound as input and performs speech recognition. The information processing device includes: a speech recognition processing unit for outputting a speech recognition score, a structure score calculation unit for calculation of a structure score that is a score that, with respect for each hypothesis concerning all phoneme pairs comprising the hypothesis, is found by applying phoneme pair-by-pair weighting to phoneme pair inter-distribution distance likelihood and then performing summation, and a ranking unit for ranking the multiple hypotheses based on a sum value of speech recognition score and structure score. | 07-25-2013 |
20130191186 | SYSTEM, METHOD AND COMPUTER PROGRAM FOR CAPTURING RELATIONSHIPS BETWEEN BUSINESS OUTCOMES, PERSONS AND TECHNICAL ASSETS - A method includes building a library of service value maps (SVMs) each including a multi-layered hierarchical arrangement of elements with causal links between at least some elements of a particular layer and at least some elements of next higher layer. Each SVM includes at a topmost layer at least one desired outcome for an entity associated with the SVM, at a next lower layer capabilities that support the at least one desired outcome and, for each identified capability, at a next lower layer organization solution assets that support the capabilities. The identified solution assets and components are mapped to infrastructure elements in a lower-most layer. The method further includes assigning weights to the links between elements of a particular layer and elements of a next higher layer, where each weight has a value to indicate a contribution of an associated element to a linked-to element in the next higher layer. | 07-25-2013 |
20130191187 | SYSTEM, METHOD AND COMPUTER PROGRAM FOR IDENTIFYING VALUE AGGREGATION POINTS FROM A SET OF SERVICE VALUE MAPS - A method provides a set of service value maps (SVMs) each having a plurality of nodes and linkages between nodes; forming a network model based on the set of SVMs and analyzing the network model to compute aggregate values for the nodes to enable an identification of a node that matches at least one criterion. Analyzing can include using a degree centrality process where a value for each node is defined as a number of outgoing edges from the node, or an eigenvalue centrality process where a value of a node is proportional to a value of those nodes that the node is connected to. Each SVM can be represented as a directed acyclic graph (DAG) where each edge between nodes is an edge in the DAG. The at least one criterion can include a highest valued node identifying a value aggregation point (VAP) of the set of SVMs. | 07-25-2013 |
20130191330 | REDUCING CONTENTION AND MESSAGING TRAFFIC IN A DISTRIBUTED SHARED CACHING FOR CLUSTERED FILE SYSTEMS - Systems. Methods, and Computer Program Products are provided managing global cache coherency and reducing contention and messaging traffic in a distributed shared caching for a clustered file systems (CFS). The CFS manages access to data segment space of the data segments shared between a cluster of nodes by using the file access component. A CFS disk space is partitioned into regions and disk objects are partitioned into groups. Each of the regions and the groups are assigned to a CFS agent residing on each one of the cluster of nodes. | 07-25-2013 |
20130191344 | ENSURING PARTITIONED DATASET EXTENDED (PDSE) CRITICAL DATASET REDUNDANCY (CDR) - In one embodiment a method for providing recoverability to a system includes: protecting a critical PDSE by creating a copy of the critical PDSE, providing a CDR task with exclusive access to the PDSE copy, initiating a transaction queue for tracking updates to the critical PDSE, adding any updates performed to the critical PDSE to the transaction queue, updating the PDSE copy according to the transaction queue, quiescing the critical PDSE, corralling open connections to the critical PDSE, completing each update resident in the transaction queue to the PDSE copy up to a last known uncorrupted state of the critical PDSE, providing a replacement critical PDSE by redirecting the corralled connections to the PDSE copy, protecting the replacement critical PDSE by creating a copy of the replacement critical PDSE, referred to as a replacement PDSE copy, and providing the CDR task with exclusive access to the replacement PDSE copy. | 07-25-2013 |
20130191352 | DYNAMIC PARTIAL UNCOMPRESSION OF A DATABASE TABLE - A database dynamic partial uncompression mechanism determines when to dynamically uncompress one or more compressed portions of a database table that also includes uncompressed portions. A query may include an express term that specifies whether or not to skip compressed portions. In addition, a query may include associated information that specifies whether or not to skip compressed portions, and one or more thresholds that may be used to determine if the system is too busy to perform uncompression. A display mechanism may also determine whether or not to display compressed portions. The uncompression may occur at the database server or at a client. The database dynamic partial uncompression mechanism thus performs dynamic uncompression in a way that preferably uncompresses one or more compressed portions of a partially compressed database table only when the compressed portions satisfy a query and/or need to be displayed. | 07-25-2013 |
20130191353 | DYNAMIC PARTIAL UNCOMPRESSION OF A DATABASE TABLE - A database dynamic partial uncompression mechanism determines when to dynamically uncompress one or more compressed portions of a database table that also includes uncompressed portions. A query may include an express term that specifies whether or not to skip compressed portions. In addition, a query may include associated information that specifies whether or not to skip compressed portions, and one or more thresholds that may be used to determine if the system is too busy to perform uncompression. A display mechanism may also determine whether or not to display compressed portions. The uncompression may occur at the database server or at a client. The database dynamic partial uncompression mechanism thus performs dynamic uncompression in a way that preferably uncompresses one or more compressed portions of a partially compressed database table only when the compressed portions satisfy a query and/or need to be displayed. | 07-25-2013 |
20130191356 | AUTONOMIC GENERATION OF DOCUMENT STRUCTURE IN A CONTENT MANAGEMENT SYSTEM - A content management system (CMS) autonomically generates structure for a document when a synchronization rule references structure that does not exist in the document. A dynamic structure policy specifies at least one criterion that determines if and how the structure is autonomically generated. By autonomically generating structure in a document, a CMS administrator or CMS user (such as the author) is relieved of the manual task of generating the structure before the synchronization rule can be successfully processed. Once dynamically generated, the added structure may be auto-populated with dummy data or with default data specified in the autonomic structure policy. | 07-25-2013 |
20130191369 | QUERY OPTIMIZATION IN A PARALLEL COMPUTER SYSTEM TO REDUCE NETWORK TRAFFIC - A database query optimizer optimizes a query that uses multiple networks. The query optimizer optimizes a query to reduce network traffic on a network or node that is overloaded or above an established parameter in a node/network attribute table. The query optimization to reduce network traffic may result in a sub-optimal query in other respects such as execution time. The result is a query optimizer that rewrites or optimizes a query to execute on multiple nodes or networks to reduce traffic on a network or node according to the loading characteristics and assigned attributes of a node or network. | 07-25-2013 |
20130191400 | HYBRID AND ITERATIVE KEYWORD AND CATEGORY SEARCH TECHNIQUE - Provided are techniques for providing recommendations to improve a query. A query with query keywords and selected categories is received. In response to determining that the selected categories are ranked high with reference to query relevance indicator values for each of the selected categories, a query relevance indicator of the query is calculated with each subcategory using keyword relevance indicators, each subcategory is ranked based on the query relevance indicators, and the ranked subcategories are provided for use in selecting new categories to be submitted with the query. | 07-25-2013 |
20130191405 | LOCATING ELECTRONIC DOCUMENTS - The present disclosure relates to processing computer documents. According to one aspect, a method for locating an electronic document may include acquiring a source document of a target document to be located, wherein the target document has a sending-receiving relation with the source document. Metadata information of the source document may be extracted. The extracted metadata information may be packaged to generate a link to the source document, the link being used for locating the target document. According to an example method and the system, it may be possible to directly and automatically locate the target document having a sending-receiving relation with a source document based on a link to the source document containing metadata information without manually analyzing and extracting the related information on the source document or changing the existing access right to the source document and the target document. | 07-25-2013 |
20130191411 | MONITORING AND DEBUGGING QUERY EXECUTION OBJECTS - Nodes in a query execution data structure have monitor and dump methods defined. The monitor method enables the collection of information from the node. The dump method outputs the monitored information from each node. A monitor and debug mechanism of the preferred embodiments includes a graphical user interface that allows a user to graphically examine a query execution tree, to enable monitoring of the nodes on a node-by-node basis, and to view information dumped from the query execution data structure as the query is executed or after the query is executed. The result is a powerful tool that allows efficiently monitoring and debugging a query implemented in an object oriented query execution data structure. | 07-25-2013 |
20130191420 | AUTONOMIC GENERATION OF DOCUMENT STRUCTURE IN A CONTENT MANAGEMENT SYSTEM - A content management system (CMS) autonomically generates structure for a document when a synchronization rule references structure that does not exist in the document. A dynamic structure policy specifies at least one criterion that determines if and how the structure is autonomically generated. By autonomically generating structure in a document, a CMS administrator or CMS user (such as the author) is relieved of the manual task of generating the structure before the synchronization rule can be successfully processed. Once dynamically generated, the added structure may be auto-populated with dummy data or with default data specified in the autonomic structure policy. | 07-25-2013 |
20130191432 | DYNAMIC RANGE ADJUSTING FLOATING POINT EXECUTION UNIT - A floating point execution unit is capable of selectively repurposing a subset of the significand bits in a floating point value for use as additional exponent bits to dynamically provide an extended range for floating point calculations. A significand field of a floating point operand may be considered to include first and second portions, with the first portion capable of being concatenated with the second portion to represent the significand for a floating point value, or, to provide an extended range, being concatenated with the exponent field of the floating point operand to represent the exponent for a floating point value. | 07-25-2013 |
20130191444 | ENVIRONMENT AWARE BUSINESS DELEGATES - A method, system and apparatus for an environment aware business delegate. A method for delegating an invoked action in an enterprise application can include determining an environment from which the action has been invoked and selecting a behavior for use which corresponds to the environment. Subsequently, the action can be delegated to the selected behavior for processing in the enterprise application. In a specific aspect of the invention, the determining step can include determining whether the action has been invoked in a server, in an online client or in an offline client. | 07-25-2013 |
20130191448 | FILTERING OBSOLETE READ REQUESTS IN NETWORKED CLIENT-SERVER ARCHITECTURE - Read messages are issued by a client for data stored in a storage system of the networked client-server architecture. A client agent mediates between the client and the storage system. A sequence identification (ID) value is conveyed by each of the read requests sent from the client agent to the storage system. The read requests, which are related to a specific data segment, that are being read sequentially by an execution thread in the client are assigned with an identical unique sequence ID value. A read request having the sequence ID value that is less recent than a most recent sequence ID value already seen by the storage system are discarded. | 07-25-2013 |
20130191457 | Automatic Determining of File Transfer Mode - A mechanism is provided for automatic determining of file transfer mode. A locale or an operating system of a client in a file transfer is determined. At least part of a transfer file is downloaded in a first text format to obtain first resulting bytes. The first resulting bytes are analyzed to determine whether the first resulting bytes match a character set of the locale or the operating system of the client above a first predetermined threshold. A determination is made as to whether the first text format should be used for downloading the transfer file based on whether the first resulting bytes match the character set of the locale or the operating system of the client above the first predetermined threshold. Responsive to the first resulting bytes matching above the first predetermined threshold, the transfer file is downloaded in the identified first text format. | 07-25-2013 |
20130191482 | MANAGING AN ELECTRONIC MAIL IN A COMMUNICATION NETWORK - A method, a system, and a computer program product for managing an electronic mail in a communication network. Recursive parameters are provided in a command list of a communication protocol associated with the electronic mail. The command list is partitioned into of command sub-lists using the recursive parameters. | 07-25-2013 |
20130191490 | SENDING DATA OF READ REQUESTS TO A CLIENT IN A NETWORKED CLIENT-SERVER ARCHITECTURE - Read messages are issued by a client for data stored in a storage system of the networked client-server architecture. A client agent mediates between the client and the storage system. The storage system sends to the client agent the requested data by partitioning the returned data into segments for each read request. The storage system sends each segment in a separate network message. | 07-25-2013 |
20130191504 | OPTIMIZED PEER-TO-PEER FILE TRANSFERS ON A MULTI-NODE COMPUTER SYSTEM - A method and apparatus performs peer-to-peer file transfers on a High Performance Computing (HPC) cluster such as a Beowulf cluster. A peer-to-peer file tracker (PPFT) allows operating system, application and data files to be moved from a pre-loaded node to another node of the HPC cluster. A peer-to-peer (PTP) client is loaded into the nodes to facilitate PTP file transfers to reduce loading on networks, network switches and file servers to reduce the time needed to load the nodes with these files to increase overall efficiency of the multi-node computing system. The selection of the nodes participating in file transfers can be based on network topology, network utilization, job status and predicted network/computer utilization. This selection can be dynamic, changing during the file transfers as resource conditions change. The policies used to choose resources can be configured by an administrator. | 07-25-2013 |
20130191594 | Direct Memory Address for Solid-State Drives - A storage device is provided for direct memory access. A controller of the storage device performs a mapping of a window of memory addresses to a logical block addressing (LBA) range of the storage device. Responsive to receiving from a host a write request specifying a write address within the window of memory-addresses, the controller initializes a first memory buffer in the storage device and associates the first memory buffer with a first address range within the window of memory addresses such that the write address of the request is within the first address range. The controller writes to the first memory buffer based on the write address. Responsive to the buffer being full the controller persists contents of the first memory buffer to the storage device using logical block addressing based on the mapping. | 07-25-2013 |
20130191596 | ADJUSTMENT OF DESTAGE RATE BASED ON READ AND WRITE RESPONSE TIME REQUIREMENTS - A storage controller that includes a cache receives a command from a host, wherein a set of criteria corresponding to read and write response times for executing the command have to be satisfied. The storage controller determines ranks of a first type and ranks of a second type corresponding to a plurality of volumes coupled to the storage controller, wherein the command is to be executed with respect to the ranks of the first type. Destage rate corresponding to the ranks of the first type are adjusted to be less than a default destage rate corresponding to the ranks of the second type, wherein the set of criteria corresponding to the read and write response times for executing the command are satisfied. | 07-25-2013 |
20130191602 | CALCULATING READ OPERATIONS AND FILTERING REDUNDANT READ REQUESTS IN A STORAGE SYSTEM - Read messages are issued by a client for data stored in a storage system of the networked client-server architecture. A client agent mediates between the client and the storage system. Each sequence of read requests generated by a single thread of execution in the client to read a specific data segment in the storage is defined as a client read session. The client agent maintains a read-ahead cache for each client read session and generates read-ahead requests to load data into the read-ahead cache. Each read request and read-ahead request sent from the client agent to the storage system includes positions and a size for reading and a sequence id value. The storage system filters and modifies incoming read request and read-ahead requests based on sequence ID values, positions and sizes of the incoming read request and read-ahead requests. | 07-25-2013 |
20130191607 | Using the Short Stroked Portion of Hard Disk Drives for a Mirrored Copy of Solid State Drives - Mechanisms for storing data to a storage system comprising a set of one or more solid state storage devices and a set of non-solid state storage devices are provided. A request to write data to the storage system is received and the data is written to the set of one or more solid state storage devices in response to receiving the request. Moreover, a mirror copy of the data is written to the set of non-solid state storage devices in response to receiving the request. Thus, the non-solid state storage devices serve as a mirror backup copy of the data stored to the solid state storage devices. | 07-25-2013 |
20130191619 | MULTIFUNCTION HEXADECIMAL INSTRUCTION FORM SYSTEM AND PROGRAM PRODUCT - A new zSeries floating-point unit has a fused multiply-add dataflow capable of supporting two architectures and fused MULTIPLY and ADD and Multiply and SUBTRACT in both RRF and RXF formats for the fused functions. Both binary and hexadecimal floating-point instructions are supported for a total of 6 formats. The floating-point unit is capable of performing a multiply-add instruction for hexadecimal or binary every cycle with a latency of 5 cycles. This supports two architectures with two internal formats with their own biases. This has eliminated format conversion cycles and has optimized the width of the dataflow. The unit is optimized for both hexadecimal and binary floating-point architecture supporting a multiply-add/subtract per cycle. | 07-25-2013 |
20130191664 | Managing Server Power Consumption In A Data Center - Methods, system, and computer program products are provided for managing server power consumption in a data center. Embodiments include detecting, by a circuit monitoring interposer, a type of a power circuit that is currently coupled to the circuit monitoring interposer; determining a power capacity of the power circuit based on the type of the power circuit; receiving, by the circuit monitoring interposer, a power consumption server measurement from each server monitoring interposer of a plurality of server monitoring interposers, each power consumption server measurement corresponding to a server of the plurality of servers; determining a total power consumption of the power circuit in dependence upon a total of the power consumption server measurements; and determining whether the total power consumption exceeds the power capacity, and if the total power consumption of the power circuit exceeds the power capacity, instructing one or more servers to reduce their power consumption. | 07-25-2013 |
20130191680 | HANDLING OF MESSAGES IN A MESSAGE SYSTEM - A messaging system comprises a message source, a message receiver and a message service. The message service is intermediate of the message source and message receiver, and a compensation component is established at the message source. A one way message is transmitted from the message source, where the one-way message is part of a plurality of one way messages of an overall business transaction. The message is received at the message service and is transmitted to the message receiver, which processes the received message. The message receiver transmits a communication indicating success or failure of the processing of the message. The system causes compensation logic defined by a compensation component to execute responsive to receiving an indication of a failure of part of the overall business transaction despite the communication from the message receiver indicated that processing of the particular one way message succeeded. | 07-25-2013 |
20130191682 | HOMOGENEOUS RECOVERY IN A REDUNDANT MEMORY SYSTEM - A computer implemented method for providing homogeneous recovery in a redundant memory system. The method includes receiving a notification that a memory channel has failed, where the memory channel is one of a plurality of memory channels in a memory system. New operations are blocked from starting on the memory channels in response to the notification, and any pending operations on the memory channels are completed in response to the notification. A recovery operation is performed on the memory channels in response to the completing. The new operations are started on at least a first subset of the memory channels in response to the recovery operation completing. The memory system is configured to operate with the first subset of the memory channels. | 07-25-2013 |
20130191683 | HETEROGENEOUS RECOVERY IN A REDUNDANT MEMORY SYSTEM - Providing heterogeneous recovery in a redundant memory system that includes a memory controller, a plurality of memory channels in communication with the memory controller, an error detection code mechanism configured for detecting a failing memory channel, and an error recovery mechanism. The error recovery mechanism is configured for receiving notification of the failing memory channel, for performing a recovery operation on the failing memory channel while other memory channels are performing normal system operations, for bringing the recovered channel back into operational mode with the other memory channels for store operations, for continuing to mark the recovered channel to guard against stale data, for removing any stale data after the recovery operation is complete, and for removing the mark on the recovered channel to allow the normal system operations with all of the memory channels, the removing based on the removing any stale data being complete. | 07-25-2013 |
20130191684 | HARDWARE RECOVERY IN MULTI-THREADED PROCESSOR - A computer system includes a simultaneous multi-threading processor and memory in operable communication with the processor. The processor is configured to perform a method including running multiple threads simultaneously, detecting a hardware error in one or more hardware structures of the processing circuit, and identifying one or more victim threads of the multiple threads. The processor is further configured to identify a plurality of hardware structures associated with execution of the one or more victim threads, isolate the one or more victim threads from the rest of the multiple threads by preventing access to the plurality of hardware structures by the multiple threads, flush the one or more victim threads by resetting hardware states of the plurality of hardware structures, and restore the one or more victim threads by restoring the plurality of hardware structures to a known safe state. | 07-25-2013 |
20130191690 | IN SITU PROCESSOR RE-CHARACTERIZATION - A re-characterization process is provided that adjusts one or more operating parameters of a processor to improve the health (e.g., reduce errors) of the processor. The parameters include voltage and/or clock frequency, as examples. The processor can be an inactive or active processor for which the re-characterization process is performed. It is performed, in one instance, by a hardware controller in real-time. | 07-25-2013 |
20130191691 | IMPORTANCE-BASED CALL GRAPH CONSTRUCTION - Call graph construction systems that utilize computer hardware are presented including: a processor a candidate pool configured for representing a number of calls originating from a root node of a computer software application; an importance value assigner configured for assigning an importance value for any of the number of calls represented in the candidate pool; a candidate selector configured for selecting from the number of calls represented in the candidate pool for inclusion in a call graph based on a sufficient importance value; and an importance value adjuster configured for adjusting the importance value of any call represented in the call graph. | 07-25-2013 |
20130191694 | Supporting Detection of Failure Event - In a mechanism for supporting detection of a failure event, history information of a system including log information of the system including plural components and/or failure information output from each component upon occurrence of a failure in the system is collected. A detection rule for detecting an event included in a component related to the failure that has occurred is generated, and a symptom with additional information added to the generated detection rule is applied to detect the event that has caused the failure. System configuration information as configuration information of the system is acquired, and from the acquired system configuration information, partial configuration information as system configuration information related to the component that sent out the event the selection of which has been accepted is extracted. The extracted partial configuration information is added to the symptom to update the symptom. | 07-25-2013 |
20130191704 | MEMORY MANAGEMENT IN A NON-VOLATILE SOLID STATE MEMORY DEVICE - A non-volatile solid state memory device and method for balancing write/erase cycles among blocks to level block usage. The non-volatile solid state memory device includes a memory unit having data stored therein and a controller with logic for programming the memory unit according to a monitored occurrence of an error during a read operation. The method includes monitoring an occurrence of an error during a read operation in a memory unit of the device and programming the memory unit according to the monitored occurrence of the error. | 07-25-2013 |
20130191718 | RULE BASED APPARATUS FOR MODIFYING WORD ANNOTATIONS - A rule based apparatus and method for modifying word annotations in an annotated text base, the apparatus comprising: an index creator component for creating an index of word annotations; an annotations modifying component for modifying word annotations; and a retriggering component, responsive to said annotations modifying component, for retriggering a rules engine to modify all occurrences of a matching word annotation in said annotated text base and updating the index of word annotations with the modified occurrences of a matching word annotation in said annotated text base. | 07-25-2013 |
20130191801 | DISTRIBUTING SPARE LATCH CIRCUITS IN INTEGRATED CIRCUIT DESIGNS - Methods for allocating spare latch circuits to logic blocks in an integrated circuit design are provided. A method includes determining logic blocks in the design and determining and determining an allocation of spare latch circuits among the logic blocks based on respective attributes of the logic blocks. The method further include placing the spare latch circuits in the design in accordance with the determined allocation based on local clock buffers corresponding with the logic blocks. | 07-25-2013 |
20130191812 | Managing Graphical User Interface (GUI) Objects in a Testing Environment - A method, a system and a computer program product for managing graphical user interface (GUI) objects in a testing environment. GUI objects in the testing environment are uniquely identified and the GUI objects have corresponding test objects. The uniquely identified GUI objects in the test environment are sorted in response to the pre-defined hierarchy of properties belonging to the set of properties and the pre-defined hierarchy of properties includes at least one spatial property. | 07-25-2013 |
20130191813 | Metamodeling Contextual Navigation of Computer Software Applications - Using metamodels during context-sensitive analyses of reusable components of computer software applications, including identifying entry and exit paths into and from a reusable component within a metamodel of a computer application development environment, determining during a static analysis of a computer software application that navigation will proceeds along a path corresponding in the metamodel to such an entry or exit path, retaining, if an entry path, an identifier of an invoking node along the path within the computer software application, and, if an exit path, for each terminal node along each of a plurality of paths from the reusable component within the computer software application, comparing an identifier of an invoking node preceding the terminal node with the retained invoking node identifier, and determining, if the invoking node identifiers match, that the path among the plurality of paths is a correct path for a current context of the static analysis. | 07-25-2013 |
20130191821 | TRANSPARENT UPDATE OF ADAPTER FIRMWARE FOR SELF-VIRTUALIZING INPUT/OUTPUT DEVICE - A firmware update process for a self-virtualizing IO resource such as an SRIOV adapter is incorporated into a platform firmware update process to systematically update the resource firmware in a manner that is for the most part transparent to the logical partitions sharing the adapter. In particular, resource firmware associated with a self-virtualizing IO resource is bundled with firmware for at least one adjunct partition associated with that self-virtualizing IO resource within a common firmware image so that, upon restart of the adjunct partition to use the updated firmware image, the resource firmware is also updated, with a logical partition that uses the self-virtualizing IO resource maintained in an active state during the restart, and without requiring the self-virtualizing IO resource to be deconfigured from the logical partition. | 07-25-2013 |
20130191840 | RESOURCE ALLOCATION BASED ON ANTICIPATED RESOURCE UNDERUTILIZATION IN A LOGICALLY PARTITIONED MULTI-PROCESSOR ENVIRONMENT - A method, apparatus and program product for allocating resources in a logically partitioned multiprocessor environment. Resource usage is monitored in a first logical partition in the logically partitioned multiprocessor environment to predict a future underutilization of a resource in the first logical partition. An application executing in a second logical partition in the logically partitioned multiprocessor environment is configured for execution in the second logical partition with an assumption made that at least a portion of the underutilized resource is allocated to the second logical partition during at least a portion of the predicted future underutilization of the resource. | 07-25-2013 |
20130191844 | MANAGEMENT OF THREADS WITHIN A COMPUTING ENVIRONMENT - Threads of a computing environment are managed to improve system performance. Threads are migrated between processors to take advantage of single thread processing mode, when possible. As an example, inactive threads are migrated from one or more processors, potentially freeing-up one or more processors to execute an active thread. Active threads are migrated from one processor to another to transform multiple threading mode processors to single thread mode processors. | 07-25-2013 |
20130191849 | DISTRIBUTED FUNCTION EXECUTION FOR HYBRID SYSTEMS - A method includes processing an application by a host including one or more processors and distributing at least a portion of the application to an accelerator for execution. The method includes instructing the accelerator to create a buffer on the accelerator and instructing the accelerator to execute the portion of the application, wherein the accelerator writes data to the buffer. The method also includes instructing the accelerator to transmit the data in the buffer to the host before the application requests the data in the buffer. The accelerator aggregates the data in the buffer before transmitting the data to the host based upon one or more runtime conditions in the host. | 07-25-2013 |
20130191851 | Monitoring Operating Parameters In A Distributed Computing System With Active Messages - In a distributed computing system including a nodes organized for collective operations: initiating, by a root node through an active message to all other nodes, a collective operation, the active message including an instruction to each node to store operating parameter data in each node's send buffer; and, responsive to the active message: storing, by each node, the node's operating parameter data in the node's send buffer and returning, by the node, the operating parameter data as a result of the collective operation. | 07-25-2013 |
20130191891 | AUTOMATIC PROVISIONING OF RESOURCES FOR MEETING COLLABORATION - A method may comprise maintaining by a computer system connected to a network an indication of availability dates and times of one or more output devices connected to the network. The computer system may receive an output device invitation indicating an output device to reserve for a meeting conducted over a conferencing system and determine whether the output device is available for reservation during a date and time period of the meeting. The computer system may be communicating over the network to the output device activation information indicating that the output device is to become active at a predetermined date and time related to the date and time period of the meeting and login information including a credential for the output device to use for logging into the conferencing system for the meeting. | 07-25-2013 |
20130192739 | SILICONE-BASED CHEMICAL FILTER AND SILICONE-BASED CHEMICAL BATH FOR REMOVING SULFUR CONTAMINANTS - Sulfur contaminants, such as elemental sulfur (S | 08-01-2013 |
20130192964 | MEMS SWITCHES WITH REDUCED SWITCHING VOLTAGE AND METHODS OF MANUFACTURE - MEMS switches and methods of manufacturing MEMS switches is provided. The MEMS switch having at least two cantilevered electrodes having ends which overlap and which are structured and operable to contact one another upon an application of a voltage by at least one fixed electrode. | 08-01-2013 |
20130193522 | REPLACEMENT METAL GATE STRUCTURES PROVIDING INDEPENDENT CONTROL ON WORK FUNCTION AND GATE LEAKAGE CURRENT - The thickness and composition of a gate dielectric can be selected for different types of field effect transistors through a planar high dielectric constant material portion, which can be provided only for selected types of field effect transistors. Further, the work function of field effect transistors can be tuned independent of selection of the material stack for the gate dielectric. A stack of a barrier metal layer and a first-type work function metal layer is deposited on a gate dielectric layer within recessed gate cavities after removal of disposable gate material portions. After patterning the first-type work function metal layer, a second-type work function metal layer is deposited directly on the barrier metal layer in the regions of the second type field effect transistor. A conductive material fills the gate cavities, and a subsequent planarization process forms dual work function metal gate structures. | 08-01-2013 |
20130193523 | STRUCTURE AND METHOD FOR MAKING LOW LEAKAGE AND LOW MISMATCH NMOSFET - An improved SRAM and fabrication method are disclosed. The method comprises use of a nitride layer to encapsulate PFETs and logic NFETs, protecting the gates of those devices from oxygen exposure. NFETs that are used in the SRAM cells are exposed to oxygen during the anneal process, which alters the effective work function of the gate metal, such that the threshold voltage is increased, without the need for increasing the dopant concentration, which can adversely affect issues such as mismatch due to random dopant fluctuation , GIDL and junction leakage. | 08-01-2013 |
20130193551 | STRUCTURE WITH SELF ALIGNED RESIST LAYER ON AN INTERCONNECT SURFACE AND METHOD OF MAKING SAME - A structure is provided with a self-aligned resist layer on a surface of metal interconnects for use in forming air gaps in an insulator material and method of fabricating the same. The non-lithographic method includes applying a resist on a structure comprising at least one metal interconnect formed in an insulator material. The method further includes blanket-exposing the resist to energy and developing the resist to expose surfaces of the insulator material while protecting the metal interconnects. The method further includes forming air gaps in the insulator material by an etching process, while the metal interconnects remain protected by the resist. | 08-01-2013 |
20130193562 | STRUCTURE AND METHOD FOR TOPOGRAPHY FREE SOI INTEGRATION - A semiconductor structure is provided that includes a semiconductor oxide layer having features. The semiconductor oxide layer having the features is located between an active semiconductor layer and a handle substrate. The semiconductor structure includes a planarized top surface of the active semiconductor layer such that the semiconductor oxide layer is beneath the planarized top surface. The features within the semiconductor oxide layer are mated with a surface of the active semiconductor layer. | 08-01-2013 |
20130193563 | TRENCH CAPACITOR WITH SPACER-LESS FABRICATION PROCESS - A trench capacitor and method of fabrication are disclosed. The SOI region is doped such that a selective isotropic etch used for trench widening does not cause appreciable pullback of the SOI region, and no spacers are needed in the upper portion of the trench. | 08-01-2013 |
20130193574 | 3D CHIP STACK HAVING ENCAPSULATED CHIP-IN-CHIP - A method of forming a three-dimensional (3D) chip is provided in which a second chip is present embedded within a first chip. In one embodiment, the method includes forming a first chip including first electrical devices and forming a recess extending from a surface of the first chip. A second chip is formed having second electrical devices. The second chip is then encapsulated within the recess of the first chip. Interconnects are then formed through the first chip into electrical communication with at least one of the second devices on the second chip. A three-dimensional (3D) chip is also provided in which a second chip is embedded within a first chip. | 08-01-2013 |
20130193579 | STRUCTURE FOR NANO-SCALE METALLIZATION AND METHOD FOR FABRICATING SAME - A method for forming structure aligned with features underlying an opaque layer is provided for an interconnect structure, such as an integrated circuit. In one embodiment, the method includes forming an opaque layer over a first layer, the first layer having a surface topography that maps to at least one feature therein, wherein the opaque layer is formed such that the surface topography is visible over the opaque layer. A second feature is positioned and formed in the opaque layer by reference to such surface topography. | 08-01-2013 |
20130194273 | DATA EXHIBITION METHOD AND SYSTEM BASED ON RING ANGLES - Data values are exhibited based on sector-ring angles. The data values to be exhibited are first received by a processing device. The sector-ring angles are then determined for the data values to be exhibited. Using the sector-ring angles for the data values to be exhibited, the data values are exhibited in a sector-ring manner. | 08-01-2013 |
20130194293 | SELECTIVELY TRANSFORMING A MULTI-DIMENSIONAL ARRAY - A method for selectively transforming a multi-dimensional input array comprising D dimensions includes, with a computing system, determining a D-dimensional convolution of the input array at only selected points in the array, each the convolution being a function of a product of D one-dimensional kernels; determining partial convolutions at each dimension iteratively, an iterative determination of one of the partial convolutions being determined, in part, from a previous iterative determination; and collecting transformed values from the convolutions into the input array to form a transformed input array. | 08-01-2013 |
20130194737 | MICRO GRID APPARATUS FOR USE IN A MAINFRAME OR SERVER SYSTEM - A micro grid apparatus and associated method of formation. Multiple tiers are formed. The tiers are distributed and sequenced in a vertical direction such that each tier is at a different vertical level in the vertical direction. Each tier includes a multiplicity of complex shapes interconnected by bridge modules. Each complex shape is a physical structure having an exterior boundary. Each complex shape includes multiple docking bays such that each docking bay is configured to have a module latched therein. | 08-01-2013 |
20130194926 | RESERVATION SYSTEM FOR AN ETHERNET NETWORK - An improved computer system may include a server carrying a computer processor in a Fibre Channel over Convergence Enhanced Ethernet (FCoCEE) network. The system may also include a reservation system in communication with the server that utilizes enhanced transmission selection (ETS) to reserve bandwidth for a priority group by scheduling bandwidth for the priority group based upon a projected workload. | 08-01-2013 |
20130194946 | CONVERGED ENHANCED ETHERNET NETWORK - A system to improve a Converged Enhanced Ethernet network may include a controller having a computer processor connected to a layer 2 endpoint buffer. The system may also include a manager executing on the controller to monitor the layer 2 endpoint buffer by determining buffer data packet occupancy and/or rate of change in the buffer data packet occupancy. The system may further include a reporter to notify a congestion source of the layer 2 endpoint buffer based upon the buffer data packet occupancy and/or rate of change in the buffer data packet occupancy. | 08-01-2013 |
20130195094 | Wireless Local Area Network (LAN) System - A situation is circumvented where wireless communication becomes impossible in a wireless LAN system under the influence of a blocking object, noise caused by an electromagnetic wave, or the like. A wireless LAN system comprises: a relay which can communicate with an external system; a master which can communicate with the relay; and a plurality of slaves which can wirelessly communicate with the master, the plurality of slaves including a first group and a second group, the first group comprising an alternative slave having a function of transmitting, when a portion of the slaves cannot receive a synchronization signal from the master, an information signal received from the master to the portion of slaves, and the second group comprising non-alternative slaves and not having the function of transmitting the information signal to the portion of slaves. | 08-01-2013 |
20130195105 | SYNCHRONIZING ROUTING TABLES IN A DISTRIBUTED NETWORK SWITCH - Techniques are provided for routing table synchronization for a distributed network switch. In one embodiment, a first frame having a source address and a destination address is received. If no routing entry for the source address is found in a routing table of a first switch module, routing information is determined for the source address and a routing entry is generated. An indication is sent to a second switch module, to request a routing entry for the source address to be generated in the second switch module, based on the routing information. | 08-01-2013 |
20130196476 | HIGH THRESHOLD VOLTAGE NMOS TRANSISTORS FOR LOW POWER IC TECHNOLOGY - Transistors exhibiting different electrical characteristics such as different switching threshold voltage or different leakage characteristics are formed on the same chip or wafer by selectively removing a film or layer which can serve as an out-diffusion sink for an impurity region such as a halo implant and out-diffusing an impurity such as boron into the out-diffusion sink, leaving the impurity region substantially intact where the out-diffusion sink has been removed. In forming CMOS integrated circuits, such a process allows substantially optimal design for both low-leakage and low threshold transistors and allows a mask and additional associated processes to be eliminated, particularly where a tensile film is employed to increase electron mobility since the tensile film can be removed from selected NMOS transistors concurrently with removal of the tensile film from PMOS transistors. | 08-01-2013 |
20130196684 | GENERATING INDOOR RADIO MAP, LOCATING INDOOR TARGET - A method and system of generating an indoor radio map. In order to reduce the influence of multipath effect on indoor localization and improve the accuracy of indoor localization, a technique of processing data for indoor target locating and a technique of locating an indoor target based on the above technique is proposed. The method for generating an indoor radio map performs a smoothing process on the wireless signal strength measured in at least one position by a mobile node based on wireless signal strengths measured by the mobile node at adjacent positions, so as to reduce the influence of multipath effect. | 08-01-2013 |
20130197253 | PHOTO-PATTERNABLE DIELECTRIC MATERIALS CURABLE TO POROUS DIELECTRIC MATERIALS, FORMULATIONS, PRECURSORS AND METHODS OF USE THEREOF - Silsesquioxane polymers that cure to porous silsesquioxane polymers, silsesquioxane polymers that cure to porous silsesquioxane polymers in negative tone photo-patternable dielectric formulations, methods of forming structures using negative tone photo-patternable dielectric formulations containing silsesquioxane polymers that cure to porous silsesquioxane polymers, structures containing porous silsesquioxane polymers and monomers and method of preparing monomers for silsesquioxane polymers that cure to porous silsesquioxane polymers. | 08-01-2013 |
20130197859 | Tracking Entities by Means of Hash Values - Mechanisms for tracking an entity are provided. A time is determined by a sensor having a clock, the time being within a time slot in a series of time slots. First data of the time slot is provided and shared between a plurality of sensors. The sensor receives data from the movable entity. The sensor calculates identifying data from the received data for identifying the entity. Derivative identifying data is calculated by applying a modifying function using the provided first data for modifying the identifying data. The sensor calculates a hash value by taking the derivative identifying data as input. The sensor sends a message to a central server for determining the position of the entity, the message comprising the hash value and an identifier of the sensor. | 08-01-2013 |
20130197882 | EVALUATING AND OPTIMIZING A TRAJECTORY FUNCTION - A method for evaluating a trajectory function to be followed by a physical system includes providing the trajectory function; determining a set of sampling points by sampling a trajectory based on the trajectory function in the time domain; associating a cell to each of the sampling points; assessing at least one cell metric for each of the cells; aggregating the at least one cell metric of the cells to obtain an aggregated metric measure; and evaluating the trajectory as determined by the provided trajectory function depending on the one or more aggregated metric measures. | 08-01-2013 |
20130198135 | PROTECTING DATA SEGMENTS IN A COMPUTING ENVIRONMENT - Exemplary method, system, and computer program product embodiments for protecting data segments by a processor device in a computing environment, are provided. In one embodiment, by way of example only, a history table is configured to accompany data segments for consultation during a replication operation. If the history table indicates an ownership conflict, the replication operation is inhibited. | 08-01-2013 |
20130198201 | Specification Document Check Method, Program, and System - A system for storing a specification document to be checked on a storage device in a computer readable format. A computer implemented method which stores a graph structure of rules for performance of checking, and creates a rule application table of whether a rule is an application subject. For each node rule of the graph structure, average entropy of whether a specification satisfies the rules is derived by searching the graph structure of rules. While performing a depth-first searching of the graph structure of rules, a priority order index is derived from the rule application table for each node rule. Amendment candidates are then displayed according to value of the priority order index. | 08-01-2013 |
20130198343 | OPTIMIZED PEER-TO-PEER FILE TRANSFERS ON A MULTI-NODE COMPUTER SYSTEM - A method and apparatus performs peer-to-peer file transfers on a High Performance Computing (HPC) cluster such as a Beowulf cluster. A peer-to-peer file tracker (PPFT) allows operating system, application and data files to be moved from a pre-loaded node to another node of the HPC cluster. A peer-to-peer (PTP) client is loaded into the nodes to facilitate PTP file transfers to reduce loading on networks, network switches and file servers to reduce the time needed to load the nodes with these files to increase overall efficiency of the multi-node computing system. The selection of the nodes participating in file transfers can be based on network topology, network utilization, job status and predicted network/computer utilization. This selection can be dynamic, changing during the file transfers as resource conditions change. The policies used to choose resources can be configured by an administrator. | 08-01-2013 |
20130198371 | DEPLOYING AN EXECUTABLE WITH HISTORICAL PERFORMANCE DATA - Techniques for incorporating performance data into an executable file for an application are described. Embodiments monitor performance of an application while the application is running. Additionally, historical execution characteristics of the application are determined based upon the monitored performance and one or more system characteristics of a node on which the application was executed on. Embodiments also incorporate the historical execution characteristics into executable file for the application, such that the historical execution characteristics can be used to manage subsequent executions of the application. | 08-01-2013 |
20130198389 | DYNAMIC RESOURCE ADJUSTMENT FOR A DISTRIBUTED PROCESS ON A MULTI-NODE COMPUTER SYSTEM - A method dynamically adjusts the resources available to a processing unit of a distributed computer process executing on a multi-node computer system. The resources for the processing unit are adjusted based on the data other processing units handle or the execution path of code in an upstream or downstream processing unit in the distributed process or application. | 08-01-2013 |
20130198444 | Enabling Throttling on Average Write Throughput for Solid State Storage Devices - A mechanism is provided for enabling throttling on average write throughput instead of peak write throughput for solid-state storage devices. The mechanism assures an average write throughput within a range but allows excursions of high throughput with periods of low throughput offsetting against those of heavy usage. The mechanism periodically determines average throughput and determines whether average throughput exceeds a high throughput threshold for a certain amount of time without being offset by periods of low throughput. | 08-01-2013 |
20130198455 | CACHE MEMORY GARBAGE COLLECTOR - A method for managing objects stored in a cache memory of a processing unit. The cache memory includes a set of entries corresponding to an object. The method includes: checking, for each entry of at least a subset of entries of the set of entries of the cache memory, whether an object corresponding to each entry includes one or more references to one or more other objects stored in the cache memory and storing the references; determining among the objects stored in the cache memory, which objects are not referenced by other objects, based on the stored references; marking entries as checked to distinguish entries corresponding to objects determined as being not referenced from other entries of the checked entries, and casting out, according to the marking, entries corresponding to objects determined as being not referenced. | 08-01-2013 |
20130198461 | MANAGING TRACK DISCARD REQUESTS TO INCLUDE IN DISCARD TRACK MESSAGES - Provided is a method for managing track discard requests. A backup copy of a track in a cache is maintained in a cache backup device. A track discard request is generated to discard tracks in the cache backup device removed from the cache. Track discard requests are queued in a discard track queue. If a predetermined number of track discard requests are queued in the discard track queue while processing in a discard multi-track mode, one discard multiple tracks message is sent to the cache backup device indicating the tracks indicated in the queued predetermined number of track discard requests to instruct the cache backup device to discard the tracks indicated in the discard multiple tracks message. If a predetermined number of periods of inactivity while processing in the discard multi-track mode, processing the track discard requests is switched to a discard single track mode. | 08-01-2013 |
20130198472 | Performing volume expansion in storage management system - Volume expansion in a storage management system coupled to a storage system via a network is performed. A request for expanding an original volume of a first cluster located at the storage system to a target volume is received. A second cluster located at the storage system is obtained. A remaining storage space of the second cluster is larger than a storage space required by the target volume. The storage system is instructed to create the target volume at the second cluster, and the original volume is expanded to the target volume. | 08-01-2013 |
20130198473 | BACKUP COPY ENHANCEMENTS TO REDUCE PRIMARY VERSION ACCESS - A method, system, and computer program product for performing a backup operation in a computing environment is provided. A dataset corresponding to a backup copy is examined to determine if the dataset has changed from a previous backup operation. If the dataset has not changed, a backup inventory registry is consulted to determine a current version of a backup copy. The current version is one of a plurality of available versions. The backup operation is performed using the current version of the backup copy. | 08-01-2013 |
20130198482 | HIERARCHICAL MULTI-TENANCY SUPPORT FOR HOST ATTACHMENT CONFIGURATION THROUGH RESOURCE GROUPS - Exemplary method embodiments for hierarchy multi-tenancy support for configuration of a plurality of host attachment through a plurality of resource groups in a computing storage environment are provided. In one embodiment, multiple data storage subsystems are configured with multiple operators for configuration and management of multiple host attachments to multiple logical volumes. A logical operator is designated with the responsibility of designating authority to a host attachment operator and the ability to configure multiple logical volumes. Limited authority is provided for the host attachment operator to configure multiple volume groups and multiple host ports to a specific user. | 08-01-2013 |
20130198489 | PROCESSING ELEMENT MANAGEMENT IN A STREAMING DATA SYSTEM - Stream applications may inefficiently use the hardware resources that execute the processing elements of the data stream. For example, a compute node may host four processing elements and execute each using a CPU. However, other CPUs on the compute node may sit idle. To take advantage of these available hardware resources, a stream programmer may identify one or more processing elements that may be cloned. The cloned processing elements may be used to generate a different execution path that is parallel to the execution path that includes the original processing elements. Because the cloned processing elements contain the same operators as the original processing elements, the data stream that was previously flowing through only the original processing element may be split and sent through both the original and cloned processing elements. In this manner, the parallel execution path may use underutilized hardware resources to increase the throughput of the data stream. | 08-01-2013 |
20130198496 | MAJOR BRANCH INSTRUCTIONS - Major branch instructions are provided that enable execution of a computer program to branch from one segment of code to another segment of code. These instructions also create a new stream of processing at the other segment of code enabling execution of the other segment of code to be performed in parallel with the segment of code from which the branch was taken. In one example, the other stream of processing starts a transaction for processing instructions of the other stream of processing. | 08-01-2013 |
20130198497 | MAJOR BRANCH INSTRUCTIONS WITH TRANSACTIONAL MEMORY - Major branch instructions are provided that enable execution of a computer program to branch from one segment of code to another segment of code. These instructions also create a new stream of processing at the other segment of code enabling execution of the other segment of code to be performed in parallel with the segment of code from which the branch was taken. In one example, the other stream of processing starts a transaction for processing instructions of the other stream of processing. | 08-01-2013 |
20130198498 | COMPILING METHOD, PROGRAM, AND INFORMATION PROCESSING APPARATUS - A method, program, and apparatus for optimizing compiled code using a dynamic compiler. The method includes the steps of: generating intermediate code from a trace, which is an instruction sequence described in machine language; computing an offset between an address value, which is a base point of an indirect branch instruction, and a start address of a memory page, which includes a virtual address referred to by the information processing apparatus immediately after processing a first instruction; determining whether an indirect branch instruction that is subsequent to the first instruction causes processing to jump to another memory page, by using a value obtained from adding the offset to a displacement made by the indirect branch instruction; and optimizing the intermediate code by using the result of the determining step. | 08-01-2013 |
20130198520 | UNATTENDED CODE UPDATE OF STORAGE FACILITY - Various embodiments for providing an update to at least one storage facility in a computing storage environment are provided. In one embodiment, media is received in one or more updatable elements of one or more components of the at least one storage facility, each of the one or more updatable elements including one or more unique update images and one or more unique update commands, a security verification is performed on the update via a certificate authentication mechanism to confirm a validity of the update, a safety verification is performed on the update to confirm a suitability of the update to the at least one storage facility, the update is installed in the at least one storage facility, and the update in the at least one storage facility is processed by traversing a fixed state machine for each updatable element. | 08-01-2013 |
20130198561 | MIGRATING VIRTUAL MACHINES ACROSS SITES - In one embodiment, a method for managing data swapping in a migration of virtual memory data in a virtual machine, wherein the data swapping is from a first storage device at a first site to a second storage device at a second site, and the first and second storage devices have a metro mirror relationship includes sending a fail report in response to a failure to access the first storage device according to a path table, in response to the fail report, modifying a mirror direction between the first and second storage devices, sending a path modifying notification for modifying settings of a first path to the second storage device, and activating the path to the second storage device by modifying the path table according to the path modifying notification, so as to make the second storage device accessible. | 08-01-2013 |
20130198639 | Role Engineering Scoping and Management - Mechanisms are provided for performing a role engineering project for applying security roles to access operations targeting resources. A plurality of data objects representing one or more user identities, permissions, and resources of an organization computing system are received. One or more filter criteria for filtering the plurality of data objects to generate a subset of data objects for consideration during the role engineering project are received. The one or more filter criteria specify a scope of the role engineering project. The one or more filter criteria are applied to generate the subset of data objects. Role engineering project operations are performed on the subset of data objects to generate one or more security roles. The one or more security roles are deployed to the organization computing system to control access operations targeting resources of the organization computing system. | 08-01-2013 |
20130198695 | Multi-Gate Field Effect Transistor with A Tapered Gate Profile - A multi-gate field effect transistor apparatus and method for making same. The apparatus includes a source terminal, a drain terminal, and a gate terminal which includes a tapered-gate profile. A method for designing a multi-gate field effect transistor includes arranging a source terminal, a drain terminal and a gate terminal with a tapered-gate profile to create a wider gate width on a bottom of a fin. | 08-01-2013 |
20130198702 | IMPLEMENTING Z DIRECTIONAL MACRO PORT ASSIGNMENT - A method, system and computer program product are provided for implementing an enhanced Z-directional macro port assignment or three-dimensional port creation for random logic macros of heterogeneous hierarchical integrated circuit chips. An initial port placement is provided on a layer for a macro. The initial port placement is expanded to provide a three-dimensional port shape including a plurality of metal layers along a z-axis. Wire routing of each of the macro level and a chip top level is defined within the expanded three-dimensional port shape. Each unnecessary metal layer of the expanded three-dimensional port shape is removed, providing a final three-dimensional port shape. | 08-01-2013 |
20130198721 | VISUALIZATION OF INFORMATION USING LANDMASSES - The present invention relates to the visualization of complex information using a set of navigable landmasses. A method for generating a visualization of a programming code base using a set of navigable landmasses in accordance with an embodiment of the present invention includes: representing each of a plurality of different code components using a respective landmass; adjusting a size of each landmass based on a number of lines of code in the code component corresponding to the landmass; and displaying the landmasses. | 08-01-2013 |
20130198724 | CONFIDENCE-BASED STATIC ANALYSIS - Systems, methods and program products are provided for confidence-based static analysis, including initiating a static analysis of computer software, associating a confidence value with a first element of the static analysis, determining a current state of the static analysis, calculating an adjusted confidence value in accordance with a confidence adjustment function as applied to the current state and the confidence value associated with the first element, associating the adjusted confidence value with a second element of the static analysis resulting from a transition from the first element, and eliminating the second element from the static analysis if the adjusted confidence value meets elimination criteria. | 08-01-2013 |
20130198735 | METHOD FOR GENERATING AND USING CONSTRAINTS ASSOCIATED WITH SOFTWARE RELATED PRODUCTS - A computer implemented method and apparatus is provided for generating and using constraints that are associated with software programs or other software entities intended for use in a specified data processing system or environment. One embodiment is directed to a method that includes the step of extracting metadata pertaining to the one or more software programs from at least one database. The extracted metadata is selectively processed to generate a set of constraints that affect the use of the one or more programs in a specified environment, wherein such processing includes transforming the extracted metadata to provide the set of constraints in a prespecified constraint language. The method further comprises detecting a proposed action of a user that is associated with the one or more software programs, and determining whether the proposed action violates any constraint in the set. | 08-01-2013 |
20130198736 | SCHEMA SPECIFICATION TO IMPROVE PRODUCT CONSUMABILITY ON INSTALLATION, CONFIGURATION, AND/OR UN-INSTALLATION ACTIVITY - Provided are techniques for retrieving a universal schema specification file that defines a structure of a product specific data set, retrieving the product specific data set that defines a specific configuration for a version of a product, validating the product specific data set using the universal schema specification file, and using the validated product specific data set and a product specific installable to automatically install the version of the product with the specific configuration. | 08-01-2013 |
20130198749 | SPECULATIVE THREAD EXECUTION WITH HARDWARE TRANSACTIONAL MEMORY - In an embodiment, if a self thread has more than one conflict, a transaction of the self thread is aborted and restarted. If the self thread has only one conflict and an enemy thread of the self thread has more than one conflict, the transaction of the self thread is committed. If the self thread only conflicts with the enemy thread and the enemy thread only conflicts with the self thread and the self thread has a key that has a higher priority than a key of the enemy thread, the transaction of the self thread is committed. If the self thread only conflicts with the enemy thread, the enemy thread only conflicts with the self thread, and the self thread has a key that has a lower priority than the key of the enemy thread, the transaction of the self thread is aborted. | 08-01-2013 |
20130198840 | SYSTEMS, METHODS AND COMPUTER PROGRAMS PROVIDING IMPACT MITIGATION OF CYBER-SECURITY FAILURES - Disclosed is a method and system to operate a governed data processing system in concert with a governing data processing system. The method includes operating a secure governing data processing system to monitor operation of at least one governed data processing system to detect a deviation from modeled user and governed data processing system behavior. The method further includes, upon detecting a deviation from the modeled behavior, taking proactive action to mitigate an occurrence of a potential adverse result of an occurrence of a cyber-security threat. | 08-01-2013 |
20130198857 | PROCESSING OF RESTRICTED ACCESS DATA - Embodiments related to processing of restricted-access data. An aspect includes receiving a request for data from a user by a storage system infrastructure comprising a centralized database that stores non-restricted access data and a local system that stores restricted-access data associated with a first set of areas or entities and comprising a federated database for providing a federated view, wherein the requested data comprises restricted-access first data and non-restricted access second data. Another aspect includes based on an association of the user, routing, by a routing entity, the request to the local system. Another aspect includes receiving the request at the at least one federated database of the local system. Another aspect includes retrieving from the federated database the restricted-access first data and the non-restricted access second data. Another aspect includes displaying the federated view comprising the restricted-access first data and the non-restricted access second data to the user. | 08-01-2013 |
20130199278 | MICRO-FLUIDIC TEST APPARATUS AND METHOD - An apparatus, system, and method for determining the osmolarity of a fluid. The apparatus includes at least one micro-fluidic circuit and at least one electrical circuit disposed in communication with the micro-fluidic circuit for determining a property of a fluid contained within the at least one micro-fluidic circuit. | 08-08-2013 |
20130200040 | TITANIUM NITRIDE REMOVAL - A chemical solution that removes undesired metal hard mask yet remains selective to the device wiring metallurgy and dielectric materials. The present disclosure decreases aspect ratio by selective removal of the metal hard mask before the metallization of the receiving structures without adverse damage to any existing metal or dielectric materials required to define the semiconductor device, e.g. copper metallurgy or device dielectric. Thus, an improved aspect ratio for metal fill without introducing any excessive trapezoidal cross-sectional character to the defined metal receiving structures of the device will result. | 08-08-2013 |
20130200321 | POST-FABRICATION SELF-ALIGNED INITIALIZATION OF INTEGRATED DEVICES - Defining an active region of a phase change memory (PCM) cell including depositing a first layer of material having a first chemical composition. A second layer of material having a second chemical composition is deposited on top of the first layer of material. An electrical current pulse is applied to locally heat a region of the first layer of material and the second layer of material to cause at least one of an inter-diffusion and a liquid mixing of the first layer of material and the second layer of material. This results in in the PCM cell containing a self-aligned region that includes a phase change material that is a mixture of the first chemical composition and the second chemical composition. | 08-08-2013 |
20130200434 | USE OF CONTACTS TO CREATE DIFFERENTIAL STRESSES ON DEVICES - Disclosed herein are various methods and structures using contacts to create differential stresses on devices in an integrated circuit (IC) chip. An IC chip is disclosed having a p-type field effect transistor (PFET) and an n-type field effect transistor (NFET), a PFET contact to a source/drain region of the PFET and an NFET contact to a source/drain region of the NFET. In a first embodiment, a silicon germanium (SiGe) layer is included only under the PFET contact, between the PFET contact and the source/drain region of the PFET. In a second embodiment, either the PFET contact extends into the source/drain region of the PFET or the NFET contact extends into the source/drain region of the NFET. | 08-08-2013 |
20130200458 | DEVICES WITH GATE-TO-GATE ISOLATION STRUCTURES AND METHODS OF MANUFACTURE - Devices having gate-to-gate isolation structures and methods of manufacture are provided. The method includes forming a plurality of isolation structures in pad films and an underlying substrate. The method further includes forming a plurality of fins including the isolation structures and a second plurality of fins including the two pad films and a portion of the underlying substrate, each of which are separated by a trench. The method further includes removing portions of the second plurality of fins resulting in a height lower than a height of the plurality of fins including the isolation structures. The method further includes forming gate electrodes within each trench, burying the second plurality of fins and abutting sides of the plurality of fins including the isolation structures. The plurality of fins including the isolation structures electrically and physically isolate adjacent gate electrode of the gate electrodes. | 08-08-2013 |
20130200486 | EXTREMELY THIN SEMICONDUCTOR-ON-INSULATOR (ETSOI) LAYER - Various aspects include extremely thin semiconductor-on-insulator (ETSOI) layers. In one embodiment, an ETSOI layer includes a plurality of shallow trench isolations (STI) defining a plurality of distinct semiconductor-on-insulator (SOI) regions, the distinct SOI regions having at least three different thicknesses; at least one recess located within the distinct SOI regions; and an oxide cap over the at least one recess. | 08-08-2013 |
20130200520 | THREE-DIMENSIONAL (3D) INTEGRATED CIRCUIT WITH ENHANCED COPPER-TO-COPPER BONDING - At least one metal adhesion layer is formed on at least a Cu surface of a first device wafer. A second device wafer having another Cu surface is positioned atop the Cu surface of the first device wafer and on the at least one metal adhesion layer. The first and second device wafers are then bonded together. The bonding includes heating the devices wafers to a temperature of less than 400° C., with or without, application of an external applied pressure. During the heating, the two Cu surfaces are bonded together and the at least one metal adhesion layer gets oxygen atoms from the two Cu surfaces and forms at least one metal oxide bonding layer between the Cu surfaces. | 08-08-2013 |
20130201336 | TRAFFIC SYSTEM FOR ENHANCING DRIVER VISIBILITY - Embodiments described herein comprise a system and method for improving visibility of a roadway using an improved visibility system. The method comprising receiving data from a plurality of fog detectors located proximate a roadway and determining, based on the data from the plurality of fog detectors, that fog is present about the roadway. The method further comprising obtaining, after the determining that fog is present about the roadway, a plurality of images of the roadway by activating a plurality of cameras located proximate the roadway. The method further comprising creating a composite image by combining two or more of the plurality of images, wherein the composite image depicts the roadway unobstructed by fog and transmitting the composite image to a display device located in a vehicle traveling along the roadway. | 08-08-2013 |
20130203231 | SELECTIVE ETCH CHEMISTRY FOR GATE ELECTRODE MATERIALS - A chemical solution including an aqueous solution, an oxidizing agent, and a pH stabilizer selected from quaternary ammonium salts and quaternary ammonium alkali can be employed to remove metallic materials in cavities for forming a semiconductor device. For example, metallic materials in gate cavities for forming a replacement gate structure can be removed by the chemical solution of the present disclosure with, or without, selectivity among multiple metallic materials such as work function materials. The chemical solution of the present disclosure provides different selectivity among metallic materials than known etchants in the art. | 08-08-2013 |
20130203234 | HIGHLY SCALABLE TRENCH CAPACITOR - An improved trench structure, and method for its fabrication are disclosed. Embodiments of the present invention provide a trench in which the collar portion has an air gap instead of a solid oxide collar. The air gap provides a lower dielectric constant. Embodiments of the present invention can therefore be used to make higher-performance devices (due to reduced parasitic leakage), or smaller devices, due to the ability to use a thinner collar to achieve the same performance as a thicker collar comprised only of oxide (with no air gap). Alternatively, a design choice can be made to achieve a combination of improved performance and reduced size, depending on the application. | 08-08-2013 |
20130203246 | SEMICONDUCTOR CHIP WITH GRAPHENE BASED DEVICES IN AN INTERCONNECT STRUCTURE OF THE CHIP - A semiconductor structure includes a first dielectric material including at least one first conductive region contained therein. The structure also includes at least one graphene containing semiconductor device located atop the first dielectric material. The at least one graphene containing semiconductor device includes a graphene layer that overlies and is in direct with the first conductive region. The structure further includes a second dielectric material covering the at least one graphene containing semiconductor device and portions of the first dielectric material. The second dielectric material includes at least one second conductive region contained therein, and the at least one second conductive region is in contact with a conductive element of the at least one graphene containing semiconductor device. | 08-08-2013 |
20130204733 | Ghost Bidding and Serious Bidder Detection in Automated Auctions - Potential ghost bidding and non-serious bidding in an automated auction is detected and alerted to a user by retrieving by a computer one or more historical automated auction records related to an offering party in a current automated auction; detecting by a computer the retrieved records that a same or similar item is being offered in the current automated auction that has been offered in a previous automated auction; responsive to the detecting, increasing a ghost bidding likelihood parameter by a computer; determining by a computer that a bidder in the current automated auction also bid in one or more of the historical automated auctions; responsive to the determining, increasing by a computer the ghost bidding likelihood parameter; and alerting a user of the current automated auction of the ghost bidding likelihood parameter for each determined bidder. | 08-08-2013 |
20130204846 | ENFORCING TEMPORAL UNIQUENESS OF INDEX KEYS UTILIZING KEY-VALUED LOCKING IN THE PRESENCE OF PSEUDO-DELETED KEYS - Techniques are described for identifying conflicts between a prospective temporal key and an index of temporal keys, the index sorted based on a time value associated with each of the temporal keys. Embodiments determine whether a first temporal key within the index of temporal keys conflicts with the prospective temporal key. Here, the keys within the index may be sorted based upon a respective time value associated with each of the keys. Upon determining that the first temporal key conflicts with the prospective temporal key, the prospective temporal key is designated as conflicting with at least one existing temporal key in the index of temporal keys. | 08-08-2013 |
20130204848 | DEDUPLICATED DATA PROCESSING RATE CONTROL - A plurality of workers is configured for parallel processing of deduplicated data entities in a plurality of chunks. The deduplicated data processing rate is regulated using a rate control mechanism. The rate control mechanism incorporates a debt/credit algorithm specifying which of the plurality of workers processing the deduplicated data entities must wait for each of a plurality of calculated required sleep times. The rate control mechanism limits a data flow rate based on a penalty acquired during a last processing of one of the plurality of chunks in a retroactive manner, and operates on at least one vector representation of at least one limit specification to accommodate a variety of available dimensions corresponding to the at least one limit specification. | 08-08-2013 |
20130204866 | OBJECT TAG METADATA AND IMAGE SEARCH - Techniques are disclosed for ordering images. The technique includes receiving a request, the request including keywords and parameters specifying desired image characteristics. Further, the technique includes identifying a set of images responsive to the request based on a match of the keywords to tags associated with objects depicted in the set of images. Finally, the technique includes determining an ordering of the identified set of images by comparing the received parameters with metadata fields associated with respective tags of the objects and returning an indication of the set of images and the determined ordering of the images. | 08-08-2013 |
20130204877 | ATTRIBUTION USING SEMANTIC ANALYISIS - A method, system, and computer program product for semantic attribution of a request. Source data statements for the request are received. A selection of a domain for the received source data statements is received. The received source data statements are semantically analyzed, which includes matching elements in the received source data statements to respective one or more entries in an ontology associated with the selected domain. The ontology includes items and relationships that define the selected domain. Each element in the received source data statements is a word or a phrase. The one or more entries are assigned to the matched elements, respectively, to annotate each matched element with a respective annotation consisting of the respective one or more entries. The annotated elements are saved with the respective annotations. | 08-08-2013 |
20130204920 | TRANSFERRING SESSION DATA BETWEEN NETWORK APPLICATIONS - In a method for transferring session data from a first application accessible via at least one DNS name in a first DNS domain to a second application accessible via at least one DNS name in a second DNS domain, a computer receives via the first application a first HTTP request from an HTTP client, and in response, the computer establishes a first session with the HTTP client. The computer receives, from the HTTP client, a second HTTP request comprising an identifier of the second application, and in response, the computer stores in a memory a data structure identifiable by a data structure identifier and containing data pertaining to the first session. Responsive to storing the data structure, the computer transmits, to the HTTP client, an HTTP response comprising the data structure identifier, a redirection status code, and a URI comprising a DNS name in the second DNS domain. | 08-08-2013 |
20130204960 | ALLOCATION AND BALANCING OF STORAGE RESOURCES - A method and technique for allocation and balancing of storage resources includes: determining, for each of a plurality of storage controllers, an input/output (I/O) latency value based on an I/O latency associated with each storage volume controlled by a respective storage controller; determining network bandwidth utilization and network latency values corresponding to each storage controller; responsive to receiving a request to allocate a new storage volume, selecting a storage controller having a desired I/O latency value; determining whether the network bandwidth utilization and network latency values for the selected storage controller are below respective network bandwidth utilization and network latency value thresholds; and responsive to determining that the network bandwidth utilization and network latency values for the selected storage controller are below the respective thresholds, allocating the new storage volume to the selected storage controller. | 08-08-2013 |
20130204985 | TRANSPARENT HETEROGENOUS LINK PAIRING - A method, system and computer program product for managing at least two physical links. A TCP/IP stack configures a first interface with attributes. The TCP/IP stack activates the first interface. The TCP/IP stack detects a channel path identifier (CHPID). The TCP/IP stack creates a second interface. The TCP/IP stack associates the second interface with the first interface. The first interface and the second interface are logically linked to exchange traffic corresponding to a single internet protocol address. The TCP/IP stack configures the second interface with at least one attribute. The TCP/IP stack activates the second interface. The two physical links may rely on two different physical link types. | 08-08-2013 |
20130205023 | SYSTEM AND METHOD FOR DETERMINING AND OPTIMIZING RESOURCES OF DATA PROCESSING SYSTEM UTILIZED BY A SERVICE REQUEST - A computer-implemented method for determining resources utilized by a service request in a data processing system. The method includes determining monitored relationship types from monitoring data, determining relationship domains, determining intra-domain relationships from relationships that are internal to the relationship domains and determining cross-domain relationships from the intra-domain relationships that are linked between pairs of the relationship domains, and determining resources utilized by the service request from the intra-domain and cross-domain relationships. The domains are derived from one of the relationship types that is monitored by a single monitoring application. | 08-08-2013 |
20130205039 | LOSSLESS SOCKET-BASED LAYER 4 TRANSPORT (RELIABILITY) SYSTEM FOR A CONVERGED ETHERNET NETWORK - A reliability system for a Converged Enhanced Ethernet network may include a plurality of end points each comprising a layer | 08-08-2013 |
20130205041 | ROUTING A SESSION INITIATION PROTOCOL (SIP) MESSAGE IN A COMMUNICATION SYSTEM - An approach that provides routing a SIP message to a proxy server of a called party in a communication network is provided. In one embodiment, there is a routing tool that assists in routing a session initiation protocol (SIP) message to a called party. The routing tool, including a receiving component, receives a SIP message of a user making a call in the network. The routing tool further includes a parsing component configured to parse the message to determine the domain of the called party and performs a table lookup using the domain of the called party in order to: retrieve a destination code; embed the destination code into the message; and route the message based on the destination code. | 08-08-2013 |
20130205050 | DETERMINING EXTENDED CAPABILITY OF A CHANNEL PATH - A computer program product includes a tangible storage storing instructions for performing a method. The method includes receiving a request at a channel subsystem in a host computer system from an operating system in the host computer system to provide a channel path description for a channel path, the channel subsystem configured to direct information flow between memory and the control unit via the channel path; and outputting from the channel subsystem to the operating system, a channel path description block including the channel path description for the channel path in response to the request. The channel path description block includes: a descriptor field (DESC) indicating that the channel path supports a Fibre Channel protocol for commanding an I/O operation; and an extension support indicator field (F) specifying whether the channel path supports an extension to the Fibre Channel protocol. | 08-08-2013 |
20130205061 | SYSTEM AND METHOD FOR USING A MULTIPATH - In a path determination unit of a SAS expander connected to a SAS initiator and connected via first and second paths to a SAS target, an SSP controller receives an SSP command frame received from the SAS initiator; a requested-data-length manager stores a requested data length of the SSP command frame in a requested-data-length storage unit; and a data-transfer-amount manager selects one of the first and second paths having a smaller one of the data transfer amounts stored in a data-transfer-amount storage unit, and adds the requested data length to the data transfer amount of the selected path. The SSP command frame is transmitted to the SAS target via the selected path. Upon receipt of an SSP response frame responding thereto, the requested data length is deleted from the requested-data-length storage unit, and the requested data length is subtracted from the data transfer amount of the selected path. | 08-08-2013 |
20130205077 | PROMOTION OF PARTIAL DATA SEGMENTS IN FLASH CACHE - For efficient track destage in secondary storage in a more effective manner, for temporal bits employed with sequential bits for controlling the timing for destaging the track in a primary storage, the temporal bits and sequential bits are transferred from the primary storage to the secondary storage. The temporal bits are allowed to age on the secondary storage. | 08-08-2013 |
20130205078 | Extending Cache for an External Storage System into Individual Servers - Mechanisms are provided for extending cache for an external storage system into individual servers. Certain servers may have cards with cache in the form of dynamic random access memory (DRAM) and non-volatile storage, such as flash memory or solid-state drives (SSDs), which may be viewed as actual extensions of the external storage system. In this way, the storage system is distributed across the storage area network (SAN) into various servers. Several new semantics are used in communication between the cards and the storage system to keep the read caches coherent. | 08-08-2013 |
20130205084 | STRIDE BASED FREE SPACE MANAGEMENT ON COMPRESSED VOLUMES - Compressed data is maintained in a plurality of strides of a redundant array of independent disks, wherein a stride is configurable to store a plurality of tracks. A request is received to write one or more tracks, and a determination is made as to whether all of the one or more tracks can be stored in one selected stride of the plurality of strides. In response to determining that all of the one or more tracks can be stored in the one selected stride, the one or more tracks are written in the one selected stride of the plurality of strides. | 08-08-2013 |
20130205087 | FORWARD PROGRESS MECHANISM FOR STORES IN THE PRESENCE OF LOAD CONTENTION IN A SYSTEM FAVORING LOADS - A multiprocessor data processing system includes a plurality of cache memories including a cache memory. In response to the cache memory detecting a storage-modifying operation specifying a same target address as that of a first read-type operation being processed by the cache memory, the cache memory provides a retry response to the storage-modifying operation. In response to completion of the read-type operation, the cache memory enters a referee mode. While in the referee mode, the cache memory temporarily dynamically increases priority of any storage-modifying operation targeting the target address in relation to any second read-type operation targeting the target address. | 08-08-2013 |
20130205093 | MANAGEMENT OF POINT-IN-TIME COPY RELATIONSHIP FOR EXTENT SPACE EFFICIENT VOLUMES - A storage controller receives a request to establish a point-in-time copy operation by placing a space efficient source volume in a point-in-time copy relationship with a space efficient target volume, wherein subsequent to being established the point-in-time copy operation is configurable to consistently copy the space efficient source volume to the space efficient target volume at a point in time. A determination is made as to whether any track of an extent is staging into a cache from the space efficient target volume or destaging from the cache to the space efficient target volume. In response to a determination that at least one track of the extent is staging into the cache from the space efficient target volume or destaging from the cache to the space efficient target volume, release of the extent from the space efficient target volume is avoided. | 08-08-2013 |
20130205094 | EFFICIENT TRACK DESTAGE IN SECONDARY STORAGE - For efficient track destage in secondary storage in a more effective manner, for temporal bits employed with sequential bits for controlling the timing for destaging the track in a primary storage, the temporal bits and sequential bits are transferred from the primary storage to the secondary storage. The temporal bits are allowed to age on the secondary storage. | 08-08-2013 |
20130205095 | PROCESSING READ REQUESTS BY A STORAGE SYSTEM - Read messages are issued by a client for data stored in a storage system. A client agent mediates between the client and the storage system. Each sequence of read requests generated by a single thread of execution in the client to read a specific data segment in the storage is defined as a client read session. Each read request sent from the client agent to the storage system includes a position and a size for reading. The read-ahead cache and a current sequence ID value for each client read session are maintained. For each incoming read request, the storage system determines whether to further process the read request based on a sequence ID value of the read request, and the source from which to obtain data for the read request, and which of the data to load into the read-ahead cache according to data positions of the read request. | 08-08-2013 |
20130205096 | FORWARD PROGRESS MECHANISM FOR STORES IN THE PRESENCE OF LOAD CONTENTION IN A SYSTEM FAVORING LOADS BY STATE ALTERATION - A multiprocessor data processing system includes a plurality of cache memories including a cache memory. The cache memory issues a read-type operation for a target cache line. While waiting for receipt of the target cache line, the cache memory monitors to detect a competing store-type operation for the target cache line. In response to receiving the target cache line, the cache memory installs the target cache line in the cache memory, and sets a coherency state of the target cache line installed in the cache memory based on whether the competing store-type operation is detected. | 08-08-2013 |
20130205109 | DATA ARCHIVING USING DATA COMPRESSION OF A FLASH COPY - Embodiments of the disclosure relate to archiving data in a storage system. An exemplary embodiment comprises making a flash copy of data in a source volume, compressing data in the flash copy wherein each track of data is compressed into a set of data pages, and storing the compressed data pages in a target volume. Data extents for the target volume may be allocated from a pool of compressed data extents. After each stride worth of data is compressed and stored in the target volume, data may be destaged to avoid destage penalties. Data from the target volume may be decompressed from a flash copy of the target volume in a reverse process to restore each data track, when the archived data is needed. Data may be compressed and uncompressed using a Lempel-Ziv-Welch process. | 08-08-2013 |
20130205116 | MULTI-THREADED PROCESSOR INSTRUCTION BALANCING THROUGH INSTRUCTION UNCERTAINTY - A computer-implemented method for instruction execution in a pipeline, includes fetching, in the pipeline, a plurality of instructions, wherein the plurality of instructions includes a plurality of branch instructions, for each of the plurality of branch instructions, assigning a branch uncertainty to each of the plurality of branch instructions, for each of the plurality of instructions, assigning an instruction uncertainty that is a summation of branch uncertainties of older unresolved branches, and balancing the instructions, based on a current summation of instruction uncertainty, in the pipeline. | 08-08-2013 |
20130205121 | PROCESSOR PERFORMANCE IMPROVEMENT FOR INSTRUCTION SEQUENCES THAT INCLUDE BARRIER INSTRUCTIONS - A technique for processing an instruction sequence that includes a barrier instruction, a load instruction preceding the barrier instruction, and a subsequent memory access instruction following the barrier instruction includes determining, by a processor core, that the load instruction is resolved based upon receipt by the processor core of an earliest of a good combined response for a read operation corresponding to the load instruction and data for the load instruction. The technique also includes if execution of the subsequent memory access instruction is not initiated prior to completion of the barrier instruction, initiating by the processor core, in response to determining the barrier instruction completed, execution of the subsequent memory access instruction. The technique further includes if execution of the subsequent memory access instruction is initiated prior to completion of the barrier instruction, discontinuing by the processor core, in response to determining the barrier instruction completed, tracking of the subsequent memory access instruction with respect to invalidation. | 08-08-2013 |
20130205138 | SYSTEM AND METHOD FOR REAL WORLD BIOMETRIC ANALYTICS THROUGH THE USE OF A MULTIMODAL BIOMETRIC ANALYTIC WALLET - A system and method for real world biometric analytics through the use of a multimodal analytic wallet. The system includes a biometric wallet comprising a pervasive repository for storing biometric data, the pervasive repository including at least one of a biometric layer, a genomic layer, a health layer, a privacy layer, and a processing layer. The biometric wallet further comprises a biometric analytic interface configured to communicate the biometric data to one or more devices. | 08-08-2013 |
20130205230 | ESTABLISHING A CHAT SESSION BETWEEN USERS IN A NETWORK SYSTEM - A method and system for establishing a chat session between users in a network system. A request is received, from a first user at a first browser, to enter a chat session with a second user at a second browser. A request for information from a server specified by the second user is received asynchronously from the second user at the second browser, wherein the second browser has not received notice of the request from the first user to enter the chat session. The server is accessed to receive the information. The received information is modified by addition to the received information of a chat user interface to open a browser window at the second browser. Content of the browser window causes a chat applet instance to be downloaded for execution at the second browser to instantiate the chat session between the first user and the second user. | 08-08-2013 |
20130205365 | Policy and compliance management for user provisioning systems - A user provisioning system is extended to enable account reconciliation to occur in conjunction with a provisioning request. In response to a user provisioning request, a determination is made whether the user provisioning request is to be extended by including a reconciliation request. If so, the reconciliation request is piggy-backed on top of the provisioning request. This approach enables the reconciliation operation to be scoped to just the particular user account that is the subject to the provisioning operation, and it enables reconciliation to be carried out much more frequently as compared to the periodic, batch-oriented approach of prior techniques. | 08-08-2013 |
20130205368 | RETROSPECTIVE POLICY SAFETY NET - These and other objectives are attained with a method and system for evaluating an access policy change. The method comprises the step of providing an access control mechanism having a first policy, and an audit log having entries of accesses made under that first policy. The method comprises the further steps of submitting a second policy to the access control mechanism, comparing the log entries to the second policy, and based on the results of the comparing step, taking one of a predetermined number of actions. | 08-08-2013 |
20130205391 | Formal Analysis of the Quality and Conformance of Information Flow Downgraders - Mechanisms for evaluating downgrader code in application code with regard to one or more security guidelines are provided. Downgrader code in application code is identified, where the downgrader code is a portion of code in the application code that operates on an information flow of the application code to ensure confidentiality of information input to the downgrader code, in the output of the downgrader code. Processes of the downgrader code are evaluated against security guidelines to determine if the processes violate the security guidelines. A notification is generated in response to the evaluation indicating that the processes of the downgrader code violate the security guidelines. The notification is output to a computing device for consideration. | 08-08-2013 |
20130205393 | Increasing Availability of an Industrial Control System - A mechanism is provided to improve the availability of an ICS and an external system that uses data from the ICS by ensuring operation of the ICS and opera on of the system even if an anomaly has occurred in a device in the ICS. The mechanism receives measured data from the plurality of devices, calculates prediction data by using the measured data and correlation information used for deriving prediction data for correlated devices, and provides the measured data and the prediction data. | 08-08-2013 |
20130205394 | Threat Detection in a Data Processing System - A mechanism is provided for resolving a detected threat. A request is received from a requester to form a received request, statistics associated with the received request are extracted to form extracted statistics, rules validation is performed for the received request using the extracted statistics, and a determination is made as to whether the request is a threat. Responsive to a determination that the request is a threat, the requester is escalated using escalation increments, where the using escalation increments further comprises increasing user identity and validation requirements through one of percolate to a next user level or direct entry to a user level. | 08-08-2013 |
20130205396 | Detecting Malicious Software - A computer implemented method, apparatus, and program code for detecting malicious software components. A series of calls made by a software component is monitored to identify an identified respective series of call types to components named in said calls. A determination is made as to whether the identified respective series of call types to components named in said calls is indicative of malicious behavior. | 08-08-2013 |
20130208070 | PRINTER CHARACTERIZATION OF PRINT MEDIA - A printer has a heat source disposed adjacent a temperature sensor to transfer heat upon activation across a portion of an introduced print media to the temperature sensor and a controller to receive a signal from the temperature sensor and to obtain a temperature signature generally identifying attributes of the introduced print media and to adjust print head settings in response to the identified attributes. The printer may further comprise a sensor to detect access to a print media storage compartment and to initiate examination of the heat transfer properties of the introduced print media upon detecting that the print storage compartment has been accessed. | 08-15-2013 |
20140102541 | WATER OUTLET CONTROL SYSTEM USING SOUND VARIATION - The flow of a fluid such as water is controlled through an outlet by detecting sound within an environment at a first time and generating a first profile based upon the content of the sound detected at the first time. The flow of the fluid is further controlled by detecting sound within the environment at a second time and generating a second profile based upon the content of the sound detected at the second time. The flow of the fluid is still further controlled by comparing the second profile with the first profile and controlling a control valve to shut off a supply of the fluid exiting a tap based upon the results of the comparison. | 04-17-2014 |
20140110794 | FACILITATING GATE HEIGHT UNIFORMITY AND INTER-LAYER DIELECTRIC PROTECTION - Methods of facilitating replacement gate processing and semiconductor devices formed from the methods are provided. The methods include, for instance, providing a plurality of sacrificial gate electrodes with sidewall spacers, the sacrificial gate electrodes with sidewall spacers being separated by, at least in part, a first dielectric material, wherein the first dielectric material is recessed below upper surfaces of the sacrificial gate electrodes, and the upper surfaces of the sacrificial gate electrodes are exposed and coplanar; conformally depositing a protective film over the sacrificial gate electrodes, the sidewall spacers, and the first dielectric material; providing a second dielectric material over the protective film, and planarizing the second dielectric material, stopping on and exposing the protective film over the sacrificial gate electrodes; and opening the protective film over the sacrificial gate electrodes to facilitate performing a replacement gate process. | 04-24-2014 |
20140143214 | MAINTAINING ACCESS CONTROL LISTS IN NON-IDENTITY-PRESERVING REPLICATED DATA REPOSITORIES - Managing access control lists (ACLs) across replicated data repositories can include selecting, from a first data processing system, a controlled object and an ACL object bound to the controlled object, wherein the first data processing system is associated with a first user identity space, and creating, using a processor, a replicated version of the controlled object within a second data processing system associated with a second user identity space, wherein the second user identity space is different from the first user identity space. Managing ACLs further includes, creating, using the processor, a replicated version of the ACL object within the second data processing system and substituting, within the replicated version of the ACL object, an identity from the first user identity space with a selected identity from the second user identity space. | 05-22-2014 |
20140222740 | CONSOLIDATING MULTIPLE NEUROSYNAPTIC CORES INTO ONE MEMORY - Embodiments of the invention relate to a neural network system comprising a single memory block for multiple neurosynaptic core modules. One embodiment comprises a neural network system including a memory array that maintains information for multiple neurosynaptic core modules. Each neurosynaptic core module comprises multiple neurons. The neural network system further comprises at least one logic circuit. Each logic circuit receives neuronal firing events targeting a neurosynaptic core module of the neural network system, and said logic circuit integrates the firing events received based on information maintained in said memory for said neurosynaptic core module. | 08-07-2014 |
20140266513 | COAXIAL TRANSMISSION LINE SLOT FILTER WITH ABSORPTIVE MATRIX - A filter is provided and includes potting material formed into a body defining a through-hole. The body includes first and second opposing faces and a sidewall extending between the first and second opposing faces. The sidewall is formed to define first and second openings at opposite ends of the through-hole, first angles at an interface between the sidewall and the first face and second angles, which complement the first angles, at an interface between the sidewall and the second face. | 09-18-2014 |
20140282563 | DEPLOYING PARALLEL DATA INTEGRATION APPLICATIONS TO DISTRIBUTED COMPUTING ENVIRONMENTS - System, method, and computer program product to process parallel computing tasks on a distributed computing system, by computing an execution plan for a parallel computing job to be executed on the distributed computing system, the distributed computing system comprising a plurality of compute nodes, generating, based on the execution plan, an ordered set of tasks, the ordered set of tasks comprising: (i) configuration tasks, and (ii) execution tasks for executing the parallel computing job on the distributed computing system, and launching a distributed computing application to assign the tasks of the ordered set of tasks to the plurality of compute nodes to execute the parallel computing job on the distributed computing system. | 09-18-2014 |