52nd week of 2019 patent applcation highlights part 54 |
Patent application number | Title | Published |
20190392964 | DIFFUSION BARRIER FOR IMPLANTABLE ELECTRODE LEADS - A process for producing an electrical conductor structure that involves embedding at least one metallic conductor track and at least one heating conductor in an electrically insulating substrate, and producing an electric current in the heating conductor so that a first layer of the substrate and a second layer of the substrate fuse in an area surrounding the heating conductor, to seal an interface between the two layers. A conductor structure is also disclosed, in particular in the form of an implantable electrode lead. | 2019-12-26 |
20190392965 | HIGH-VOLTAGE INSULATOR HAVING AN ARC PROTECTION RING - The invention relates to a high-voltage insulator ( | 2019-12-26 |
20190392966 | GROMMET, ELECTRONIC MEMBER AND METHOD OF FORMING SAID GROMMET - A method of forming a grommet configured to provide support for a cable exiting of a housing is presented herein. The method includes the steps of providing a sleeve and a sealing part and providing one or more reinforcement members in a region of a transition between the sleeve and the sealing part. At least one of the sleeve and the sealing part are made from a material that is softer than a material of said one or more reinforcement members. | 2019-12-26 |
20190392967 | Thin-Film Resistor (TFR) Module With Top-Side Interconnects Connected to Reduced TFR Ridges and Manufacturing Methods - A method for manufacturing a thin film resistor (TFR) module in an integrated circuit (IC) structure is provided. A TFR trench may be formed in an oxide layer. A resistive TFR layer may be deposited over the structure and extending into the trench. Portions of the TFR layer outside the trench may be removed by CMP to define a TFR element including a laterally-extending TFR bottom region and a plurality of TFR ridges extending upwardly from the laterally-extending TFR bottom region. At least one CMP may be performed to remove all or portions of the oxide layer and at least a partial height of the TFR ridges. A pair of spaced-apart metal interconnects may then be formed over opposing end regions of the TFR element, wherein each metal interconnect contacts a respective upwardly-extending TFR ridge, to thereby define a resistor between the metal interconnects via the TFR element. | 2019-12-26 |
20190392968 | Thick-Film Aluminum Electrode Paste with Pretreatment before Metal Plating for Fabricating Chip Resistor - A thick-film aluminum (Al) electrode paste is provided to fabricate a chip resistor. The paste is a mixture of a vanadium-zinc-boron series glass (V | 2019-12-26 |
20190392969 | SEPARATION OF MANGANESE BISMUTH POWDERS - A method of increasing volume ratio of magnetic particles in a MnBi alloy includes depositing a MnBi alloy powder containing magnetic particles and non-magnetic particles on a sloped surface having a magnetic field acted thereupon. The method further includes collecting falling non-magnetic particles while separated magnetic particles are magnetically retained on the sloped surface. | 2019-12-26 |
20190392970 | Methods of Making Physical Unclonable Functions Having Magnetic Particles - A method of making a physical unclonable function (PUF) having magnetic and non-magnetic particles is disclosed. Measuring both magnetic field and image view makes the PUF difficult to counterfeit. PUF may be incorporated into a user-replaceable supply item for an imaging device. A PUF reader may be incorporated into an imaging device to read the PUF. Other methods are disclosed. | 2019-12-26 |
20190392971 | MAGNETIC FIXINGS AND CONNECTORS - A mechanism comprising first and second components configured to allow mechanical connection and disconnection of the components together by relative sliding of the components in a first linear direction. The first and second components each comprise magnetic parts, at least one of which is moveable within or around a guide in a second direction substantially perpendicular to said first linear direction under the influence of the other magnetic part, in order to secure together and or release the first and second components. When in the secured state, separation in a third linear direction substantially perpendicular to said first and second linear directions is prevented. | 2019-12-26 |
20190392972 | MAGNETORESISTIVE EFFECT ELEMENT, MAGNETIC HEAD, SENSOR, HIGH FREQUENCY FILTER, AND OSCILLATION ELEMENT - There is provided a magnetoresistive effect element having improved magnetoresistive effect. A magnetoresistive effect element MR includes a first ferromagnetic layer | 2019-12-26 |
20190392973 | COIL COMPONENT - A coil component includes a first alignment winding region in which a second wire is continuously wound so as to have turns such that the turns of the second wire are aligned with turns of a first wire having the same ordinal number outside the first wire in a direction perpendicular to a central axis. The ordinal number is counted from the turn nearest to the first flange portion. | 2019-12-26 |
20190392974 | VARIABLE INDUCTOR APPARATUS - An apparatus is provided that includes an inductor, a pair of modulating coils, a first switch and a second switch. The inductor includes two sub-loops electrically coupled with each other. The modulating coils include a first modulating coil and a second modulating coil respectively disposed corresponding to each of the two sub-loops. The first switch and the second switch are respectively disposed at the first modulating coil and the second modulating coil. Each of the first modulating coil and the second modulating coil forms an open loop when the first switch and the second switch are under an open status, and each of the first modulating coil and the second modulating coil forms a closed loop when the first switch and the second switch are under a closed status that enables a modulation of an inductance of the inductor. | 2019-12-26 |
20190392975 | ELECTRONIC DEVICE INCLUDING AT LEAST ONE INDUCTOR COMPRISING PASSIVE HEAT MANAGEMENT MEANS - An electronic device including a carrier, at least one active component, and at least one inductor, the inductor including a core and a winding surrounding at least part of the core, the core delimiting at least part of a magnetic field along which magnetic flux lines are intended to run. The core includes a body including at least two portions defining two successive zones of the magnetic path, the thickness of each portion being lower with respect to the length and to the width thereof, each portion including a surface that is lateral with respect to a direction of the magnetic path, a first of the portions being in direct contact with the carrier via part of its lateral surface and a second portion being positioned with respect to the carrier such that its lateral surface is free of contact with the carrier. | 2019-12-26 |
20190392976 | TRANSFORMER - Two through-holes ( | 2019-12-26 |
20190392977 | ELECTRONIC COMPONENT - An electronic component includes; a magnetic-body core having a plate-shaped portion and a core portion which extends from an upper surface of the plate-shaped portion; a winding wire which includes a wound portion wound by a rectangular wire into an Edgewise winding form and two non-wound portions extending from the wound portion to two distal ends, and the core portion is inserted through the wound portion; and a magnetic exterior body which covers at least the wound portion and the core portion. The two non-wound portions are respectively arranged along a bottom surface and at least one of the side surfaces of the plate-shaped portion. Parts of the two non-wound portions arranged along the bottom surface are electrodes. | 2019-12-26 |
20190392978 | MAGNETIC BASE BODY CONTAINING METAL MAGNETIC PARTICLES AND ELECTRONIC COMPONENT INCLUDING THE SAME - A magnetic base body in one embodiment of the invention includes first metal magnetic particles having a first average particle size, and second metal magnetic particles having a second average particle size smaller than the first average particle size. In the embodiment, a first insulating layer having a first thickness is provided on surfaces of the first metal magnetic particles, and a second insulating layer having a second thickness smaller than the first thickness is provided on surfaces of the second metal magnetic particles. | 2019-12-26 |
20190392979 | ELECTROMAGNETIC DEVICE INCLUDING IRON CORE SUPPORTING STRUCTURE - An electromagnetic device includes: an outer circumferential iron core; at least three iron core leg portions; and coils, wherein each of the iron core leg portions is disposed in such a way that one end portion of the coil is supported by the outer circumferential iron core in a cantilevered manner and magnetically bound to the outer circumferential iron core while the other end portion is magnetically bound to the other end portions of the other iron core leg portions, and includes a base including an opening for housing a portion of the coils and holding the outer circumferential iron core at a position of a predetermined height from the mounting surface and a supporting structure that is disposed in contact with the other end portions of the iron core leg portions and that supports the iron core leg portions at a predetermined height from the mounting surface. | 2019-12-26 |
20190392980 | TRANSFORMER DEVICE - A transformer device includes first conductive segments, second segments, and third conductive segments. The second segments include second conductive segments and first bridging segments. The first bridging segments are connected to the first conductive segments to form a first inductor. The third conductive segments include second bridging segments, and the third conductive segments are connected to the second conductive segments to form a second inductor. The first inductor is located on the second inductor. The first bridging segments and the first conductive segments form first interlaced portions along a first direction. The second bridging segments and the second conductive segments form second interlaced portions along a second direction. The first direction is different from the second direction. | 2019-12-26 |
20190392981 | THREE-DIMENSIONAL WOUND CORE OPEN DRY-TYPE TRANSFORMER COIL STRUCTURE AND WINDING METHOD THEREFOR - A three-dimensional wound core open dry-type transformer coil structure and a winding method therefor. The three-dimensional wound core open dry-type transformer coil structure comprises a three-dimensional wound core, an insulating cylinder disposed outside the three-dimensional wound core, and a coil winding wound onto the insulating cylinder. The coil winding is formed by winding insulating wires; comb-shaped supporting bars are uniformly disposed outside the insulating cylinder; the insulating wires are wound between racks of the comb-shaped supporting bars; coil taps are connected to the coil winding; the coil taps are led out onto a surface of the coil winding; a head end of the coil winding and a part of the coil winding leading out the coil taps are wound into a forward and reverse hybrid coil; and the remaining parts are wound into a fully forward coil. | 2019-12-26 |
20190392982 | PASSIVE COMPONENT STRUCTURE - A passive component structure includes an insulating substrate having a centered hollow portion and provided on a surface with a coil holding zone having at least one spiral receiving recess; at least one coil held in the coil holding zone and including a winding portion received in the spiral receiving recess and connected to a first and a second terminal; an insulating encapsulation member covering at least the insulating substrate and the winding portion of the coil; and a magnetic unit engaged with the hollow portion of the insulating substrate. With these arrangements, the passive component structure can include only one coil and be configured into an inductor, or can include two coils and be configured into a transformer. Therefore, the passive component structure has the advantages of having simple structure, reduced volume and improved insulation, and can be flexibly applied to make different electronic elements at reduced cost. | 2019-12-26 |
20190392983 | Inductor's Electromagnetic Balance Improvement Method - This invention proposes an inductor's electromagnetic balance improvement method which equips protective box inside magnet ring with an arc-shaped shield. It can block out electromagnetism of magnet ring from all angles to reduce remanence, improve inductor's electromagnetic balance, and shorten the gap between action current of fire wire and that of naught wire. In this way, product yield rate can be increased. | 2019-12-26 |
20190392984 | WIRELESS CHARGER HAVING ELECTROMAGNETIC SHIELDING FUNCTION - A wireless charger has an electromagnetic shielding function to efficiently shield electromagnetic waves generated in a transmitting coil of the wireless charger. The wireless charger includes a transmitting coil generating a magnetic field by a high frequency signal. The wireless charger further includes at least two electromagnetic wave shielding filters located on the transmitting coil and shielding electromagnetic waves generated in the transmitting coil. | 2019-12-26 |
20190392985 | STEP-UP BIPOLAR TRANSFORMER RECTIFIER WITHOUT COMMON MODE RIPPLE - A multi-phase transformer. The multi-phase transformer includes a single rectifier; and a plurality of groups of windings connected to the single rectifier. Each one of the plurality of groups of windings comprises: a corresponding plurality of primary windings having a first output voltage; a corresponding plurality of secondary windings having a second output voltage; and a corresponding plurality of tertiary windings having a third output voltage that is higher than the second output voltage. | 2019-12-26 |
20190392986 | IGNITION COIL FOR INTERNAL COMBUSTION ENGINE - An ignition coil for an internal combustion engine is provided. | 2019-12-26 |
20190392987 | INDUCTOR AND METHOD FOR PRODUCING THE SAME - An inductor includes an element containing a magnetic metal powder and a resin, a coil that includes a winding portion and paired extended portions extended from both ends of the winding portion and that is embedded in the element, a pair of an external terminal electrically connected to one of the extended portions and an external terminal electrically connected to the other of the extended portions, and a conductive layer disposed on a surface of the element that crosses the winding axis of the coil. The conductive layer includes a first metal layer formed by fusing together the magnetic metal powder near the surface of the element and a second metal layer formed by plating on the first metal layer. The electrical resistivity of the second metal layer is lower than the electrical resistivity of the first metal layer. | 2019-12-26 |
20190392988 | PLANAR SOLENOID INDUCTORS WITH ANTIFERROMAGNETIC PINNED CORES - A planar magnetic structure includes a closed loop structure having a plurality of core segments divided into at least two sets. A coil is formed about one or more core segments. A first antiferromagnetic layer is formed on a first set of core segments, and a second antiferromagnetic layer is formed on a second set of core segments. The first and second antiferromagnetic layers include different blocking temperatures and have an easy axis pinning a magnetic moment in two different directions, wherein when current flows through the coil, the magnetic moments rotate to form a closed magnetic loop in the closed loop structure. | 2019-12-26 |
20190392989 | SYSTEM AND METHOD TO MANAGE HIGH STRESSES IN BI-2212 WIRE WOUND COMPACT SUPERCONDUCTING MAGNETS - A coil for a magnet includes a superconductor comprising a Bi | 2019-12-26 |
20190392990 | Multilayer Device Having An Improved Antiferromagnetic Pinning Layer And A Corresponding Manufacturing Method - A method of producing a multilayer device, such as a multilayer magnetoelectronic device, and a device with an improved magnetic pinning. The device includes a multilayer structure including an antiferromagnetic pinning layer and one or more ferromagnetic layers. Each of the ferromagnetic layers has a boundary surface with the antiferromagnetic layer. The antiferromagnetic layer is deposited at a nonzero angle of incidence with respect to a direction perpendicular to the plane of extension of the antiferromagnetic pinning layer. This oblique incidence deposition gives rise to a surface roughness of the antiferromagnetic pinning layer which is described by a plane wave function. | 2019-12-26 |
20190392991 | ELECTRONIC COMPONENT AND METHOD OF PRODUCING ELECTRONIC COMPONENT - An electronic component includes a laminate and an external electrode provided on an end surface of the laminate. The external electrode includes a Ni layer provided on the end surface, a Ni—Sn alloy layer provided on the Ni layer, and a resin layer that is provided on the Ni—Sn alloy layer and includes metal grains including Sn grains. The Ni layer and the Ni—Sn alloy layer reduce or prevent intrusion of moisture from the external electrode into an interior of the laminate, and the resin layer reduces or prevents generation of cracks when a bending stress is applied to the external electrode. | 2019-12-26 |
20190392992 | THIN FILM CAPACITOR, MANUFACTURING METHOD THEREFOR, AND MULTILAYER CIRCUIT BOARD EMBEDDED WITH THIN FILM CAPACITOR - Disclosed herein is a thin film capacitor that includes a capacitive insulating film having first and second through holes, a first metal film provided on one surface of the capacitive insulating film, and a second metal film provided on the other surface of the capacitive insulating film. The first and second metal films are made of different metal materials from each other. The first metal film is divided into a first area positioned outside the first space and a second area positioned inside the first space. The second metal film is divided into a third area positioned outside the second space and a fourth area positioned inside the second space. The third area is connected to the second area through the first through hole. The fourth area is connected to the first area through the second through hole. | 2019-12-26 |
20190392993 | ELECTRONIC UNIT - An electronic unit includes an electrolytic capacitor, a covering resin layer, and electronic components. The electrolytic capacitor is on an upper surface of an insulating substrate. The covering resin layer covers the upper surface of the insulating substrate and the electronic components. Part of the covering resin layer serves as an electrolytic capacitor covering portion. The electrolytic capacitor covering portion includes an outer peripheral covering portion that covers an outer peripheral surface of the electrolytic capacitor and a top covering portion that covers a top portion of the electrolytic capacitor. A thin wall groove is formed in the top covering portion. The outer peripheral covering portion extends upward beyond the top covering portion by a height h. The top covering portion easily breaks at the thin wall groove so that an explosion-proof valve easily operates. A region corresponding to the height h creates an operating space of the explosion-proof valve. | 2019-12-26 |
20190392994 | SEPARATOR FOR ELECTROCHEMICAL ELEMENT AND ELECTROCHEMICAL ELEMENT - Provided is a separator for an electrochemical element that suppresses capacitance drop and voltage drop after use for a long time in a high temperature environment. The separator for an electrochemical element is a separator interposed between a pair of electrodes for isolating both the electrodes from each other and holding an electrolytic solution, in which the separator contains a cellulose-based fiber, and the total content of chlorine in the separator is 30 ppm or less. | 2019-12-26 |
20190392995 | Delamination-Resistant Solid Electrolytic Capacitor - A solid electrolytic capacitor comprising a capacitor element, anode lead extending from a surface of the capacitor element, an anode termination that is in electrical connection with the anode lead, a cathode termination that is in electrical connection with the solid electrolyte, and a casing material that encapsulates the capacitor element and anode lead is provided. An interfacial coating is disposed on at least a portion of the anode termination and/or cathode termination and is in contact with the casing material. The coating contains a hydrophobic resinous material and the adhesion strength of the casing material is about 5 newtons per square millimeter or more as determined at a temperature of about 23° C. and relative humidity of about 30%. | 2019-12-26 |
20190392996 | Solid Electrolytic Capacitor with Stable Electrical Properties at High Temperatures - A solid electrolytic capacitor is provided that contains a casing material that encapsulates the capacitor element. The casing material is formed from a curable resinous matrix that has a coefficient of thermal expansion of about 42 ppm/° C. or less at a temperature above the glass transition temperature of the resinous matrix. Further, the capacitor exhibits an initial equivalence series resistance of about 200 mohms or less as determined at an operating frequency of 100 kHz and temperature of 23° C., and the ratio of the equivalence series resistance of the capacitor after being exposed to a temperature of 125° C. for 560 hours to the initial equivalence series resistance of the capacitor is about 2.0 or less. | 2019-12-26 |
20190392997 | SOLID ELECTROLYTIC CAPACITOR AND METHOD OF MANUFACTURING SOLID ELECTROLYTIC CAPACITOR - A solid electrolytic capacitor according to the present disclosure includes an anode body made of a porous valve metal, a dielectric layer formed on a surface of the anode body, and a solid electrolyte layer formed on the dielectric layer. A carboxylic acid ester is filled in at least part of cavities inside the solid electrolyte layer. By the solid electrolytic capacitor according to the present disclosure, it is possible to provide a solid electrolytic capacitor capable of suppressing an increase in ESR and an increase in leakage current. | 2019-12-26 |
20190392998 | Solid Electrolytic Capacitor - A solid electrolytic capacitor containing a capacitor element is provided. The capacitor element contains a sintered porous anode body, a dielectric that overlies the anode body, and a solid electrolyte that overlies the dielectric. An anode lead extends from the front surface of the capacitor element in the longitudinal direction. An anode termination is in contact with the anode lead at a connection region, wherein the ratio of the distance between the connection region and the front surface of the capacitor element to the length of the capacitor is 0.13 or more. A cathode termination is in electrical connection with the solid electrolyte and a casing material encapsulates the capacitor element and anode lead. Further, an interfacial coating that is disposed on at least a portion of the anode termination and/or cathode termination and is in contact with the casing material. | 2019-12-26 |
20190392999 | ELECTROCHEMICAL CAPACITOR - Disclosed is a capacitor that is not easily degraded to stably maintain the performance for a long time. The electrochemical capacitor includes a first electrode provided in a rolled sheet form and having active material layers coated on both surfaces thereof; a second electrode provided in a rolled sheet form at an outer side of the first electrode to face the first electrode and having active material layers coated on both surfaces thereof; and a separator interposed and rolled between the first electrode and the second electrode, wherein at least a portion of an outermost layer of the first electrode is exposed to the outside. | 2019-12-26 |
20190393000 | SWITCH - A switch is provided with: a base; a fixed contact member that has a fixed contact; a movable contact member that has a movable contact, moves in a first direction to bring the movable contact into contact with the fixed contact, and moves in a second direction opposite to the first direction to separate the movable contact from the fixed contact; an elastic body that energizes the movable contact member in the second direction; and a cover that covers the fixed contact member and the movable contact member. An operation body is configured to move the movable contact member sequentially to a first position to which the movable contact member is moved from an initial position in the first direction to bring the contacts into contact with each other, a second position to which the movable contact member is moved from the first position in the second direction while the contacts are kept in contact, and a third position at which the movable contact member is moved again in the first direction from the second position, the third position located between the first position and the second position. | 2019-12-26 |
20190393001 | SEAT SWITCH ASSEMBLY CONTROLLING ONE OR MULTIPLE DEVICES APPLICABLE WITHIN A VEHICLE SEAT - A compression activated switch includes an electrically conductive contact layer pair formed of a conductive first contact layer for electrically connecting to a power source, and a conductive second contact layer spaced from the first contact layer for connecting to an application, and a resilient layer sandwiched between said first and second contact layers and having at least one resilient layer port; so that weight placed on the switch compresses the resilient layer and thereby advances said first contact layer toward said second contact layer until the first and second contact layers make electrically conductive contact through the at least one resilient layer port, closing the switch. A switch assembly includes multiple compression activated switches arrayed either horizontally or vertically and separated by insulating structures. | 2019-12-26 |
20190393002 | LIGHT DEVICE CONTROL APPARATUS - A light device control apparatus is designed to pair with a traditional switch device that has a traditional switch for accepting a first user manual operation to control a target device connected to the traditional switch device with an electrical wire. The traditional switch device has a connecting structure. The light device control apparatus has an attaching device, a cover body, a replacement switch and a wireless controller. The attaching device is attached to the connecting structure of the traditional switch device. The wireless controller wirelessly controls the target device. The replacement switch and the wireless component are not overlapped to each other vertically with respect to the surface cover of the traditional switch device. | 2019-12-26 |
20190393003 | KEYSWITCH STRUCTURE - A keyswitch structure includes a base having a positioning means, an cover combined with the base, a plunger with an cam portion movably coupling with the cover relative to the base, a restoring unit disposed between the base and the plunger to provide a restoring force to enable the plunger to move along a direction away from the base, a tactile feedback member having a positioning portion positioned by the positioning means and an extending arm extending across a moving path of the cam portion, and an adjusting unit being movable to drive the positioning portion to shift, so that the tactile feedback member has a first deformation or a second deformation, and a pressing force required for the cam portion to pass the extending arm when the plunger moves toward the base is changed. | 2019-12-26 |
20190393004 | KEYBOARD - A keyboard includes a plurality of keyswitch structures and an adjusting plate movably disposed under the keyswitch structures. Each of the keyswitch structures includes a tactile feedback member. The adjusting plate includes a plate body and a plurality of adjusting bars protruding from the plate body toward the keyswitch structures and respectively corresponding to the tactile feedback members of the keyswitch structures. When the adjusting plate moves relative to the keyswitch structures, the adjusting bars drive the tactile feedback members to change tactile feedback of the keyswitch structures. | 2019-12-26 |
20190393005 | SAFETY SWITCHING DEVICE WITH RECESSED SETTING COMPONENTS - A safety switching device for controlling a load having at least one input for receiving an input signal and one output for outputting an output signal, and having an electrical circuit which provides the output signal as a function of the input signal. The electrical circuit is arranged on a printed circuit board and is coupled to at least one setting component for setting an operating parameter of the safety switching device. The surface of the printed circuit board defines a mounting plane, and the at least one setting component has an adjusting element in a housing and is arranged recessed on the printed circuit board so that the housing of the adjusting element intersects the mounting plane. | 2019-12-26 |
20190393006 | HAIR TRIGGER TRAVEL STOP WITH ON-DEMAND SWITCHING - Methods, systems, apparatuses, and computer program products are provided for a user input device, such as a game controller. The user input device includes a finger depressible trigger button, a trigger travel path adjustment assembly that resides in an internal cavity of a housing of the user input device, and a finger switch configured to be switched between a plurality of selectable positions in real-time (e.g., during game play). A first selectable position of the finger switch enables the trigger button to be depressed a first distance. A second selectable position of the finger switch enables the trigger button to be depressed a second distance that is greater than the first distance. | 2019-12-26 |
20190393007 | Pressure Element - A pressure element for monitoring a fluid being applied to the pressure element, wherein the pressure element is configured to close an electrical circuit, as a result of a change in pressure which the fluid exerts on the pressure element, independently of an absolute value of the pressure, where the fluid preferably flows through a pipeline. | 2019-12-26 |
20190393008 | A PYROTECHNIC SHORT-CIRCUITER - A pyrotechnic short-circuiter has a body including a first chamber in communication with a pyrotechnic initiator; a second chamber having present therein a support in the form of a slide having present thereon two conductive parts, the support defining a housing, each conductive part having a deformable end portion, and a piston having a fitted conductive element, the pyrotechnic initiator being configured to cause the piston to pass from a first position in which the conductive element is disengaged from the end portions to a second position in which the conductive element is inserted in the housing and is in contact with each deformable end portion, the deformable end portions, in the second position, being deformed and exerting a holding force serving to block the conductive element in position in the housing. | 2019-12-26 |
20190393009 | ELECTROMAGNETIC RELAY - An electromagnetic relay includes a relay including an electromagnet, a contact which opens and closes in accordance with operation of the electromagnet, and an inner housing in which the electromagnet and the contact are accommodated, a support member on which the relay is elastically supported, an outer housing in which the relay is accommodated, and a weight which is attached to the relay. | 2019-12-26 |
20190393010 | MECHANISM FOR INDIRECT ACCESS TO AN ACTUATOR ON AN APPARATUS DISPOSED WITHIN A HOUSING - The present disclosure envisages a mechanism ( | 2019-12-26 |
20190393011 | DISC FUSE - A disc fuse including an electrically insulating substrate having a via formed therethrough extending between a first surface and a second surface of the substrate, an electrically conductive first terminal disposed on the first surface of the substrate, and an electrically conductive second terminal disposed on the second surface of the substrate, the second terminal including an outer portion having an inner edge defining a through-hole in the second terminal, the second terminal further including a fuse portion extending from the inner edge, the fuse portion comprising a fusible element terminating in a contact pad, wherein the substrate provides an electrically insulating barrier between the first terminal and the second terminal and wherein the via provides an electrical connection between the first terminal and the contact pad. | 2019-12-26 |
20190393012 | PLANAR GATE-INSULATED VACUUM CHANNEL TRANSISTOR - A current CMOS technology compatible process to create a planar gate-insulated vacuum channel semiconductor structure. In one example, the structure is created on highly doped silicon. In another example, the structure is created on silicon on insulator (SOI) over a box oxide layer. The planar gate-insulated vacuum channel semiconductor structure is formed over a planar complementary metal-oxide-semiconductor (CMOS) device with a gate stack and a tip-shaped SiGe source/drain region. Shallow trench isolation (STI) is used to form cavities on either side of the gate stack. The cavities are filled with dielectric material. Multiple etching techniques disclosed creates a void in a channel in the tip-shaped SiGe source/drain region under the gate stack. A vacuum is created in the void using physical vapor deposition (PVD) in a region above the tip-shaped SiGe source/drain regions. | 2019-12-26 |
20190393013 | MULTI-BEAM CHARGED PARTICLE IMAGING APPARATUS - A charged particle imaging apparatus comprising:
| 2019-12-26 |
20190393014 | Charged-Particle Beam Device - The objective of the present invention is to provide a charged-particle beam device capable of moving a field-of-view to an exact position even when moving the field-of-view above an actual sample. In order to attain this objective, a charged-particle beam device is proposed comprising an objective lens whereby a charged-particle beam is focused and irradiated onto a sample: a field-of-view moving deflector for deflecting the charged-particle beam; and a stage onto which the sample is placed. The charged-particle beam device is equipped with a control device which controls the lens conditions for the objective lens in such a manner that the charged-particle been focuses on the sample which is to be measured; moves the field-of-view via the field-of-view moving deflector while maintaining the lens conditions; acquires a plurality of images at each position among a reference pattern extending in a specified direction; and uses the plurality of acquired images to adjust the signal supplied to the field-of-view moving deflector. | 2019-12-26 |
20190393015 | ELECTRON SENSOR FOR ELECTRON MICROSCOPY - An electron sensor and a system with a plurality of electron sensors for electron microscopy using an electron microscope. More specifically, the electron microscope generates an electron beam that includes at least one electron that impacts on a lateral reception surface of said electron sensor and this generates an electrical charge of electron-hole (e-h) pairs that are detected and/or measured by at least electrodes linked to an electric circuit unit to form a high dynamic range image and measure the energy of the electrons impacting each pixel of the image. | 2019-12-26 |
20190393016 | TEM-BASED METROLOGY METHOD AND SYSTEM - A control system is presented for use in measuring one or more parameters of a three-dimensional patterned structure. The control system is configured as a computer system comprising a data processor configured to receive and process raw measured TEM image data, TEM | 2019-12-26 |
20190393017 | SUBSTRATE PROCESSING APPARATUS, SIGNAL SOURCE DEVICE, METHOD OF PROCESSING MATERIAL LAYER, AND METHOD OF FABRICATING SEMICONDUCTOR DEVICE - A substrate processing apparatus includes a processing chamber; a susceptor provided in the processing chamber, wherein the susceptor is configured to support a substrate; a first plasma generator disposed on one side of the processing chamber; and a second plasma generator disposed on another side of the processing chamber, wherein the second plasma generator is configured to generate plasma by simultaneously supplying a sinusoidal wave signal and a non-sinusoidal wave signal to the susceptor. By using a substrate processing apparatus, a signal source device, and a method of processing a material layer according to the inventive concept, a smooth etched surface may be obtained for a crystalline material layer without a risk of device damage by RDC. | 2019-12-26 |
20190393018 | Circuit for Impedance Matching Between a Generator and a Load at Multiple Frequencies, Assembly Comprising Such a Circuit and Related Use - The invention relates to a circuit (100) able to achieve simultaneous impedance matching between a generator (G) and a load (CH) for a power supply signal comprising at least two distinct frequencies. | 2019-12-26 |
20190393019 | System And Methods Using An Inline Surface Engineering Source - A system having an auxiliary plasma source, disposed proximate the workpiece, for use with an ion beam is disclosed. The auxiliary plasma source is used to create ions and radicals which drift toward the workpiece and may form a film. The ion beam is then used to provide energy so that the ions and radicals can process the workpiece. Further, various applications of the system are also disclosed. For example, the system can be used for various processes including deposition, implantation, etching, pre-treatment and post-treatment. By locating an auxiliary plasma source close to the workpiece, processes that were previously not possible may be performed. Further, two dissimilar processes, such as cleaning and implanting or implanting and passivating can be performed without removing the workpiece from the end station. | 2019-12-26 |
20190393020 | SURFACE POLYMER COATINGS - A plasma chamber ( | 2019-12-26 |
20190393021 | PLASMA PROCESSING APPARATUS AND PLASMA PROCESSING METHOD - The present invention provides a plasma processing apparatus and a plasma processing method which improve the uniformity and accordingly the yield in an etching treatment of a sample. In the plasma processing apparatus or the plasma processing method for treating a wafer placed on an upper surface of a sample table disposed in a treatment chamber in a vacuum container by using plasma generated in the treatment chamber, inductance of the coil is adjusted according to magnitude of an phase difference of the high frequency power flowing through the power supply path such that the voltage of the high frequency power becomes a maximum value or a minimum value, in which the coil is in a connection path that electrically connects, via the coil, positions between each electrode and each matching box on a plurality of power supply paths that electrically connect a plurality of electrodes and a plurality of electrodes high frequency power sources which supply high frequency power to the plurality of electrodes disposed at a center part and an area on an outer peripheral side of the center part in the sample table. | 2019-12-26 |
20190393022 | Sample Plate for Maldi Mass Spectrometry and Manufacturing Method Therefor - A sample plate for MALDI mass spectrometry, according to the present invention, enables separately positioning, by means of a plastic insulation plate, metal wiring and metal dots onto which an analyte sample is to be loaded, and electrically connecting same by means of a via or a metal portion, and thus the energy transferred into the plate when radiating a laser beam on the target(metal dots) may be reduced compared to a sample plate using a base metal, and thus laser energy may be concentrated on the target, and an effect may be achieved whereby heat loss is minimized. | 2019-12-26 |
20190393023 | METHOD OF SEPARATING DIFFERENT IONS HAVING SIMILAR MASS TO CHARGE RATIOS - A method of filtering ions ( | 2019-12-26 |
20190393024 | RESIDUAL REMOVAL - Methods for removing residuals after a selective deposition process are provided. In one embodiment, the method includes performing a selective deposition process to form a metal containing dielectric material at a first location of a substrate and performing a residual removal process to remove residuals from a second location of the substrate. | 2019-12-26 |
20190393025 | METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE, SUBSTRATE PROCESSING APPARATUS, AND RECORDING MEDIUM - There is provided a technique that includes: forming a film containing Si, O and N or a film containing Si and O on a substrate by performing a cycle a predetermined number of times under a condition where SiCl | 2019-12-26 |
20190393026 | METHOD FOR FABRICATING PATTERN OF CURED PRODUCT AND METHODS FOR MANUFACTURING OPTICAL COMPONENT, CIRCUIT BOARD AND QUARTZ MOLD REPLICA AS WELL AS COATING MATERIAL FOR IMPRINT PRETREATMENT AND CURED PRODUCT THEREOF - The method for fabricating pattern of a cured product includes a first step (arranging step) of arranging a layer formed of a liquid film of a curable composition (α1) containing at least a component (A1) serving as a polymerizable compound on a substrate and a second step (dispensing step) of dispensing liquid droplets of a curable composition (α2) containing at least a component (A2) serving as a polymerizable compound discretely onto a layer formed of a composition (α1′) of components of the curable composition (α1) except a component (D1) serving as a solvent, in which: the mixing of the composition (α1′) and the curable composition (α2) is exothermic. | 2019-12-26 |
20190393027 | Post Etch Defluorination Process - Defluorination processes for removing fluorine residuals from a workpiece such as a semiconductor wafer are provided. In one example implementation, a method for processing a workpiece can include supporting a workpiece on a workpiece support. The workpiece can have a photoresist layer. The workpiece can have one or more fluorine residuals on a surface of the workpiece. The method can include performing a defluorination process on the workpiece at least in part using a plasma generated from a first process gas. The first process gas can include a hydrogen gas. Subsequent to performing the defluorination process, the method can include performing a plasma strip process on the workpiece to at least partially remove a photoresist layer from the workpiece. | 2019-12-26 |
20190393028 | SUBSTRATE PROCESSING APPARATUS AND SUBSTRATE PROCESSING METHOD - A substrate processing apparatus includes first processors, second processors, a transfer module and a controller. Each of the first processors is configured to perform a first processing on a substrate. Each of the second processors is configured to perform a second processing on the substrate on which the first processing is performed. The transfer module is configured to transfer the substrate to the first processors and the second processors. The controller is configured to control the first processors, the second processors and the transfer module. The controller controls a start timing for a first transfer processing of transferring the substrates to the first processor such that a timing of a second transfer processing of transferring the substrate having a liquid film formed thereon to the second processor from the first processor and a timing when another substrate is transferred by the transfer module are not overlapped with each other. | 2019-12-26 |
20190393029 | Tunability Of Dopant Concentration In Thin Hafnium Oxide Films - Methods of depositing thin films of hafnium oxide possessing strong ferroelectric properties are described. A hafnium oxide monolayer is formed in a first process cycle comprising sequential exposure of a substrate to a hafnium precursor, purge gas, first oxidant and purge gas. A doped hafnium oxide monolayer is formed in a second process cycle comprising sequential exposure of the substrate to a hafnium precursor, purge gas, dopant precursor, purge gas, second oxidant and purge gas. Thin films of hafnium oxide are also described. | 2019-12-26 |
20190393030 | Carbon Gapfill Films - Methods are described for forming flowable carbon layers on a semiconductor substrate. A local excitation (such as a plasma in PECVD) may be applied as described herein to a carbon-containing precursor to form a flowable carbon film on a substrate. A remote excitation method has also been found to produce flowable carbon films by exciting a stable precursor to produce a radical precursor which is then combined with an unexcited carbon-containing precursor in the substrate processing region. An optional post deposition plasma exposure may also cure or solidify the flowable film after deposition. Methods for forming air gaps using the flowable films described herein are also described. | 2019-12-26 |
20190393031 | PROCESSING METHOD AND PLASMA PROCESSING APPARATUS - A substrate processing method includes: providing a substrate in a processing container; selectively forming a first film on a surface of a substrate by plasma enhanced vapor deposition (PECVD); and forming a second film by atomic layer deposition (ALD) in a region of the substrate where the first film does not exist. The second film is formed by repeatedly performing a sequence including: forming a precursor layer on the surface of the substrate; purging an interior of the processing container after forming of the precursor; converting the precursor layer into the second film; and purging a space in the processing container after the converting. A plasma processing apparatus performing the method is also provided. | 2019-12-26 |
20190393032 | METHOD OF MANUFACTURING EPITAXIAL SILICON WAFERS - A method for manufacturing an epitaxial silicon wafer enables to lower carbon concentration in an epitaxial film. The method forming an epitaxial silicon wafer where an epitaxial film is formed on a silicon wafer in a reaction chamber including a wafer-holding susceptor that separates an upper and lower space communicating through a predetermined gap includes steps of forming a flow of a processing gas flowing laterally along an upper surface of the wafer in the upper space and a flow of a main purging gas flowing towards the susceptor upwardly in the lower space being formed simultaneously, setting a flow rate ratio of the main purging gas flow rate to the processing gas flow rate to be 1.0/100 to 1.5/100 where the processing gas flow rate is set as 100, and controlling a pressure in the upper space to be within an atmospheric pressure ±0.2 kPa at least. | 2019-12-26 |
20190393033 | SEMICONDUCTOR CONTROLLED QUANTUM ANNEALING INTERACTION GATE - Novel and useful quantum structures that provide various control functions. Particles are brought into close proximity to interact with one another and exchange information. After entanglement, the particles are moved away from each other but they still carry the information contained initially. Measurement and detection are performed on the particles from the entangled ensemble to determine whether the particle is present or not in a given qdot. A quantum interaction gate is a circuit or structure operating on a relatively small number of qubits. Quantum interaction gates implement several quantum functions including a controlled NOT gate, quantum annealing gate, controlled SWAP gate, a controlled Pauli rotation gate, and ancillary gate. These quantum interaction gates can have numerous shapes including double V shape, H shape, X shape, L shape, I shape, etc. | 2019-12-26 |
20190393034 | IN-SITU HIGH POWER IMPLANT TO RELIEVE STRESS OF A THIN FILM - Embodiments of the present disclosure generally relate to techniques for deposition of high-density films for patterning applications. In one embodiment, a method of processing a substrate is provided. The method includes depositing a carbon hardmask over a film stack formed on a substrate, wherein the substrate is positioned on an electrostatic chuck disposed in a process chamber, implanting ions into the carbon hardmask, wherein depositing the carbon hardmask and implanting ions into the carbon hardmask are performed in the same process chamber, and repeating depositing the carbon hardmask and implanting ions into the carbon hardmask in a cyclic fashion until a pre-determined thickness of the carbon hardmask is reached. | 2019-12-26 |
20190393035 | SELECTIVE ATOMIC LAYER DEPOSITION (ALD) OF PROTECTIVE CAPS TO ENHANCE EXTREME ULTRA-VIOLET (EUV) ETCH RESISTANCE - Methods are disclosed that selectively deposit a protective material on the top regions of patterned photoresist layers, such patterned EUV photoresist layers, to provide a protective cap that reduces erosion damage during etch processes used for pattern transfer. Some deposition of the protective material on the sidewalls of the patterned photoresist layer is acceptable, and any deposition of the protective material on the underlying layer below the patterned photoresist layer is preferably thinner than the deposition at the top of the photoresist pattern. Further, the selective deposition of protective caps can be implemented, for example, through the application of high-rotation speeds to spatial atomic layer deposition (ALD) techniques. The selective deposition of protective caps increases the flexibility of options to improve etch resistance for various processes/materials. | 2019-12-26 |
20190393036 | METAL AND SPACER PATTERNING FOR PITCH DIVISION WITH MULTIPLE LINE WIDTHS AND SPACES - Metal spacer-based approaches for fabricating conductive lines/interconnects are described. In an example, an integrated circuit structure includes a substrate. A first spacer pattern is on the substrate, the first spacer pattern comprising a first plurality of dielectric spacers and a first plurality of metal spacers formed along sidewalls of the first plurality of dielectric spacers, wherein the first plurality of dielectric spacers have a first width (W | 2019-12-26 |
20190393037 | METHOD AND DEVICE FOR BONDING SUBSTRATES - A method for bonding a first substrate with a second substrate, with the following sequence: production of a first amorphous layer on the first substrate and/or production of a second amorphous layer on the second substrate, bonding of the first substrate with the second substrate at the amorphous layer or at the amorphous layers to form a substrate stack, irradiation of the amorphous layer or the amorphous layers with radiation in such a way that the amorphous layer or the amorphous layers is/are transformed into a crystalline layer or crystalline layers. | 2019-12-26 |
20190393038 | GALLIDATION ASSISTED IMPURITY DOPING - In one embodiment, a product includes a structure comprising a material of a Group-III-nitride having a dopant, where a concentration of the dopant in the structure has a concentration gradient characteristic of diffusion of the dopant inward from at least a portion of a surface of the structure in a direction substantially normal to the portion of the surface. The structure has less than 1% decomposition of the Group-III-nitride at the surface of the structure. | 2019-12-26 |
20190393039 | METHOD FOR MANUFACTURING CONDUCTIVE PLUG - A method can include: providing a substrate comprising a first doping region of a first doping type, and a second doping region of a second doping type, where the first and second doping regions are covered by a dielectric layer; etching the dielectric layer to form a first contact hole partially exposing the first doping region, and a second contact hole partially exposing the second doping region; implanting dopant of the first doping type into the first and second doping regions through the first and second contact holes to increase a surface concentration of the first doping region; and after implanting the dopant, filling the first and second contact holes with conductive material to form conductive plugs. | 2019-12-26 |
20190393040 | METHOD OF MANUFACTURING SEMICONDUCTOR DEVICES AND A SEMICONDUCTOR DEVICE - In a method of manufacturing a semiconductor device, a first-conductivity type implantation region is formed in a semiconductor substrate, and a carbon implantation region is formed at a side boundary region of the first-conductivity type implantation region. | 2019-12-26 |
20190393041 | METHODS OF TRANSISTOR GATE STRUCTURING USING SINGLE OPERATION DUMMY GATE REMOVAL - A transistor gate is disclosed. The transistor gate includes a first part above a substrate that has a first width and a second part above the first part that is centered with respect to the first part and that has a second width that is greater than the first width. The first part and the second part form a single monolithic T-gate structure. | 2019-12-26 |
20190393042 | CVD BASED OXIDE-METAL MULTI STRUCTURE FOR 3D NAND MEMORY DEVICES - Implementations described herein generally relate to a method for forming a metal layer and to a method for forming an oxide layer on the metal layer. In one implementation, the metal layer is formed on a seed layer, and the seed layer helps the metal in the metal layer nucleate with small grain size without affecting the conductivity of the metal layer. The metal layer may be formed using plasma enhanced chemical vapor deposition (PECVD) and nitrogen gas may be flowed into the processing chamber along with the precursor gases. In another implementation, a barrier layer is formed on the metal layer in order to prevent the metal layer from being oxidized during subsequent oxide layer deposition process. In another implementation, the metal layer is treated prior to the deposition of the oxide layer in order to prevent the metal layer from being oxidized. | 2019-12-26 |
20190393043 | ELECTRODE STRUCTURE OF BACK ELECTRODE OF SEMICONDUCTOR SUBSTRATE, METHOD FOR PRODUCING THE SAME, AND SPUTTERING TARGET FOR USE IN PRODUCING THE ELECTRODE STRUCTURE - An electrode structure of a back electrode including metal layers laminated in the following order: a Ti layer, a Ni layer, and a Ag alloy layer. The Ag alloy layer includes an Ag alloy and an addition metal M selected from Sn, Sb, and Pd. The electrode structure is configured such that when subjected to elemental analysis with an X-ray photoelectron spectrometer in the depth direction from the Ag alloy layer to the Ni layer, on the boundary between the Ni layer and the Ag alloy layer, an intermediate region where spectra derived from all the metals, Ni, Ag, and the addition element M, can be detected is observable, and, when each metal content in the intermediate region is converted based on the spectra derived from all the metals Ni, Ag, and the addition element M, the maximum of the addition element M content is 5 at % or more. | 2019-12-26 |
20190393044 | METHOD OF PLASMA ETCHING - According to the invention there is provided a method of plasma etching a silicon-based compound semiconductor substrate, the method comprising providing the substrate within an etch chamber and performing a cyclical process on the substrate, each cycle comprising supplying an etchant gas into the chamber, energising the gas into a plasma, and performing an etch step on the substrate using the plasma; and performing a desorption step, wherein during the desorption step, the only gas that is supplied into the etch chamber is an inert gas, so as to allow reactive species that have adsorbed to the surface of the substrate during the etch step to desorb from the surface of the substrate. | 2019-12-26 |
20190393045 | SUBSTRATE PROCESSING DEVICE, MANUFACTURING METHOD FOR SEMICONDUCTOR DEVICE, AND REACTION TUBE - A substrate processing apparatus includes: a substrate holding member configured to hold a plurality of substrates; a reaction tube configured to accommodate the substrate holding member and process the substrates; a processing gas supply system configured to supply a processing gas into the reaction tube; and an exhaust system configured to exhaust an internal atmosphere of the reaction tube. The reaction tube includes: a cylindrical portion; a gas supply area formed outside one side wall of the cylindrical portion and connected to the processing gas supply system; and a gas exhaust area formed outside the other side wall of the cylindrical portion opposed to the gas supply area and connected to the exhaust system. Each of the gas supply area and the gas exhaust area has an inner wall which partitions the interior of each of the gas supply area and the gas exhaust area into a plurality of spaces. | 2019-12-26 |
20190393046 | SELECTIVE ATOMIC LAYER ETCHING - A method for selectively etching a dielectric layer with respect to an epitaxial layer or metal-based hardmask is provided. The method comprises performing a plurality of cycles. Each cycle comprises a deposition phase and an activation phase. The deposition phase comprises flowing a deposition gas, wherein the deposition gas comprises helium and a hydrofluorocarbon or fluorocarbon, forming the deposition gas into a plasma to effect a fluorinated polymer deposition, and stopping the flow of the deposition gas. The activation phase comprises flowing an activation gas comprising an ion bombardment gas, forming the activation gas into a plasma, providing an activation bias to cause ion bombardment of the fluorinated polymer deposition, wherein the ion bombardment activates fluorine from the fluorinated polymer deposition to etch the dielectric layer, and stopping the flow of the activation gas. | 2019-12-26 |
20190393047 | METHOD OF ACHIEVING HIGH SELECTIVITY FOR HIGH ASPECT RATIO DIELECTRIC ETCH - Various embodiments herein relate to methods and apparatus for etching a feature in a substrate. Often, the feature is etched in the context of forming a DRAM device. The feature is etched in dielectric material, which often includes silicon oxide. The feature is etched using chemistry that includes WF | 2019-12-26 |
20190393048 | METHOD FOR AREA-SELECTIVE ETCHING OF SILICON NITRIDE LAYERS FOR THE MANUFACTURE OF MICROELECTRONIC WORKPIECES - Embodiments provide area-selective etching of silicon nitride for the manufacture of microelectronic workpieces through sequential exposure of silicon nitride layers to hydrogen ions/radicals followed by fluorine ions/radicals using beam delivery techniques such as ion beam and/or neutral beam techniques. The area-selective etch processes are anisotropic when hydrogen ions are used and are isotropic when hydrogen radicals are used. Further, sputtering of material onto a substrate for a microelectronic workpiece is not required for the disclosed embodiments. Further, by using ion beam and/or neutral beam techniques, area-selective etching of silicon nitride is achieved as opposed to the large-area etching provided by prior plasma processing techniques. For certain embodiments, the ion/neutral beam techniques described herein are used to fabricate silicon nitride hard masks without requiring the use of any mask. | 2019-12-26 |
20190393049 | SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD - The present technology relates to a semiconductor device and a manufacturing method that make it possible to reduce PID. Provided is a semiconductor device including: a first layer; a second layer laminated with the first layer; a conductive member that comes into contact with a lateral surface of a groove part formed in the first layer and the second layer; and first wiring that is formed in the second layer and comes into contact with a bottom surface of the groove part, in which the conductive member is connected to a protecting element for discharging charges accumulated inside the groove part. The present technology is applicable to, for example, the formation of a via in a silicon substrate and an interlayer film laminated with each other. | 2019-12-26 |
20190393050 | SOLDER BOND SITE INCLUDING AN OPENING WITH DISCONTINUOUS PROFILE - Apparatuses and methods for formation of a bond site including an opening with a discontinuous profile are disclosed herein. An example apparatus may at least include a substrate, a contact on the substrate, and a mask layer formed on the substrate and at least a portion of the contact. The mask layer may also include an opening formed therein, with the opening having a discontinuous profile from a top surface of the mask layer to the contact. | 2019-12-26 |
20190393051 | FAN-OUT WAFER-LEVEL PACKAGING METHOD AND THE PACKAGE PRODUCED THEREOF - A fan-out wafer-level packaging method and the package produced thereof are provided in the present application. The method comprises steps including: providing a silicon substrate layer having a first thickness; forming one or more active/passive devices comprising at least sources and drains and one or more diffusion layers adjoining the sources and drains, wherein forming the one or more active/passive devices comprises forming the sources and the drains in a front-end-of-line (FEOL) layer on a first side of the silicon substrate layer while forming the one or more diffusion layers at locations in the silicon substrate layer adjoining the sources and the drains; forming a redistribution layer (RDL) over the FEOL layer by copper damascene formation of multiple metallization layers for connecting the one or more active/passive devices to the one or more IC dies when the one or more IC dies are mounted on a side of the RDL opposite the FEOL layer; thinning the silicon substrate layer to a second thickness to form a thinned silicon substrate, the thinned silicon substrate comprising at least the one or more diffusion layers; and patterning the thinned silicon substrate to form one or more silicon regions, each of the one or more silicon regions comprising the one or more diffusion layers. | 2019-12-26 |
20190393052 | SEMICONDUCTOR SEPARATION DEVICE - Described is a semiconductor substrate stack, comprising: a plurality of semiconductor substrates arranged in a stack in which the semiconductor substrates include opposing facing surfaces, wherein the facing surfaces of adjacent semiconductor substrates are separated by a gap, each semiconductor substrate having an edge surface at an exposed edge of the stack. A spacer is attached to one of the facing surfaces of each of the at least one of the semiconductor substrates and extends between adjacent semiconductor substrates to define the gap and mask a central portion of each respective semiconductor substrate. | 2019-12-26 |
20190393053 | ETCHING APPARATUS - Embodiments described herein relate to apparatus for performing electron beam reactive plasma etching (EBRPE). In one embodiment, an apparatus for performing EBRPE processes includes an electrode formed from a material having a high secondary electron emission coefficient. In another embodiment, an electrode is movably disposed within a process volume of a process chamber and capable of being positioned at a non-parallel angle relative to a pedestal opposing the electrode. In another embodiment, a pedestal is movably disposed with a process volume of a process chamber and capable of being positioned at a non-parallel angle relative to an electrode opposing the pedestal. Electrons emitted from the electrode are accelerated toward a substrate disposed on the pedestal to induce etching of the substrate. | 2019-12-26 |
20190393054 | LIGHT IRRADIATION TYPE HEAT TREATMENT APPARATUS - Prior to heat treatment of a semiconductor wafer to be treated, a dummy wafer is placed on a susceptor made of quartz, and the susceptor is preheated by irradiation with light from halogen lamps. A controller controls an output from the halogen lamps, based on the temperature of the susceptor measured with a radiation thermometer. The radiation thermometer receives infrared radiation of a wavelength longer than 4 μm to measure the temperature of the susceptor. The radiation thermometer is able to receive only infrared radiation emitted from the susceptor to accurately measure the temperature of the susceptor, regardless of whether or not a wafer is held by the susceptor, because quartz is opaque in a wavelength range longer than 4 μm. | 2019-12-26 |
20190393055 | HEAT TREATMENT SUSCEPTOR AND HEAT TREATMENT APPARATUS - A plurality of substrate support parts provided on a susceptor each have an outer circumferential surface such that a plane parallel to a holding surface of a holding plate is formed on a top portion of a spherical surface. Even if a semiconductor wafer irradiated with flash light abruptly warps such that its front surface becomes raised, a back surface of the semiconductor wafer can smoothly rub against the plurality of substrate support parts. This can prevent chipping and breakage of the substrate support parts, and can also prevent scratches on the back surface of the semiconductor wafer. The substrate support parts having the outer circumferential surface of the above-mentioned shape can be located in any directions on the holding plate, thereby facilitating manufacturing, inspection, and management of the susceptor relating to the substrate support parts. | 2019-12-26 |
20190393056 | SUBSTRATE PROCESSING APPARATUS, METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE, AND RECORDING MEDIUM - There is provided a technique that includes a process chamber including a gate valve that opens and closes a loading and unloading port configured to load and unload a substrate, and configured to heat and process the substrate by a heater using a microwave; a substrate transfer chamber including a purge gas distribution mechanism configured to distribute a purge gas supplied from a clean unit capable of introducing the purge gas; a transfer machine installed inside the substrate transfer chamber and configured to transfer the substrate into the process chamber; and a substrate cooling mounting tool configured to cool the substrate transferred from the process chamber by the transfer machine. | 2019-12-26 |
20190393057 | SUBSTRATE PROCESSING APPARATUS - A substrate processing apparatus includes: a single frequency process chamber installed inside a process module and for processing a substrate on which an insulating film is formed; a two-frequency process chamber installed adjacent to the single frequency process chamber inside the process module and for processing the substrate processed in the single frequency process chamber; a gas supply part configured to supply a silicon-containing gas containing at least silicon and an impurity to each of the process chambers; a plasma generation part connected to each of the process chambers; an ion control part connected to the two-frequency process chamber; a substrate transfer part installed inside the process module and configured to transfer the substrate between the single frequency process chamber and the two-frequency process chamber; and a controller configured to control at least the gas supply part, the plasma generation part, the ion control part, and the substrate transfer part. | 2019-12-26 |
20190393058 | PLASMA PROCESSING APPARATUS - In a plasma processing apparatus, an additional viewing window is disposed between an infrared temperature sensor and a view window, and the additional viewing window is cooled to be retained at room temperature (20° C. to 25° C.), to reduce and to stabilize electromagnetic waves emitted from the viewing window. By correcting the value of the electromagnetic waves, the measurement precision of the temperature monitor is increased and it is possible to measure and to control the dielectric window temperature in a stable state. | 2019-12-26 |
20190393059 | MODEL-BASED CONTROL OF SUBSTRATE PROCESSING SYSTEMS - A system for controlling a parameter of a plant associated with a substrate processing chamber is disclosed. A measuring module measures a response of the plant associated with the substrate processing chamber when the parameter of the plant is changed. A model generating module determines a delay and a gain of the plant based on the response. The model generating module generates a model of the plant based on the delay, the gain, and a time constant of the plant. A predicting module receives a set point for the parameter and a measurement of the parameter, generates a prediction of a delay-free value of the parameter based on the set point for the parameter and the measurement of the parameter using the model, compares the prediction with the set point to generate a control signal, and controls the parameter of the plant based on the control signal. | 2019-12-26 |
20190393060 | SYSTEM AND METHOD FOR MAINTENANCE OF ROTATION-LIFT ASSEMBLY - Embodiments of the present disclosure generally relate to apparatus and methods for semiconductor processing, more particularly, to a system and method for monitoring a rotation-lift assembly of a process chamber. The rotation-lift assembly outputs a torque feedback signal which is processed by a controller to determine if the rotation-lift assembly has a fault or is near failure. The controller determines torque data from the torque feedback signal, compares the torque data to preexisting torque data, and, based on the comparison, issue a notice of a state of the rotation-lift assembly. | 2019-12-26 |
20190393061 | AUTOMATIC SUPERVISING METHOD AND CONTROL DEVICE - There is provided a method of automatically supervising a transfer operation of a transfer device including an optical sensor, the optical sensor having a light emitting part and a light receiving part provided in a head of a holding part for holding a substrate, the method including: acquiring a change in intensity of a first reflected light reflected off a first object by radiating a light from the light emitting part toward the first object below the holding part and receiving the first reflected light reflected off the first object by the light receiving part, while horizontally moving the holding part; and specifying an end position of the first object based on the change in intensity of the first reflected light. | 2019-12-26 |
20190393062 | Substrate Storage Container and Gas Replacement Unit - A substrate storage container and a gas replacement unit capable of reducing variations in humidity or concentration of gas in a space above a substrate are provided. The substrate storage container comprises a container body having an opening and is capable of containing substrates, a lid, at least one intake valve for supplying gas to the inside of the container body, and at least one gas replacement unit which blows out the supplied gas wherein the at least one intake valve is attached to the back, bottom surface of the container body. The gas replacement unit includes a housing member and a cover member. The housing member has a plurality of first group blowout holes in the vertical direction, and the opening area of the top first group blowout hole is larger than the opening, area, of the second of the first group blowout holes. | 2019-12-26 |
20190393063 | SUBSTRATE STORING CONTAINER - A substrate storing container includes a container main body, a lid body removably attached to a container main body opening portion and able to close the container main body opening portion, a ventilation passage which enables a substrate storing space and a space outside the container main body to communicate with each other, a gas ejecting nozzle portion having a plurality of opening portions through which a gas flowing into the ventilation passage is supplied into the substrate storing space, and a gas flow rate uniformizing unit which enables the gas to flow out through the plurality of opening portions at a uniform flow rate. | 2019-12-26 |