Patents - stay tuned to the technology

Inventors list

Assignees list

Classification tree browser

Top 100 Inventors

Top 100 Assignees


27th week of 2009 patent applcation highlights part 52
Patent application numberTitlePublished
20090170294METHOD FOR FILM DEPOSITING GROUP III NITRIDE SUCH AS GALLIUM NITRIDE - [Problem to be Solved] To film deposit a group III nitride such as GaN using atmospheric pressure plasma.2009-07-02
20090170295MANUFACTURING METHOD FOR A SEMI-CONDUCTOR ON INSULATOR SUBSTRATE COMPRISING A LOCALISED Ge ENRICHED STEP - The invention relates to a manufacturing method of a semi-conductor on insulator substrate from an SOI substrate comprising a surface layer of silicon on an electrically insulating layer, called buried insulating layer, wherein a layer of Si2009-07-02
20090170296METHOD AND APPARATUS FOR ACTIVATING COMPOUND SEMICONDUCTOR - A compound semiconductor is placed in a reaction vessel (2009-07-02
20090170297Method of Fabricating Semiconductor Device Having Gate Spacer Layer With Uniform Thickness - A method of fabricating a semiconductor device having a gate spacer layer with a uniform thickness wherein a gate electrode layer pattern is formed on a substrate and ion implantation processes of respectively different doses are formed on side walls of the gate electrode layer patterns in respective first and second regions of the substrate. A first gate spacer layer is formed on the gate electrode layer pattern where the ion implantation process is performed. A second gate spacer layer is formed on the first gate spacer layer.2009-07-02
20090170298Crystal Film Fabrication - Processes and machines for producing large area sheets or films of crystalline, polycrystalline, or amorphous material are set forth; the production of such sheets being valuable for the manufacturing of solar photovoltaic cells, flat panel displays and the like. In one embodiment the surface of a rotating cylindrical workpiece (2009-07-02
20090170299FORMING A METAL CONTACT IN A SEMICONDUCTOR DEVICE - Methods for forming a metal contact in a semiconductor device. In one example embodiment, a method for forming a metal contact in a semiconductor device includes various steps. First, an interlayer insulating film is formed over a silicon substrate. Next, an insulating film is formed over the interlayer insulating film. Then, a photoresist pattern is formed on the insulating film. Next, the insulating film, the interlayer insulating film, and the silicon substrate are selectively etched using the photoresist pattern as an etch mask in order to form a contact trench. Then, the photoresist pattern is removed. Next, impurity ions are implanted into a region beneath the contact trench using the selectively-etched insulating film as a mask. Then, the selectively-etched insulating film and the contact trench are isotropically etched. Next, the contact trench is filled with a metal material.2009-07-02
20090170300SEMICONDUCTOR ELEMENT AND MANUFACTURING METHOD THEREOF - The object of the present invention is to provide a method of manufacturing high permittivity gate dielectrics for a device such as an MOSFET. A HfSiO film 2009-07-02
20090170301METHOD FOR FABRICATING SEMICONDUCTOR DEVICE - A semiconductor device is fabricated having a stack gate structure where a first gate electrode, a second gate electrode and a gate hard mask are stacked. The stack gate structure secures a contact open margin while reducing a loss of the gate hard mask during a self-aligned contact (SAC) etching process of forming a landing plug contact. An intermediate connection layer is formed in a landing plug contact region between the first gate electrodes. Furthermore, the occurrence of a bridge between a gate and a contact can be prevented while forming the landing plug contact. A conductive material is filled into a gate region including a recess between intermediate connection layers to form the first gate electrode. The second gate electrode and the gate hard mask are formed during a gate-patterning process using a gate mask, even though misalignment occurs between the gate and the contact.2009-07-02
20090170302METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE HAVING VERTICAL TRANSISTOR - A method for manufacturing a semiconductor device having a vertical transistor includes forming hard masks on a semiconductor substrate to expose portions of the semiconductor substrate. Then the exposed portions of the semiconductor substrate are etched to define grooves in the semiconductor substrate. A gate conductive layer is formed on the hard masks and surfaces of the grooves to a thickness that does not completely fill the grooves. A sacrificial layer is formed on the gate conductive layer to completely fill the grooves. A partial thickness of the sacrificial layer is removed to expose the gate conductive layer and portions of the gate conductive layer formed on the hard masks and on sidewalls of upper portions of the grooves are removed. The remaining sacrificial layer is completely removed. Gates are formed on sidewalls of lower portions of the grooves by etching the gate conductive layer.2009-07-02
20090170303METHODS FOR FORMING QUANTUM DOTS AND FORMING GATE USING THE QUANTUM DOTS - Methods for forming a gate using quantum dots are disclosed. More particularly, the present invention relates to a method for forming quantum dots for fabrication of an ultrafine semiconductor device comprising a gate with quantum dots. The present invention is capable of forming quantum dots in uniform sizes and at uniform intervals so as to achieve an electrically stable device.2009-07-02
20090170304METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE - A method of manufacturing a semiconductor device is provided, which can reduce the contact resistance of an ohmic electrode to a p-type nitride semiconductor layer and can achieve long-term stable operation. In forming, in an electrode forming step, a p-type ohmic electrode of a metal film by successive lamination of a Pd film which is a first p-type ohmic electrode and a Ta film which is a second p-type ohmic electrode on a p-type GaN contact layer, the metal film is formed to include an oxygen atom. In the presence of an oxygen atom in the metal film, then in a heat-treatment step, the p-type ohmic electrode of the metal film is heat-treated in an atmosphere that contains no oxygen atom-containing gas.2009-07-02
20090170305METHOD FOR IMPROVING ELECTROMIGRATION LIFETIME FOR CU INTERCONNECT SYSTEMS - A method for forming a single damascene and/or dual damascene interconnect structure, comprising: performing front end processing, depositing copper, annealing the copper, performing CMP planarization, performing a post copper CMP clean process, performing a BTA rinse, performing IPA drying process, performing doping during thermal ramp up and performing remaining back end processing.2009-07-02
20090170306PROCESS FOR FILLING RECESSED FEATURES IN A DIELECTRIC SUBSTRATE - A process for filling recessed features of a dielectric substrate for a semiconductor device, comprises the steps (a) providing a dielectric substrate having a recessed feature in a surface thereof, wherein the smallest dimension (width) across said feature is less than ≦200 nm, a conductive layer being present on at least a portion of said surface, (b) filling said recessed feature with a conductive material, and (c) prior to filling said recessed feature with said conductive material, treating said surface with an accelerator.2009-07-02
20090170307METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE - A metal layer is formed on an upper surface of a resin layer provided to cover a plurality of semiconductor chips at a side on which an internal connecting terminal is disposed and the internal connecting terminal, and the metal layer is pressed to cause the metal layer in a corresponding portion to a wiring pattern to come in contact with the internal connecting terminal, and to then bond the metal layer in a portion provided in contact with the internal connecting terminal to the internal connecting terminal in a portion provided in contact with the metal layer.2009-07-02
20090170308METHOD FOR FORMING METAL LINE OF SEMICONDUCTOR DEVICE - A method for forming metal lines of a semiconductor device is disclosed. The metal line forming method includes forming plugs by perforating via-holes in an interlayer dielectric layer formed on a semiconductor substrate and burying a conductive material in the via-holes, sequentially forming at least two metal layers on the interlayer dielectric layer formed with the plugs, the metal layers having a difference in the size of metal grains of each metal layer, etching an uppermost first metal layer of the at least two metal layers using a photoresist pattern formed on the first metal layer as an etching mask using a first etching gas, and etching the partially etched first metal layer using a second etching gas.2009-07-02
20090170309Barrier process/structure for transistor trench contact applications - A barrier architecture is provided that includes different materials that are selected to be employed in connection with copper contact applications. Some of the barrier material is formed over trench contact sidewalls, and other different barrier material is formed over trench contact bottoms. By selecting the appropriate barrier materials, electromigration can be improved while, at the same time, interconnect and contact resistances can be kept low and array leakage can be mitigated.2009-07-02
20090170310METHOD OF FORMING A METAL LINE OF A SEMICONDUCTOR DEVICE - In a method of forming a metal line of a semiconductor device, a dielectric film is formed on a semiconductor substrate. A plurality of parallel photoresist patterns are formed over the entire structure including the dielectric film. A spacer is formed on sidewalls of the photoresist patterns. The dielectric film is exposed by removing the photoresist patterns. Damascene patterns are formed by etching the exposed dielectric film. The spacer is removed. Metal material is formed over the entire structure including the damascene patterns and polishing the metal material, thereby forming a metal line.2009-07-02
20090170311METHOD FOR FABRICATING CONTACT IN SEMICONDUCTOR DEVICE - A method for fabricating a contact in a semiconductor device includes forming an insulating film having a contact hole over a bottom film, forming a thin metal film in the exposed portion of the bottom film by supplying a reaction gas containing a metal component to a surface of the bottom film exposed by the contact hole, forming a metal silicide film by performing an annealing process on the thin metal film, and forming a metal film over the metal silicide film to fill the contact hole.2009-07-02
20090170312METHOD FOR PRODUCING A MICROMECHANICAL AND/OR NANOMECHANICAL DEVICE WITH ANTI-BONDING STOPS - A method for producing a micromechanical and/or nanomechanical device comprising the steps of:2009-07-02
20090170313Method for Manufacturing Semiconductor Device - A semiconductor device and method for manufacturing the same are provided. A dielectric can be formed on a silicon substrate, and a contact hole can be formed in the dielectric. A portion of the silicon substrate can etched through the contact hole.2009-07-02
20090170314METHOD FOR MANUFACTURING A SEMICONDUCTOR DEVICE - A method for manufacturing a semiconductor device includes the steps of: (a) forming a low dielectric constant film over a semiconductor substrate; (b) forming a recess in the low dielectric constant film; (c) after the step (b), sequentially performing the steps of (c1) applying an organic solution to the low dielectric constant film and (c2) silylating the low dielectric constant film with a silylating solution; and (d) after the step (c), embedding a metal in the recess to form at least one of a via plug and a metal wiring in the low dielectric constant film. Performing the step (c1) before the step (c2) improves a penetration property of the silylating solution into the low dielectric constant film.2009-07-02
20090170315Method for Forming Tungsten Plug - A method for forming a tungsten plug is provided. The method can include forming a first tungsten seed layer on an insulating layer having a via hole, forming a second tungsten seed layer on the first tungsten seed layer, and forming a tungsten-buried layer in the via hole. The second tungsten seed layer can be from about 1.3 times to about 2.5 times thicker than the first tungsten seed layer.2009-07-02
20090170316Double patterning with single hard mask - In general, in one aspect, a method includes forming a hard mask on a semiconductor substrate. A first resist layer is patterned on the hard mask as a first plurality of lines separated by a first defined pitch. The hard mask is etched to a portion of formed thickness to create a first plurality of fins in alignment with the first plurality of lines and the first resist layer is removed. A second resist layer is patterned on the hard mask as a second plurality of lines separated by a second defined pitch. The second plurality of lines is patterned between the first plurality of lines. The hard mask is etched to the portion of the formed thickness to create a second plurality of fins in alignment with the second plurality of lines. The first plurality of hard mask fins and the second plurality of hard mask fins are interwoven and have same thickness.2009-07-02
20090170317CMP PROCESS FOR PROCESSING STI ON TWO DISTINCT SILICON PLANES - A method for semiconductor processing is provided wherein a workpiece having an underlying body and a plurality of features extending therefrom, is provided. A first set of the plurality of features extend from the underlying body to a first plane, and a second set of the plurality features extend from the underlying body to a second plane. A protection layer overlies each of the plurality of features and an isolation layer overlies the underlying body and protection layer, wherein the isolation has a non-uniform first oxide density associated therewith. The isolation layer anisotropically etched based on a predetermined pattern, and then isotropically etched, wherein a second oxide density of the isolation layer is substantially uniform across the workpiece. The predetermined pattern is based, at least in part, on a desired oxide density, a location and extension of the plurality of features to the first and second planes.2009-07-02
20090170318METHOD FOR FORMING PATTERN OF SEMICONDUCTOR DEVICE - A method for manufacturing a semiconductor device comprises performing a CMP process using an oxide film as an etching barrier film to maintain a polysilicon layer having a large open area. A word line pattern, a DSL pattern, and a SSL pattern that are formed by a first patterning process are not additionally blocked, and the oxide film is used as an etching barrier to obtain an accurate overlay between patterns and improve CD uniformity, thereby improving a characteristic of the device.2009-07-02
20090170319METHOD OF FORMING AN INTERLAYER DIELECTRIC MATERIAL HAVING DIFFERENT REMOVAL RATES DURING CMP - By providing an interlayer dielectric material with different removal rates, a desired minimum material height above gate electrode structures of sophisticated transistor devices of the 65 nm technology or 45 nm technology may be obtained. The reduced removal rate above the gate electrode may thus provide enhanced process robustness during the planarization of the interlayer dielectric layer stack prior to the formation of contact elements.2009-07-02
20090170320CMP SYSTEM AND METHOD USING INDIVIDUALLY CONTROLLED TEMPERATURE ZONES - By creating a temperature profile across a polishing pad, a respective temperature profile may be obtained in a substrate to be polished, which may result in a respective varying removal rate across the substrate for a chemically reactive slurry material or for an electro-chemically activated polishing process. Hence, highly sensitive materials, such as material comprising low-k dielectrics, may be efficiently polished with a high degree of controllability.2009-07-02
20090170321Method of Forming Isolation Layer of Semiconductor Memory Device - A method of forming isolation layers of a semiconductor memory device. In accordance with an embodiment of the invention, a semiconductor substrate in which trenches are formed is provided. A first dielectric layer is formed over the semiconductor substrate including the trenches. An opening width of the trench is widened by performing a first etch process to remove a part of the first dielectric layer, followed by an annealing process. Fluorine-containing impurities formed in the first dielectric layer as a result of the etching and annealing processes are removed by performing a second etch process. A second dielectric layer is formed over the semiconductor substrate including the first dielectric layer.2009-07-02
20090170322Method for Manufacturing Semiconductor Device Including Vertical Transistor - A method for manufacturing a semiconductor device including a vertical transistor comprises: depositing a n-layered (here, n is an integer ranging from 2 to 6) mask film over a semiconductor substrate; forming a photoresist pattern over the n-layered mask film; etching the mask film with the photoresist pattern as an etching mask until the m2009-07-02
20090170323CHEMICAL MECHANICAL POLISHING METHOD AND CHEMICAL MECHANICAL POLISHING DEVICE - A chemical mechanical polishing method including a step of forming a plurality of interlayer insulating films so as to coat a plurality of projecting patterns, at least one of the plurality of projecting patterns being formed on each of a plurality of substrates, whereby the plurality of projection patterns have different area ratios R with respect to the corresponding substrates, and performing a flattening process on the interlayer insulating films before linear approximation; a step of obtaining a linear approximation formula R=aT+b expressing a relationship between the area ratio R and a polishing time T, where R2009-07-02
20090170324Reducing adherence in a MEMS device - In one embodiment, an apparatus for reducing adherence in a micro-electromechanical system (MEMS) device comprises a substrate. A MEMS is disposed outwardly from the substrate. The MEMS comprises structures and corresponding landing pads. Dibs are disposed outwardly from the substrate. Each dib has a surface with depressions. An adherence-reducing material is disposed within each depression. The adherence-reducing material reduces adherence between at least a portion of a structure and a corresponding landing pad.2009-07-02
20090170325METHOD OF FORMING A SEMICONDUCTOR DEVICE PATTERN - In a method of forming patterns of a semiconductor device, first etch mask patterns are formed over a semiconductor substrate. An auxiliary film is formed over the first etch mask patterns to a thickness in which a step corresponding to the first etch mask patterns can be maintained. Second etch mask patterns are formed in spaces defined by the auxiliary film between adjacent first etch mask patterns. First auxiliary film patterns are formed by removing the auxiliary film formed on the first etch mask patterns. Each first auxiliary film pattern has opposite ends projecting upwardly. The first etch mask patterns and the second etch mask patterns are removed. Second auxiliary film patterns are formed by etching between the ends of the first auxiliary film patterns such that the opposite ends of the first auxiliary film patterns are isolated from each other.2009-07-02
20090170326METHOD OF FORMING MICRO PATTERN OF SEMICONDUCTOR DEVICE - The present invention relates to a method of forming micro patterns of a semiconductor device. In the method according to an aspect of the present invention, first etch mask patterns having a second pitch, which is twice larger than a first pitch of target patterns, are formed in a column direction over a semiconductor substrate. An auxiliary film is formed over the semiconductor substrate including a surface of the first etch mask patterns. An etch mask film is formed over the semiconductor substrate including the auxiliary film. An etch process is performed in order to form second etch mask patterns having the second pitch in such a manner that the etch mask film, the auxiliary film, and the first etch mask patterns are isolated from one another in a row direction and the etch mask film remains between the first etch mask patterns. The auxiliary film between the first and second etch mask patterns is removed.2009-07-02
20090170327Method of manufacturing a semiconductor device - In this method of manufacturing a semiconductor device, the remaining layer of an etching mask layer remains in a predetermined thickness when the stamping face of a nano-stamper is pressed on the surface of the etching mask layer. Therefore, the remaining layer of the etching mask layer functions as a cushion so that the stress added to the nano-stamper and the semiconductor substrate is reduced. Accordingly, the crystal defect that might otherwise be introduced in the semiconductor substrate in pressing the nano-stamper on the semiconductor substrate can be restrained, resulting in suppression of the degradation of optical characteristics of the semiconductor device. Also, since the nano-stamper can be prevented from being damaged, extra steps such as the replacement of the nano-stamper can be avoided.2009-07-02
20090170328Method for manufacturing semiconductor device and substrate processing method - The method according to the invention includes the steps of: purging an inside of the processing chamber with gas while applying a thermal impact onto the thin film deposited on the inside of the processing chamber by decreasing the temperature in the processing chamber, so as to forcibly generate a crack in the thin film and forcibly peel the adhered material with a weak adhesive force, in a state where the substrate is not present in the processing chamber; removing the thin film deposited on the inside of the processing chamber by supplying a fluorine-based gas to the inside of the processing chamber heated to a first temperature, in the state where the substrate is not present in the processing chamber; and removing an adhered material remaining on the inside of the processing chamber after removing the thin film by supplying a fluorine-based gas to the inside of the processing chamber heated to a second temperature, in the state where the substrate is not present in the processing chamber.2009-07-02
20090170329PHOTO MASK - A photo mask comprises a H-type light-shield pattern. In an exposure process, a photo mask is used to form a STAR (Step Asymmetry Recess) gate region, thereby stably securing a storage node contact region and improving a refresh characteristic of a semiconductor device.2009-07-02
20090170330METHOD OF FORMING A MICRO PATTERN OF A SEMICONDUCTOR DEVICE - In a method of forming micro patterns of a semiconductor device, first etch mask patterns are formed over a semiconductor substrate. An auxiliary film is formed over the semiconductor substrate including a surface of the first etch mask patterns. Second etch mask patterns are formed between the auxiliary films formed on sidewalls of the first etch mask patterns. The first etch mask patterns and the second etch mask patterns are formed using the same material. The auxiliary films between the first and second etch mask patterns are removed. Accordingly, more micro patterns can be formed than allowed by the resolution limit of an exposure apparatus while preventing misalignment.2009-07-02
20090170331METHOD OF FORMING A BOTTLE-SHAPED TRENCH BY ION IMPLANTATION - Disclosed is a method of forming a bottle shaped trench in a substrate which includes forming at least one trench having an upper portion and a lower portion into a semiconductor substrate, the at least one trench having vertical sidewalls that extend to a common bottom wall; implanting ions into the semiconductor substrate abutting the upper portion of the at least one trench to form an amorphous region in the semiconductor substrate abutting the upper portion of the at least one trench; and etching the lower portion of the at least one trench selective to the amorphous region to provide an elongated bottom portion which extends laterally beyond the upper portion.2009-07-02
20090170332PROCESSING GAS SUPPLYING SYSTEM AND PROCESSING GAS SUPPLYING METHOD - A gas supplying system includes a processing gas supply pipe for supplying a processing gas from a gas cylinder 2009-07-02
20090170333SHALLOW TRENCH ISOLATION ETCH PROCESS - Methods for fabricating one or more shallow trench isolation (STI) structures are provided herein. In some embodiments, a method for fabricating one or more shallow trench isolation (STI) structures may include providing a substrate having a patterned mask layer disposed thereon to define one or more STI structures. The substrate may be etched using a plasma formed from a process gas mixture to form one or more STI structures on the substrate, wherein the process gas mixture comprises a fluorine-containing gas and either a fluorocarbon-containing gas or a hydrofluorocarbon-containing gas.2009-07-02
20090170334Copper Discoloration Prevention Following Bevel Etch Process - A method of bevel edge etching a semiconductor substrate having exposed copper surfaces with a fluorine-containing plasma in a bevel etcher in which the semiconductor substrate is supported on a semiconductor substrate support comprises bevel edge etching the semiconductor substrate with the fluorine-containing plasma in the bevel etcher; evacuating the bevel etcher after the bevel edge etching is completed; flowing defluorinating gas into the bevel etcher; energizing the defluorinating gas into a defluorination plasma at a periphery of the semiconductor substrate; and processing the semiconductor substrate with the defluorination plasma under conditions to prevent discoloration of the exposed copper surfaces of the semiconductor substrate upon exposure, the discoloration occurring upon prolonged exposure to air.2009-07-02
20090170335PLASMA ETCHING METHOD, PLASMA ETCHING APPARATUS, CONTROL PROGRAM AND COMPUTER-READABLE STORAGE MEDIUM - A plasma etching method for performing an etching process for forming on an insulating film formed on a substrate a hole shape having a ratio of depth to opening width of more than 20. The hole shape is formed on the insulating film by converting processing gas containing at least C2009-07-02
20090170336METHOD FOR FORMING PATTERN OF SEMICONDUCTOR DEVICE - A method for forming a pattern of a semiconductor device comprises forming a spacer with an oxide film in a SPT process, and removing the spacer formed to have a horn shape before etching an underlying layer, so that the horn shape is transcribed in a lower portion, thereby facilitating control of critical dimension in etching the underlying layer so as to improve a characteristic of the device.2009-07-02
20090170337Device for Processing Substrate and Method of Manufacturing Semiconductor Device - Provided is a substrate processing apparatus and a method of manufacturing a semiconductor device, which are hard to cause a defect in processing a substrate owing to that a pressure inside a process chamber is not kept constant, and which enable a better processing of a substrate. The substrate processing apparatus has: a process chamber for processing a substrate; a reactive gas-supplying module for supplying a reactive gas into the process chamber; a reactive gas-supplying line for supplying the reactive gas from the reactive gas-supplying module into the process chamber; an exhaust line for exhausting an inside of the process chamber; a pump provided in the exhaust line for vacuumizing the inside of the process chamber; a pressure-adjusting valve provided in the exhaust line for adjusting a pressure in the process chamber; a first pressure-measuring instrument for measuring an inside pressure of the process chamber; a second pressure-measuring instrument for measuring a differential pressure between the inside pressure of the process chamber and an outside pressure thereof; and a controller which controls the pressure-adjusting valve based on a value of the inside pressure of the process chamber measured by the first pressure-measuring instrument so as to keep the inside pressure of the process chamber constant, and controls the reactive gas-supplying module based on a value of the differential pressure measured by the second pressure-measuring instrument so as to allow supply of the reactive gas into the process chamber in a case of the inside pressure of the process chamber being smaller than the outside pressure thereof, and so as to preclude supply of the reactive gas into the process chamber in a case of the inside pressure of the process chamber being larger than the outside pressure thereof when processing the substrate.2009-07-02
20090170338Substrate Treatment Device and Manufacturing Method of Semiconductor Device - An object of the invention is to provide a substrate treatment device that can lengthen the maintenance cycle, and prevent any by-product from falling on substrates even if it is accumulated, and a manufacturing method of such a substrate treatment device, and an embodiment of the invention is directed, comprising: a treatment chamber that subjects a substrate to a treatment while keeping hold of it by a substrate retention member; a reaction tube that configures the treatment chamber; a heating device that is disposed around the reaction tube for heating the treatment chamber; and an exhaust tube that is linked to the reaction tube on an upper side than the substrate inside of the treatment chamber and is extended downward from the heating device, and exhausts a gas inside of the reaction tube in which an extension portion as a result of the extension is disposed away from the reaction tube.2009-07-02
20090170339REDUCING THE CREATION OF CHARGE TRAPS AT GATE DIELECTRICS IN MOS TRANSISTORS BY PERFORMING A HYDROGEN TREATMENT - By performing a heat treatment on the basis of a hydrogen ambient, exposed silicon-containing surface portions may be reorganized prior to the formation of gate dielectric materials. Hence, the interface quality and the material characteristics of the gate dielectrics may be improved, thereby reducing negative bias temperature instability effects in highly scaled P-channel transistors.2009-07-02
20090170340METHOD OF FORMING DIELECTRIC FILMS - A method of forming dielectric films including a metal silicate on a silicon substrate comprises a first step of oxidizing a surface layer portion of the silicon substrate and forming a silicon dioxide film; a second step of irradiating ion on the surface of the silicon dioxide film and making the surface layer portion of the silicon dioxide film into a reaction-accelerating layer with Si—O cohesion cut; a third step of laminating a metal film on the reaction-accelerating layer in a non-oxidizing atmosphere; and a fourth step of oxidizing the metal film and forming a metal silicate film that diffuses a metal from the metal film to the silicon dioxide film.2009-07-02
20090170341PROCESS FOR FORMING DIELECTRIC FILMS - A process for forming dielectric films containing at least metal atoms, silicon atoms, and oxygen atoms on a silicon substrate comprises a first step of oxidizing a surface portion of the silicon substrate to form a silicon dioxide film; a second step of forming a metal film on the silicon dioxide film in a non-oxidizing atmosphere; a third step of heating in a non-oxidizing atmosphere to diffuse the metal atoms constituting the metal film into the silicon dioxide film; and a fourth step of oxidizing the silicon dioxide film containing the diffused metal atoms to form the film containing the metal atoms, silicon atoms, and oxygen atoms.2009-07-02
20090170342DIELECTRIC NANOSTRUCTURE AND METHOD FOR ITS MANUFACTURE - The present invention relates to dielectric nanostructures useful in semiconductor devices and other electronic devices and methods for manufacturing the dielectric nanostructures. The nanostructures generally comprises an array of isolated pillars positioned on a substrate. The methods of the present invention involve using semiconductor technology to manufacture the nanostructures from a mixture of a crosslinkable dielectric material and an amphiphilic block copolymer.2009-07-02
20090170343METHOD AND APPARATUS FOR TREATING A SEMI-CONDUCTOR SUBSTRATE - This invention relates to a method of treating a semiconductor wafer and in particular, but not exclusively, to planarisation. The method consists of depositing a liquid short-chain polymer formed from a silicon containing bas or vapour. Subsequently water and OH are removed and the layer is stabilised.2009-07-02
20090170344METHOD FOR FORMING DIELECTRIC FILMS - A method for forming dielectric films including metal nitride silicate on a silicon substrate, comprises a first step of depositing a film containing metal and silicon on a silicon substrate in a non-oxidizing atmosphere using a sputtering method; a second step of forming a film containing nitrogen, metal and silicon by nitriding the film containing metal and silicon; and a third step of forming a metal nitride silicate film by oxidizing the film containing nitrogen, metal and silicon.2009-07-02
20090170345Method for manufacturing semiconductor device and substrate processing apparatus - To form an insulating film with extremely low concentration of impurities such as carbon, hydrogen, nitrogen, chlorine, etc in a film. There are provided the steps of forming a specific element-containing layer on a substrate by supplying source gas containing a specific element into a processing container in which the substrate is accommodated; changing the specific element-containing layer into a nitride layer, by activating and supplying gas containing nitrogen into the processing container; and changing the nitride layer into an oxide layer or an oxynitride layer, by activating and supplying gas containing oxygen into the processing container; with this cycle set as one cycle and performed for at least one or more times.2009-07-02
20090170346LOW TEMPERATURE POLYSILICON OXIDE PROCESS FOR HIGH-K DIELECTRIC/METAL GATE STACK - A method for preventing oxidation in a high-k dielectric/metal gate stack in the manufacture of an integrated circuit device is disclosed. In a detailed embodiment, a PMOS region stack has nitrided hafnium silicide, tungsten, tantalum nitride and polysilicon layers. An NMOS region stack has nitrided hafnium silicide, tungsten silicide, tantalum nitride and polysilicon layers. A thin polysilicon layer deposited over the stacks is converted to an oxide using a low temperature ultraviolet ozone oxidation process or a plasma nitridation using decoupled plasma nitridation or NH2009-07-02
20090170347SIGNAL TRANSMISSION DEVICE FOR TOWING VEHICLE - A signal transmission device is used for connection between a first electrical connector at a truck-tractor of a towing vehicle and a second electrical connector at a trailer of the towing vehicle. The signal transmission device includes first and second magnetic holders, third and fourth electrical connectors mounted to the first and second magnetic holders respectively, a stretchable coil tube, and a cable. The first magnetic holder is adapted to be attached to the truck-tractor and the second magnetic holder is adapted to be attached to the trailer. The third electrical connector is adapted for connecting the first electrical connector at the truck-tractor and the fourth electrical connector is adapted for connecting the second electrical connector at the trailer. The cable is spirally inserted through the stretchable coil tube and provided with two ends electrically connected with the third and fourth electrical connectors.2009-07-02
20090170348PATCH PANEL AND PATCH PANEL CONNECTOR - An exemplary patch panel includes a printed circuit board having a plurality of signal terminals for connecting to two pairs of peripheral component interconnect express (PCI-E) X1 differential signal terminals of an I/O controller hub (ICH), an interface terminal of a high definition multimedia interface (HDMI), four pairs of differential signal terminals of the HDMI, four pairs of differential signal terminals of a graphic and memory controller hub (GMCH), one of another differential signal terminal of the GMCH, and two pairs of differential signal terminals of a PCI-E X16 slot. Therefore, the ICH is connected to the PCI-E X16 slot via the patch panel for supporting a PCI-E X1 card while the GMCH is connected to the HDMI via the patch panel. A patch panel connector is provided to mount the patch panel on a motherboard.2009-07-02
20090170349Contact and Interposer - A contact has a spring section, and a pair of contact points. The spring section is formed as a single closed loop of material, prepared from Ni alloy. The loop may be subjected to elastic deformation through force. The pair of contact points formed in such way that the contact points project outwardly at positions separated from one another, the contact points positioned by about half way around the loop of the spring section. The pair of contact points capable of meeting with and coming into with terminals.2009-07-02
20090170350Electrical connector - An electrical connector is for electrically connecting an electronic package with a circuit substrate. The connector includes a housing with a plurality of conductive terminals received therein, a fastening device for securing the electronic package. The fastening device includes a pressing member for pressing and securing the electronic package and a retaining member for securing the pressing member. At least one of the pressing member, the retaining member are produced from ferrite stainless steel material, and has a layer of high temperature resistant organic thin film thereon.2009-07-02
20090170351Electrical Connector Adapted To Isolate Mating Forces - Disclosed is an electrical connector assembly adapted to isolate shock forces during mating. Such an electrical connector assembly may include a first electrical connector, a second electrical connector, and a first guide module. The second electrical connector may be adapted to mate with the first electrical connector. The first guide module may be located adjacent to the first electrical connector and may include an opening for receiving a first guide post. There may be no direct mechanical attachment between the first electrical connector and the first guide module. Such an arrangement, may isolate the first electrical connector from any forces created by the mating of the guide post with the opening of the guide module.2009-07-02
20090170352CONNECTOR CONNECTION TERMINALS - A connector connection terminal that is less likely to buckle and in which cutting task is facilitated is provided. The present invention provides a connector connection terminal in which substantial height dimension from a rotation recess to an end face at an end on a rear surface side is set larger than a substantial height dimension from the rotation recess to an end face at an end on the front surface side, and an upper surface from the rotation recess to the end face at the end on the rear surface side is a flat surface.2009-07-02
20090170353SPRING CONTACT STRUCTURE AND ELECTRONIC DEVICE USING SAME - A spring contact structure (2009-07-02
20090170354First connector, second connector, and electrical connecting device - A first connector 2009-07-02
20090170355CONNECTOR - A connector connected with a circuit board includes a housing and a main body. The housing has an insert hole and an accommodating portion. The main body is fixed in the accommodating portion and has a plurality of signal terminals and a plurality of pins arranged in the same direction. When a plug is plugged in the insert hole, a plugging direction of the plug is perpendicular to the circuit board.2009-07-02
20090170356Joint Part and a Wiring Harness Using the Same - A joint part which allows connection work to be easily performed and a wiring harness using the same. A joint part A has a housing 2009-07-02
20090170357Connector having a locking mechanism excellent in operability - In a connector including a connector body capable of being connected to or disconnected from a connecting object in a first direction, the connector body has, in a second direction perpendicular to the first direction, a particular dimension greater than a dimension thereof in a third direction perpendicular to the first and second directions. A locking portion is coupled to the connector body for locking the connecting object. The the locking portion is adapted to release locking of the connecting object with movement thereof in the second direction. An operating portion is coupled to the connector body and adapted to engage with the locking portion. The operating portion is adapted to move the locking portion in the second direction according to movement thereof in the third direction.2009-07-02
20090170358LEVER-TYPE CONNECTOR AND CONNECTOR ASSEMBLY - Two supports (2009-07-02
20090170359Socket with Detection functions - A socket with a detection function includes a housing; a plurality of metal spring pins installed side by side in the housing; a first detection pin formed on a side of the plurality of metal spring pins and coupled to a system ground; and a second detection pin formed on another side of the plurality of metal spring pins and coupled to a pull up resistor for providing a high voltage level for the second detection pin. The second detection pin includes an elastic metal arm extending toward the first detection pin and formed on the top of the first detection pin. A first metal spring pin is deflected to press down the elastic metal arm of the second detection pin for contacting the first detection pin when a phone cable plug is inserted into the socket.2009-07-02
20090170360Sealed compression type coaxial cable F-connectors - Axially compressible, self-sealing, high bandwidth F-connectors for conventional hand tools for interconnection with coaxial cable. An internal, dual segment sealing grommet activated by compression provides a seal. Each connector has a rigid nut that is rotatably secured to a, tubular body. A rigid, conductive post coaxially extends through the connector. A post barbed end penetrates the cable within the connector. A tubular, metallic end cap is slidably fitted to a body shank, and thereafter forcibly compressed lengthwise during installation. The end cap has a ring groove for seating the enhanced grommet. The end cap can irreversibly assume any position, being held by end cap teeth. A tactile system comprising external convex projections on the body complemented by a resilient, external O-ring on the end cap aids installers who can properly position connectors with the sense of touch.2009-07-02
20090170361CONNECTOR ASSEMBLY FOR TERMINATION OF MINIATURE ELECTRONICS - Connector and connector assemblies for use with miniature high power electrical components, and specifically with miniature LEDs. Although the connectors and connector assemblies are designed for use with miniature LEDs, these devices are not so limited and can also be used with other miniature electronic devices. These connectors and connector assemblies provide a mechanical connection between the miniature electronic component and electrical contacts instead of a soldered connection, providing a reliable electrical contact between the component, whether used in a PCB-type drop-in connection or some other connection. The connector also includes a heat sink to remove heat from the connector assembly generated by the LED and provides for a reliable mechanical connection between the LED and heat sink.2009-07-02
20090170362CONNECTOR AND A CONNECTOR ASSEMBLY - A lock hole (2009-07-02
20090170363Terminal module for electrical connector - A terminal module includes at least two terminals (2009-07-02
20090170364ELECTRICAL CONNECTOR - The invention relates to an electrical connector, comprising a connector part and a mating connector part which can be plugged together, and a coupling ring, carried by the connector part, for screwing the connector part with a threaded sleeve of the mating connector part. An outer thread of the threaded sleeve and a correspondingly configured inner thread of the coupling ring have at least one unthreaded section each in the direction of insertion. The respective unthreaded and threaded sections are configured and arranged in such a manner that the threaded sections of the coupling ring and the threaded sleeve can be inserted into the respective unthreaded section of the other connector part in order to plug the connector and mating connector together. The connector according to the invention is characterized by at least one raised annular collar section in front of at least one unthreaded section of the coupling ring in the direction of insertion, said annular collar section allowing the coupling ring to be rotated, when the connector is plugged together, once the annular collar section has passed the unthreaded section of the thread of the threaded sleeve.2009-07-02
20090170365Electrical connector having improved terminal retainer - An electrical connector includes a terminal retainer (2009-07-02
20090170366SHIELD SLEEVE FOR A PLUG CONNECTOR - The socket contact (2009-07-02
20090170367CONNECTOR - A connector in which a connection terminal is not pushed out from a housing even if an operation lever is mistakenly and excessively rotated is provided. When an operation lever is rotated for opening, a contact portion of the operation lever rides on a position regulating surface of a base before an upper surface of the operation lever contacts an edge of an upper surface on a rear surface side of the base, so that the operation lever is lifted upward.2009-07-02
20090170368CONNECTOR - In the case that a distance between contact portions and fixed portions of contacts of a connector is 2 mm or more, the contacts are each provided with an engaging portion in the proximity of the fixed portion, and the housing is provided in the inserting holes for the contacts with anchoring portions at locations corresponding to the engaging portions of the contacts so that the engaging portions of the contacts engage the anchoring portions of the housing, thereby preventing the contact portions of the contacts from being deformed and collapsed into a fitting opening by a connecting object, and preventing the contacts from buckling or being damaged and hence preventing any defective electrical connection.2009-07-02
20090170369Electrical connector with stacked ports - An electrical connector adapted for mounting on a printed circuit board (PCB) includes a first, a second and third mating port. The first and second mating ports are of horizontal types relative to the PCB and the third mating port is of vertical type. The first and second mating ports are stacked one over another and the third mating port is located at one side of the first mating port and the second mating port.2009-07-02
20090170370Apparatus for Accommodating and Making Electrical Contact With a Luminous Means in a Spotlight - An apparatus for accommodating and making electrical contact with a luminous means, which contains a glass vessel, a luminous means base and contact pins for supplying power, in a spotlight, which contains a luminous means holder for accommodating the luminous means base with plug-type sockets for accommodating the contact pins of the luminous means, is provided. The luminous means holder has a device for monitoring the connection between the luminous means base and the luminous means holder. The monitoring device comprises a device for detecting the relative position of at least one part of the luminous means base in relation to the luminous means holder when the luminous means base is inserted into the luminous holder.2009-07-02
20090170371USB DEVICE FOR A RING BINDER - A USB device for a ring binder includes a main body including a first and a second opposing substantially planar surface so as to be easily accommodated in a ring binder. The main body has a cavity formed therein. A USB element is positioned within the cavity of the main body. A narrow flanged portion extends from the second planar surface of the main body. The narrow flanged portion includes a plurality of spaced openings extending therethrough. At least one of the openings is so sized to provide access to selected rings of a ring binder.2009-07-02
20090170372Electrical connector having a shielding shell - An electrical connector includes an insulative housing (2009-07-02
20090170373High speed electrical connector having improved shield - An electrical connector (2009-07-02
20090170374Battery connector - A battery connector has an insulative housing, a plurality of contact terminals mounted in the insulative housing and a washer mounted on the insulative housing. The insulative housing has a first surface, a second surface, a third surface and a plurality of notches defined through the third surface. Each notch communicates with a first opening and a second opening respectively defined on the first surface and the second surface. Each contact terminal has a flat base portion and a U-shaped resilient portion extending from the base portion. The base portion has a second contact portion. The resilient portion has a first contact portion. The washer encloses around the periphery of the insulative housing and is adjacent to the first surface. Therefore, when the battery connector is mounted to a circuit board, the washer prevents or moisture from getting into the battery connector.2009-07-02
20090170375CONNECTOR APPARATUS FOR VIDEO AND AUDIO SIGNAL TRANSFER AMONG MULTIPLE DEVICES AND SYSTEM INCORPORATING SAME - A jack and plug connector for use with a multimedia playing device used in a vehicle. The multimedia playing device is mounted within the dashboard of a vehicle and is able to wirelessly transmit video to various display devices located in the vehicle. The jack and plug connector provides the capability of transferring video and audio data from an auxiliary device to the multimedia playing device in the vehicle.2009-07-02
20090170376POSITIONING STRUCTURE FOR USB CONNECTOR - An exemplary positioning structure is used for a USB connector assembled with an electronic device including a main body. The positioning structure includes a through hole defined in the main body, and a guiding portion configured on a periphery of an inner sidewall of the through hole.2009-07-02
20090170377Electric connector and method of performing electronic connection - A modular jack assembly having a housing and a plug interface contact (PIC) sled subassembly insertable into the housing. The PIC sled subassembly provides an electrical and mechanical interface between PICs and a male-type plug receivable in an opening in the housing. The PIC sled subassembly is defined in part by multiple slots formed in the PIC sled subassembly that receive the PICs. The design of the PICs compensates for independent near-end cross-talk vectors and far-end cross-talk vectors to obtain a desired level of electrical characteristics.2009-07-02
20090170378Contact Member and Electrical Connector - There is provided a contact member that is formed by folding a contact beam and nevertheless has a decreased width. A contact member having a base, a first securing leg formed by bending one end of the base in the width direction of the base, a second securing leg formed by bending the other end of the base in the width direction, a contact beam consisting of an extension portion that extends from the base and is arranged between the securing legs and a folded portion. The folded portion is arranged in order to face the base, by being folded from the extension portion. The contact member additionally having a connection portion capable of securing to a printed wiring board. The connection portion is provided on the first securing leg.2009-07-02
20090170379CLIP FOR CONNECTION TO A STAB TERMINAL OF AN ELECTRICAL BUSS AND ASSOCIATED METHODS - One embodiment of the present invention relates to a clip for making an electrical connection with a buss stab terminal, comprising: a generally flat body having a first side and a second side and being formed at least in part from an electrically conductive material; an elongated slot in the body; a first spring flap adjacent the slot, wherein the first spring flap projects at least partially outward, away from the body on the first side of the body; and a second spring flap adjacent the slot, wherein the second spring flap projects at least partially outward, away from the body on the first side of the body and wherein the second spring flap is on the opposite side of the slot relative to the first spring flap; wherein the clip is configured to slide onto the buss stab terminal (such as an electric meter) by receiving the buss stab terminal through the slot; and wherein the spring flaps apply pressure to the buss stab terminal to provide an electrical connection between the buss stab terminal and the clip. In one specific example, the clip may be a free-floating, housing-less clip.2009-07-02
20090170380ELECTRICAL CONNECTOR - An improved electrical connector for use with a glazing is disclosed. The glazing preferably comprises a ply of glazing material having a first electrically conductive component mounted thereon, and a second electrically conductive component, joined to the first by a solder. The second component comprises first and second connector feet linked by a bridge portion, the bridge portion being at a height h above each of the connector feet, and each of the feet comprises at least one protrusion having a height d. At least one of h or d is chosen to maximise the adhesion between the second electrically conductive component and the first electrically conductive component. Preferably, the glazing is an automotive glazing.2009-07-02
20090170381Electrical Connector Structure - A new and useful electrical connector structure is provided. The connector structure is particularly useful in connection with a pin: socket type electrical connector structure. The connector structure is designed to make the connector members efficient to manufacture and capable of providing good electrical contact but which does not require the type of close tolerances of previous known connector structures. The principles of the present invention are particularly useful in forming a high pressure pin: socket type electrical connector structure. According to the present invention, an electrical connector structure comprises (a) a pair of connector members that are configured to be coupled together to produce an electrical connection; with (b) one connector member having at least one contact ridge configured to make electrical contact with a substantially smooth contact surface portion of the other connector when the pair of connector members are coupled together. The connector structure enables high pressure contact between the connector members, essentially changes the low-pressure nature of a contact interface to a high pressure one, thus lowering the contact resistance of the interface. It accomplishes this by applying the same amount of contact force to a smaller area of contact, thus increasing psi at the point of contact. Moreover, the connector structure of the invention increases the contact pressure between mating surfaces, decreasing contact resistance and creating more of a gas-tight contact-area which will help to inhibit the formation of oxides and other “tarnishing” films that may degrade interface performance over time.2009-07-02
20090170382Push pole for a boat - A push pole for manually propelling boats, including a shaft and a foot. In a preferred embodiment, the foot is flared at the bottom end in an inverted V-shape, and a pivoting pin is located along one side of the foot, and pivots outwardly from the foot so that it may be used to retrieve lures or as a docking hook. When the pin is not in use, it may pivot back into a receiving cavity within the side of the foot, forming a streamlined edge thereof. In one embodiment, the pivoting mechanism of the pin may include a spring to bias the pin in an outward direction, as well as means for locking the pin into the inward streamlined position when not in use. The pivoting pin may be locked into either the extended or retracted position. Further, the foot may be detachable from the shaft in any desired manner, and replaced by a net, paddle, gig, scrub brush, gaff, docking hook or spike that attaches in the same manner as the foot. Additionally, in a preferred embodiment, the push pole is buoyant in water, and includes a telescoping shaft that may be extended or retracted. A detachable hook is also disclosed.2009-07-02
20090170383Water intake grill for personal watercraft - A water intake grill for attachment to a water intake port of a jet watercraft. The water intake grill comprising a plurality of elongate members situated in between and mounted to fore and aft mounts. The fore mount comprising a base having an angularly orientated bottom surface for receiving and attaching first ends of the elongate members and an angularly orientated top surface for optionally situating atop thereof a removable key element having an overall geometric structure substantially coinciding with the geometric configuration of a mount socket integral to a forward wall section of the water intake port. The aft mount comprising a horizontally positioned base having rearward and forward sections for optionally accepting and resting atop of each thereof at least one removable spacer and an angular edge for receiving and attaching second ends of the elongate members. Each elongate member comprising a teardrop geometric profile incorporating an outward bottom section having a general rounded appearance and a tapered top end having sides commencing from an apex and extending angularly outward therefrom toward the outward bottom section, a geometric profile of which effectively reduces the amount debris into the water intake port while allowing continued passage of water into the water intake port to yield sustained operating performance of the jet watercraft and maintain effective cooling of the combustion engine generally associated therewith.2009-07-02
20090170384Support system for a marine propulsion device - A support system for a marine propulsion device attaches a plurality of mounts to a transom, or transom plate, of a marine vessel. The mounts remain generally stationary with respect to the transom when the outboard motor is trimmed or tilted about its trim axis. This allows easy access to the mounts which are not buried within the structure of the outboard motor.2009-07-02
20090170385OUTBOARD MOTOR - An outboard motor includes a lower casing provided below an upper casing, amounting plate provided above the upper casing, and an engine with a vertically-arranged crankshaft mounted on the mounting plate. The rotation of the crankshaft is transmitted to a drive shaft that is pivotally supported in the upper casing, changed in speed by a transmission mounted on the drive shaft, and transmitted to a propeller shaft that is pivotally supported in the lower casing. The outboard motor has upper mounts and lower mounts arranged to mount the outboard motor on a hull. The upper mounts are disposed on upper lateral surfaces of the upper casing, and the lower mounts are disposed on lower lateral surfaces of the upper casing. The outboard motor simplifies the upper casing for disposing a transmission therein and assembly and maintenance thereof.2009-07-02
20090170386OUTBOARD MOTOR - In an outboard motor, a lower casing is located below an upper casing, and an engine with a vertically-arranged crankshaft is mounted above the upper casing. The rotation of the crankshaft is transmitted to a drive shaft pivotally supported in the upper casing, has its speed changed by a transmission mounted on the drive shaft, and is transmitted to a propeller shaft pivotally supported in the lower casing. The upper casing includes an upper-side casing and a lower-side casing, and mating surface portions of the upper-side casing and the lower-side casing are laterally wider than an upper section and a lower section of the upper casing.2009-07-02
20090170387BOAT PROPULSION SYSTEM AND BOAT INCLUDING THE SAME AND BOAT CONTROL DEVICE AND BOAT CONTROL METHOD - A boat propulsion system includes a control section, a thrust calculation section, a thrust generating unit, a thrust detection section, and a control section. An accelerator opening is input to the control lever by operation of an operator. The accelerator opening detection section detects the input accelerator opening. The thrust calculation section calculates a thrust intended to be generated from the accelerator opening to output a calculated thrust. The thrust generating unit generates a thrust. The thrust detection section detects a thrust actually generated on the thrust generating unit to output it as an actual thrust. The control section controls an output of the thrust generating unit so that the actual thrust approaches the calculated thrust.2009-07-02
20090170388Amphibious shoe and method of use - An amphibious shoe has a sole with a fin compartment therein. The fin compartment provides retractable housing for a lightweight fin for conversion from a walking to swimming shoe. In one embodiment the amphibious shoe includes a fin comprising a plurality of ridges. The ridges are intermittently disposed between material arranged in an accordion construction. When ejected from the compartment, the ridged material expands to a fan configuration. The fan is further appointed with a pivotal stabilizing strap for enhanced stability during swimming. In another embodiment the amphibious shoe includes at least two fin plates pivotally attached about an axis. The fin plates are stowed in an overlapping condition. They are capable of being ejected from the compartment and opposingly rotated toward the front of the shoe abutting to form a swim fin. In operation, the wearer can comfortably run or walk swiftly along the land as the integrated fin has a thin lightweight construction. Upon reaching the water's edge, the shoe is readily converted for swimming by ejecting and positioning the fin.2009-07-02
20090170389COLLAPSIBLE FLOTATION DEVICE - A device comprises a spring and a sleeve. The spring is configured to form a closed loop. The spring is moveable between a coiled configuration when the spring is collapsed and an uncoiled configuration when the spring is expanded. The spring defines a circumference while in the uncoiled configuration. The spring is disposed within the sleeve.2009-07-02
20090170390Doctor Blade Used For Resin Finishing On A Cloth And Method For Resin Finishing With Use Of It - A new doctor blade by which resin finishing can be stably applied to such a thin cloth having a weight 120 g/m2009-07-02
20090170391NOVEL PRODUCTS - An item selected from a piece of fashion clothing, a clothing accessory or a household textile having a polymeric coating, formed by exposing said item or a material or yarn from which the item is subsequently constructed, to a pulsed plasma comprising a compound of formula (I)2009-07-02
20090170392COMPOSITE ELEMENT MADE FROM POLYURETHANE AND POLYOLEFIN - A composited element comprises a substrate composed of a polyolefin and a substrate composed of a polyurethane which are composited together by an adhesive.2009-07-02
20090170393ORGANIC ELECTROLUMINESCENCE DEVICE - The present invention provides an excellent organic EL device with a glass substrate and a sealing glass sheet which are thinned for weight reduction while avoiding lowering the durability and impact resistance of the device. The organic luminescence device is characterized in that sealing is performed at the space between a face of the sealing glass sheet along the outer edge and a face of the device substrate with a low melting point metal.2009-07-02
Website © 2025 Advameg, Inc.