20th week of 2015 patent applcation highlights part 47 |
Patent application number | Title | Published |
20150132927 | POLYSILICON MANUFACTURING METHOD THAT CONTROLS GROWTH DIRECTION OF POLYSILICON - The present invention provides a polysilicon manufacturing method that controls a growth direction of polysilicon, including the following steps: (1) forming a first buffer layer ( | 2015-05-14 |
20150132928 | Patterning of Nanostructures - A technique for forming nanostructures including introducing a plurality of molecular-size scale and/or nanoscale building blocks to a region near a substrate and simultaneously scanning a pattern on the substrate with an energy beam, wherein the energy beam causes a change in at least one physical property of at least a portion of the building blocks, such that a probability of the portion of the building blocks adhering to the pattern scanned by the energy beam is increased, and wherein the building blocks adhere to the pattern to form the structure. The energy beam and at least a portion of the building blocks may interact by electrostatic interaction to form the structure. | 2015-05-14 |
20150132929 | METHOD FOR INJECTING DOPANT INTO SUBSTRATE TO BE PROCESSED, AND PLASMA DOPING APPARATUS - Provided is a method for injecting a dopant into a substrate to be processed. A method in one embodiment of the present invention includes: (a) a step for preparing, in a processing container, a substrate to be processed; and (b) a step for injecting a dopant into the substrate by supplying a doping gas containing AsH | 2015-05-14 |
20150132930 | METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE AND ANNEALING METHOD - A semiconductor device manufacturing method includes: amorphizing the impurity diffusion layer formation region; doping the impurity diffusion layer formation region of the semiconductor substrate with impurities; and performing an annealing treatment including lamp annealing in which a heating lamp is used and microwave annealing in which microwaves are irradiated, on the semiconductor substrate doped with the impurities, for activating the impurities. In addition to activation of the impurity, re-crystallization and removing of crystal defects also take place in the annealing treatment. | 2015-05-14 |
20150132931 | HIGH-THROUGHPUT THERMAL PROCESSING METHODS FOR PRODUCING HIGH-EFFICIENCY CRYSTALLINE SILICON SOLAR CELLS - A method for thermal processing of a silicon substrate wherein first a silicon substrate is heated to an idle load temperature in the range of approximately 700° to 900° C. The silicon substrate is then heated to a temperature in the range of approximately 975° to 1200° C. in less than approximately 20 minutes. After thermal processing, the silicon substrate is cooled to an idle unload temperature in the range of approximately 700° to 900° C. in less than approximately 20 minutes. | 2015-05-14 |
20150132932 | SEMICONDUCTOR DEVICE WITH SELECTIVELY ETCHED SURFACE PASSIVATION - A semiconductor device includes a semiconductor substrate configured to include a channel, a gate supported by the semiconductor substrate to control current flow through the channel, a first dielectric layer supported by the semiconductor substrate and including an opening in which the gate is disposed, and a second dielectric layer disposed between the first dielectric layer and a surface of the semiconductor substrate in a first area over the channel. The second dielectric layer is patterned such that the first dielectric layer is disposed on the surface of the semiconductor substrate in a second area over the channel. | 2015-05-14 |
20150132933 | III-Nitride Semiconductor Device Fabrication - A III-nitride semiconductor device which includes a barrier body between the gate electrode and the gate dielectric thereof. | 2015-05-14 |
20150132934 | Methods of Forming Electrically Conductive Lines Devices - A method of forming an electrically conductive buried line and an electrical contact thereto includes forming of a longitudinally elongated conductive line within a trench in substrate material. A longitudinal end part thereof within the trench is of spoon-like shape having a receptacle. The receptacle is filled with conductive material. Insulative material is formed over the conductive material that is within the receptacle. A contact opening is formed over the conductive material that is within the receptacle. Conductor material is formed in the contact opening in electrical connection with the second conductive material that is within the receptacle. Other method and device implementations are disclosed. | 2015-05-14 |
20150132935 | SEMICONDUCTOR DEVICE AND METHOD FOR FABRICATING THE SAME - A semiconductor device includes a semiconductor substrate having an active region defined by an isolation layer, a gate line defining a bit line contact region in the active region and extending in one direction, and a dielectric layer covering the semiconductor substrate and the gate line formed in the semiconductor substrate. The semiconductor device is provided with a bit line contact hole formed in the dielectric layer and exposing the bit line contact region. In order to alleviate a self-aligned contact (SAC) fails caused by a conductive material remaining in a contact hole, the semiconductor device contains a bit line contact spaced apart from a sidewall of the bit line contact hole and formed in the bit line contact hole. | 2015-05-14 |
20150132936 | SEMICONDUCTOR DEVICE WITH SELF-ALIGNED AIR GAP AND METHOD FOR FABRICATING THE SAME - A method for fabricating a semiconductor device includes forming a plurality of semiconductor structures over a substrate, forming an interlayer dielectric layer over the semiconductor structures, etching the interlayer dielectric layer, and defining open parts between the semiconductor structures to expose a surface of the substrate, forming sacrificial spacers on sidewalls of the open parts, forming conductive layer patterns in the open parts, and causing the conductive layer patterns and the sacrificial spacers to reach each other, and defining air gaps on the sidewalls of the open parts. | 2015-05-14 |
20150132937 | METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE - There is provided a method of manufacturing a semiconductor device including: preparing a semiconductor substrate having an active region; forming a dielectric layer for gate insulation on the active region; forming a curing layer with a material containing germanium (Ge) on the dielectric layer; heat-treating the curing layer; and removing the curing layer. The germanium-containing material may be silicon germanium (SiGe) or germanium (Ge). | 2015-05-14 |
20150132938 | Methods and Systems for Forming Reliable Gate Stack on Semiconductors - Methods are provided for the deposition of high-k gate dielectric materials which are doped with fluorine and/or nitrogen to improve the performance and reliability. The high-k dielectric materials may include at least one of hafnium oxide, hafnium silicon oxide, hafnium aluminum oxide, zirconium oxide, zirconium silicon oxide, zirconium aluminum oxide, titanium oxide, titanium silicon oxide, or titanium aluminum oxide. The fluorine dopant is provided from a layer including titanium nitride or amorphous silicon, where the layer is doped with at least one of fluorine or nitrogen. The dopants diffuse into the high-k dielectric material during a subsequent anneal process. | 2015-05-14 |
20150132939 | METHOD FOR DEPOSITING METAL LAYERS ON GERMANIUM-CONTAINING FILMS USING METAL CHLORIDE PRECURSORS - A method is provided for forming a semiconductor device. According to one embodiment, the method includes providing a substrate having a Ge-containing film thereon, identifying a first plasma processing recipe that uses a metal chloride precursor to deposit a first metal layer on the Ge-containing film at a higher rate than the Ge-containing film is etched by the metal chloride precursor, identifying a second plasma processing recipe that uses the metal chloride precursor to etch the Ge-containing film at a higher rate than a second metal layer is deposited on the Ge-containing film by the metal chloride precursor, performing the first plasma processing recipe to deposit the first metal layer on the Ge-containing film, and performing the second plasma processing recipe to deposit the second metal layer on the first metal layer, and where the second metal layer is deposited at a higher rate than the first metal layer. | 2015-05-14 |
20150132940 | COPPER-CONTAINING C4 BALL-LIMITING METALLURGY STACK FOR ENHANCED RELIABILITY OF PACKAGED STRUCTURES AND METHOD OF MAKING SAME - The invention relates to a ball-limiting metallurgy stack for an electrical device that contains at least one copper layer disposed upon a Ti adhesion metal layer. The ball-limiting metallurgy stack resists Sn migration toward the upper metallization of the device. | 2015-05-14 |
20150132941 | Semiconductor Die Contact Structure and Method - A system and method for forming a semiconductor die contact structure is disclosed. An embodiment comprises a top level metal contact, such as copper, with a thickness large enough to act as a buffer for underlying low-k, extremely low-k, or ultra low-k dielectric layers. A contact pad or post-passivation interconnect may be formed over the top level metal contact, and a copper pillar or solder bump may be formed to be in electrical connection with the top level metal contact. | 2015-05-14 |
20150132942 | METHODS OF MANUFACTURING SEMICONDUCTOR DEVICES HAVING BURIED CONTACTS AND RELATED SEMICONDUCTOR DEVICES - Provided is a method of manufacturing a semiconductor device. The method includes: forming bit line structures spaced apart from each other by first groove disposed in first direction, extending in first direction, and spaced apart from each other in second direction perpendicular to first direction, on substrate in which word line is buried; forming multilayer spacer on both sidewalls of bit line structure; forming sacrificial layer to fill first groove; forming second grooves spaced apart from each other in first direction and second direction, by patterning sacrificial layer; etching outermost spacer of multilayer spacer located in second groove; forming first supplementary spacer in second groove; forming insulating layer to fill second groove; and forming third grooves spaced apart from each other in first direction and second direction, on both sides of first supplementary spacer, by removing sacrificial layer and insulating layer. | 2015-05-14 |
20150132943 | METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE - Provided is a method of manufacturing a semiconductor device. The method includes forming isolated contact filling portions and an etch control portion, the isolated contact filling portions filling contact holes defined in a support layer and are spaced apart from each other in a first direction and a second direction perpendicular to the first direction and the etch control layer surrounding the isolated contact filling portions, forming an interconnection layer on the isolated contact filling portions and the etch control portion, and forming interconnection patterns by photo-etching the interconnection layer, the isolated contact patterns, and the etch control portion, the interconnection patterns being relatively narrow in the first direction and relatively wide in the second direction. | 2015-05-14 |
20150132944 | ELECTRONIC DEVICE AND METHOD FOR FABRICATING THE SAME - An electronic device includes a semiconductor memory circuit. The semiconductor memory circuit includes a plurality of first conductive lines which includes an anti-oxidation layer on both sides of each first conductive line, an inter-layer dielectric layer suitable for gap-filling a space between the first conductive lines, a material layer formed over the first conductive lines and the inter-layer dielectric layer and including oxygen vacancies, and a plurality of second conductive lines formed over the material layer to intersect with the first conductive lines. A first portion of the material layer where the first conductive lines and the second conductive lines overlap each other has a lower oxygen content than a second portion of the material layer where the inter-layer dielectric layer and the second conductive lines overlap each other. | 2015-05-14 |
20150132945 | Methods of Fabricating Semiconductor Devices Including Interlayer Wiring Structures - Semiconductor devices and methods of fabricating the same are disclosed. The methods include forming a first interlayer insulating layer and a conductive contact plug that penetrates the first interlayer insulating layer, forming a second interlayer insulating layer and a first interlayer wiring on the first interlayer insulating layer. The first interlayer wiring penetrates the second interlayer insulating layer and overlaps the first metal contact plug. The second interlayer insulating layer is etched using the first interlayer wiring as a mask until the first metal contact plug is exposed, and an exposed portion of the conductive contact plug is etched using the first interlayer wiring as the mask. | 2015-05-14 |
20150132946 | METHODS FOR BARRIER INTERFACE PREPARATION OF COPPER INTERCONNECT - A method is provided, including the following method operations: depositing a metallic barrier layer to line a copper interconnect structure by a dry process in an integrated system configured to operate a mixture of dry and wet processes; depositing the functionalization layer over the metallic barrier layer by a wet process in the integrated system; and, depositing the copper layer over the functionalization layer in the copper interconnect structure by a wet process in the integrated system after the functionalization layer is deposited over the metallic barrier layer, wherein the material used for the functionalization layer comprises a complexing group with at least two ends, one end of the complexing group forming a bond with the metallic barrier layer and another end of the complexing group forming a bond with the copper layer. | 2015-05-14 |
20150132947 | METHOD OF MANUFACTURING A SEMICONDUCTOR DEVICE - A method of manufacturing a semiconductor device may include: forming an opening in a dielectric layer, the opening exposing a non-conductive layer disposed over a semiconductor substrate; forming a self-assembled monolayer (SAM) within the opening and over the non-conductive layer; forming a catalytic layer within the opening and over the SAM; filling the opening having the SAM and the catalytic layer with a conductive material to form a plug; and forming a barrier layer on sidewalls of the plug. | 2015-05-14 |
20150132948 | Method Of Fabricating A Semiconductor Device, And Chemical Mechanical Polish Tool - The present disclosure provides a method of fabricating a semiconductor device with metal interconnections and a design of a tool for performing such a method. In one embodiment, a method of fabricating a semiconductor device, the method includes providing a semiconductor substrate, depositing a dielectric layer over the semiconductor substrate, forming at least one trench in the dielectric layer, and forming a metallization layer in the trench and over the dielectric layer. The method further includes performing a chemical mechanical polishing process to planarize the metallization layer and the dielectric layer, performing a surface treatment on the planarized dielectric layer to form a protection layer, cleaning the planarized metallization layer and the treated dielectric layer to remove residue from the chemical mechanical polishing process, and drying the cleaned metallization layer and dielectric layer in an inert gas environment. | 2015-05-14 |
20150132949 | FABRICATION METHODS OF CHIP DEVICE PACKAGES - A chip package and a fabrication method thereof are provided. The chip package includes a semiconductor substrate, having a first surface and an opposing second surface. A spacer is disposed under the second surface of the semiconductor substrate and a cover plate is disposed under the spacer. A recessed portion is formed adjacent to a sidewall of the semiconductor substrate, extending from the first surface of the semiconductor substrate to at least the spacer. Then, a protection layer is disposed over the first surface of the semiconductor substrate and in the recessed portion. | 2015-05-14 |
20150132950 | SEMICONDUCTOR PACKAGES, METHODS OF MANUFACTURING THE SAME, AND SEMICONDUCTOR PACKAGE STRUCTURES INCLUDING THE SAME - A semiconductor device includes a substrate including a first surface and a second surface opposite to each other, a through-via electrode extending through the substrate. The through-via electrode has an interconnection metal layer and a barrier metal layer surrounding a side surface of the interconnection metal layer. One end of the through-via electrode protrudes above the second surface. A spacer insulating layer may be provided on an outer sidewall of the through-via electrode. A through-via electrode pad is connected to the through-via electrode and extends on the spacer insulating layer substantially parallel to the second surface. A first silicon oxide layer and a silicon nitride layer are stacked on the second surface. A thickness of the first silicon oxide layer is greater than a thickness of the silicon nitride layer. | 2015-05-14 |
20150132951 | Surface Poisoning Using ALD For High Selectivity Deposition Of High Aspect Ratio Features - Methods of selectively depositing a feature onto a substrate surface while maintaining substantially straight sidewalls on the feature. A portion of the feature is grown and then covered with a protective film. The protective film is removed from the top of the feature, leaving some of the film on the sides of the feature and the process is repeated to grow a feature of desired thickness. | 2015-05-14 |
20150132952 | Air Gap Formation by Damascene Process - The present disclosure provides a method for forming a semiconductor device. The method includes forming first conductive layer structures in a first dielectric layer on a substrate; forming a patterned photoresist layer having portions that are each disposed over a respective one of the first conductive layer structures; forming an energy removable film (ERF) on the sidewalls of each of the portions; forming a second dielectric layer over the ERFs, the portions of the patterned photoresist layer, and the first dielectric layer; removing the portions to leave behind a plurality of openings; filling a conductive material in the openings, the conductive material defining second conductive layer structures; forming a ceiling layer over the second conductive layer structures, the ERFs, and the second dielectric layer; and applying energy to the ERFs to partially remove the ERFs on the sidewalls of the portions thereby forming air gaps. | 2015-05-14 |
20150132953 | Etching of semiconductor structures that include titanium-based layers - Two-step process sequences uniformly etch both tungsten-based and titanium-based structures on a substrate. A sequence of wet etches using peroxide and heated nitric acid uniformly recesses a metal stack that includes W, TiN, and TiAl. W, TiN and TiC are uniformly recessed by a peroxide etch at ˜25 C followed by an acid solution with a very small amount of added peroxide at ˜60 C. TiC is etched without etching trench oxides or other metals in a work-function metal stack by either (1) highly-dilute of ultra-dilute HF at 25-35 C, (2) dilute HCl at 25-60 C, (3) dilute NH | 2015-05-14 |
20150132954 | METHOD FOR PROCESSING STRUCTURE IN MANUFACTURING SEMICONDUCTOR DEVICE - A method used for processing a structure in manufacturing of a semiconductor device may include polishing the structure to form a polished structure. The polished structure may include a metal member, a dielectric layer that contacts the metal member, and a particle that contacts at least one of the metal member and the dielectric layer. The method may further include applying an organic acid to the polished structure to remove at least a portion of the particle. The particle may be substantially removed, such that satisfactory quality of the semiconductor may be provided. | 2015-05-14 |
20150132955 | POLISHING COMPOSITION, POLISHING METHOD USING SAME, AND METHOD FOR PRODUCING SUBSTRATE - A polishing composition of the present invention contains a water-soluble polymer and abrasive grains. The water-soluble polymer is an anionic compound having an acid dissociation constant pKa of 3 or less. Specific examples of such a compound include polyvinylsulfonic acid, polystyrenesulfonic acid, polyallylsulfonic acid, polyethyl acrylate sulfonic acid, polybutyl acrylate sulfonic acid, poly(2-acrylamide-2-methylpropanesulfonic acid), and polyisoprenesulfonic acid. The abrasive grains exhibit a negative zeta potential at a pH of 3.5 or less. Specific examples of such abrasive grains include colloidal silica. | 2015-05-14 |
20150132956 | Chemical Mechanical Polishing Slurry Compositions and Method Using the Same for Copper and Through-Silicon Via Applications - Provided are novel chemical mechanical polishing (CMP) slurry compositions for polishing copper substrates and method of using the CMP compositions. The CMP slurry compositions deliver superior planarization with high and tunable removal rates and low defects when polishing bulk copper layers of the nanostructures of IC chips. The CMP slurry compositions also offer the high selectivity for polishing copper relative to the other materials (such as Ti, TiN, Ta, TaN, and Si), suitable for through-silicon via (TSV) CMP process which demands high copper film removal rates. | 2015-05-14 |
20150132957 | COMPOSITION FOR ADVANCED NODE FRONT-AND-BACK-END OF LINE CHEMICAL MECHANICAL POLISHING - The present disclosure is directed to a highly dilutable chemical mechanical polishing concentrate comprising an abrasive, an acid, a stabilizer, and water with a point-of-use pH ranging from 2.2-3.5 for planarizing current and next generation semiconductor integrated circuit FEOL/BEOL substrates. | 2015-05-14 |
20150132958 | CONTACT RELEASE CAPSULE USEFUL FOR CHEMICAL MECHANICAL PLANARIZATION SLURRY - The invention relates to a contact release capsule comprising a particle, a chemical payload, and a polymer coating, wherein the particle is impregnated with the chemical payload, and the chemical payload is held inside the particle by the polymer coating until the contact release capsule contacts a surface and a shearing force removes the polymer coating allowing the chemical payload to release outside the particle. The contact release capsule is useful in chemical mechanical planarization slurries. Particularly, the contact release capsule may comprise a glycine impregnated silica nanoparticle coated with a polymer, wherein the contact release capsule is dispersed in an aqueous solution and used in the copper chemical mechanical planarization process. Use of the contact release capsule in a slurry for copper chemical mechanical planarization may significantly improve planarization efficiency, decrease unwanted etching and corrosion, and improve dispersion stability. | 2015-05-14 |
20150132959 | PATTERN FORMATION AND TRANSFER DIRECTLY ON SILICON BASED FILMS - Embodiments involve patterned mask formation. In one embodiment, a method involves depositing a CVD film over a semiconductor wafer; exposing the CVD film to e-beam or UV radiation, forming a pattern in the CVD film; and etching the pattern in the CVD film, forming features in areas not exposed to the e-beam or UV radiation. In one embodiment, a method involves depositing a CVD film over a semiconductor wafer; depositing a thin photo-sensitive CVD hardmask film over the CVD film; exposing the thin photo-sensitive CVD hardmask film to e-beam or UV radiation, forming a pattern in the thin photo-sensitive CVD hardmask film; etching the pattern in the thin photo-sensitive CVD hardmask film; etching the pattern into the CVD film through the patterned thin photo-sensitive CVD hardmask film; and removing the patterned thin photo-sensitive CVD hardmask film. | 2015-05-14 |
20150132960 | SUBSTRATE PROCESSING APPARATUS AND SUBSTRATE PROCESSING METHOD - A substrate processing apparatus that can appropriately carry out desired plasma processing on a substrate. The substrate is accommodated in an accommodating chamber. An ion trap partitions the accommodating chamber into a plasma producing chamber and a substrate processing chamber. High-frequency antennas are disposed in the plasma producing chamber. A process gas is introduced into the plasma producing chamber. The substrate is mounted on a mounting stage disposed in the substrate processing chamber, and a bias voltage is applied to the mounting stage. The ion trap has grounded conductors and insulating materials covering surfaces of the conductors. | 2015-05-14 |
20150132961 | Methods For Atomic Layer Etching - Provided are methods of etching a substrate using atomic layer deposition apparatus. Atomic layer deposition apparatus including a gas distribution plate with a thermal element and remote plasma are discussed. The thermal element is capable of locally changing the temperature of a portion of the surface of the substrate to vaporize an etch layer deposited on the substrate. | 2015-05-14 |
20150132962 | FACILITATING MASK PATTERN FORMATION - Mask pattern formation is facilitated by: providing a mask structure including at least one sacrificial spacing structure disposed above a substrate structure; disposing a spacer layer conformally over the mask structure; selectively removing the spacer layer, leaving, at least in part, sidewall spacers along sidewalls of the at least one sacrificial spacing structure, and providing at least one additional sacrificial spacer over the substrate structure, one additional sacrificial spacer of the at least one additional sacrificial spacer being disposed in set spaced relation to the at least one sacrificial spacing structure; and removing the at least one sacrificial spacing structure, leaving the sidewall spacers and the at least one additional sacrificial spacer over the substrate structure as part of a mask pattern. | 2015-05-14 |
20150132963 | MECHANISM FOR FORMING SEMICONDUCTOR DEVICE STRUCTURE - Embodiments of mechanisms of forming a semiconductor device structure are provided. The method includes providing a substrate, forming a first material layer on the substrate, forming a second material layer on the first material layer and forming a first PR layer on the second material layer. The method includes exposing a portion of the first PR layer to a first radiation beam and forming a second PR layer on the first PR layer. The method includes exposing a portion of the second PR layer to a second radiation beam and developing the first PR layer and the second PR layer to form a patterned first PR layer and a patterned second PR layer. The method includes etching a portion of the first material layer and the second material layer by using the patterned first PR layer and the patterned second PR layer as a mask. | 2015-05-14 |
20150132964 | Method of Patterning - In a patterning method according to the present embodiment, a guide pattern is formed on a processing target film. The guide pattern is configured by concave portions and convex portions extending in a predetermined direction. A block copolymer layer is formed on the guide pattern. The block copolymer layer contains at least two block chains. A layer of microphase-separated structures is formed on the concave portions and the convex portions, respectively, by microphase-separating the block copolymer layer. The processing target film is formed into predetermined patterns by selectively removing the processing target film. At least a part of the block copolymer layer is used as a mask. | 2015-05-14 |
20150132965 | Method for Using Post-Processing Methods for Accelerating EUV Lithography - Methods for using high-speed EUV resists including resists having additives that may be detrimental to etch chambers. Methods include using reversal materials and/or reversal techniques, as well as diffusion-limited etch-back and slimming for pattern creation and transfer. A substrate with high-speed EUV resist is lithographically patterned and developed into a patterned resist mask. An image reversal material is then over-coated on the patterned resist mask such that the image reversal material fills and covers the patterned resist mask. An upper portion of the image reversal material is removed such that top surfaces of the patterned resist mask are exposed. The patterned resist mask is removed such that the image reversal material remains resulting in a patterned image reversal material mask. Residual resist material is removed via a slimming process using an acid diffusion and subsequent development. | 2015-05-14 |
20150132966 | METHOD FOR FORMING A FINFET STRUCTURE - A method for forming a FinFET structure includes providing a substrate, a first region and a second region being defined on the substrate, a first fin structure and a second fin structure being disposed on the substrate within the first region and the second region respectively. A first oxide layer cover the first fin structure and the second fin structure. Next a first protective layer and a second protective layer are entirely formed on the substrate and the first oxide layer in sequence, the second protective layer within the first region is removed, and the first protective layer within the first region is then removed. Afterwards, the first oxide layer covering the first fin structure and the second protective layer within the second region are removed simultaneously, and a second oxide layer is formed to cover the first fin structure. | 2015-05-14 |
20150132967 | METHOD OF PROCESSING SUBSTRATE AND SUBSTRATE PROCESSING APPARATUS - A method of processing a substrate using a substrate processing apparatus that has an electrostatic chuck including an insulating member inside which an electrode is included and provides a plasma process to a substrate mounted on the electrostatic chuck includes a first process of supplying a heat transfer gas having a second gas pressure to a back surface of the substrate while eliminating electric charges in the substrate using plasma of a process gas having a first gas pressure. | 2015-05-14 |
20150132968 | DRY-ETCH SELECTIVITY - A method of etching exposed patterned heterogeneous structures is described and includes a remote plasma etch formed from a reactive precursor. The plasma power is pulsed rather than left on continuously. Plasma effluents from the remote plasma are flowed into a substrate processing region where the plasma effluents selectively remove one material faster than another. The etch selectivity results from the pulsing of the plasma power to the remote plasma region, which has been found to suppress the number of ionically-charged species that reach the substrate. The etch selectivity may also result from the presence of an ion suppression element positioned between a portion of the remote plasma and the substrate processing region. | 2015-05-14 |
20150132969 | SUBSTRATE PROCESSING APPARATUS AND SUBSTRATE DETACHING METHOD - A substrate processing apparatus includes an electrostatic chuck that includes a chuck electrode and electrostatically attracts a substrate; a direct voltage source that is connected to the chuck electrode and applies a voltage to the chuck electrode; and an evacuation unit that includes a rotor and discharges, via a heat transfer gas discharge pipe, a heat transfer gas supplied to a back surface of the substrate electrostatically-attracted by the electrostatic chuck. The evacuation unit is connected via a power supply line to the direct voltage source, generates regenerative power, and supplies the regenerative power to the direct voltage source. | 2015-05-14 |
20150132970 | SUBSTRATE PROCESSING APPARATUS AND SUBSTRATE PROCESSING METHOD - An apparatus for processing reaction products that are deposited when an etching target film contained in a target object to be processed is etched is provided with: a processing chamber; a partition plate; a plasma source; a mounting table; a first processing gas supply unit; a second processing gas supply unit. The processing chamber defines a space, and the partition plate is arranged within the processing chamber and divides the space into a plasma generating space and a substrate processing space, while suppressing permeation of ions and vacuum ultraviolet rays. The plasma source generates a plasma in the plasma forming space. The mounting table is arranged in the substrate processing space to mount the target object thereon. | 2015-05-14 |
20150132971 | PLASMA GENERATION AND PULSED PLASMA ETCHING - One or more plasma etching techniques are provided. Selective plasma etching is achieved by introducing a gas into a chamber containing a photoresist over a substrate, establishing a bias at a frequency to convert the gas to a plasma at the frequency, and using the plasma to etch the photoresist. The frequency controls an electron density of the plasma and by maintaining a low electron density causes free radicals of the plasma to chemically etch the photoresist, rather than physically etching using ion bombardment. A mechanism is thus provided for chemically etching a photoresist under what are typically physical etching conditions. | 2015-05-14 |
20150132972 | SUBSTRATE PROCESSING APPARATUS, METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE, AND NON-TRANSITORY COMPUTER-READABLE RECORDING MEDIUM - A substrate processing apparatus includes: a reaction tube configured to accommodate a plurality of substrates and to be supplied with a gas generated by vaporizing or turning into mist a solution containing a reactant in a solvent; a lid configured to close the reaction tube; a first heater configured to heat the plurality of substrates; a thermal conductor placed on the lid on an upper surface thereof; a second heater placed outside the reaction tube around a side thereof, the second heater being configured to heat the gas flowing near the lid; and a heating element placed on the lid on a lower surface thereof, the heating element configured to heat the lid. | 2015-05-14 |
20150132973 | Ultraviolet Curing Apparatus And Ultraviolet Curing Method Thereof - An ultraviolet curing apparatus includes a chamber, a gas flow generator, and an ultraviolet lamp. The gas flow generator includes a top liner and a bottom liner coupled to each other. The top liner and the bottom liner are disposed in the chamber, and are made of low-coefficient of thermal expansion material. The ultraviolet lamp is disposed on the chamber and is configured for providing ultraviolet light. | 2015-05-14 |
20150132974 | ADAPTER FOR USING TWO ONE-WAY LIGHT BULBS IN A THREE-WAY LIGHT SOCKET - An adapter for using two one-way light bulbs in a three-way light socket comprises a lower body, a first female socket body, and a second female socket body. The lower body comprises a male metal threaded base for screwing into a three-way light socket. The first female socket body is connected to the lower body and comprises a first female threaded socket for receiving a first one-way light bulb. The second female socket body is connected to the lower body and comprises a second female threaded socket for receiving a second one-way light bulb. The first one-way light bulb is energized when the three-way light socket switch is in a low position, the second one-way light bulb is energized when the three-way light socket switch is in a medium position, and both one-way light bulbs are energized when the three-way light socket switch is in a high position. | 2015-05-14 |
20150132975 | KEYSTONE JACK FOR USE IN A COMPUTING NETWORK - Provided is a keystone jack for use as a port in a wired computing network. The keystone jack includes a housing, a plug receptacle within the housing configured to receive a plug connector therein, a termination portion of the housing for connecting a network cable thereto, a first circuit disposed between the plug receptacle and the termination portion, a plurality of conductive terminals disposed within the plug receptacle and configured for defining together with the first circuit and the termination portion a communication channel for electrically communicating between the plug receptacle and the termination portion, and an interfacing unit being in electric communication with the first circuit and configured for interfacing with a detachably attachable supplementary electric unit to provide electrical communication thereof with said at least a portion of the communication channel. | 2015-05-14 |
20150132976 | Electrical Connector - An electrical connector includes a socket housing and socket terminals. Each socket terminal includes a front terminal and a rear terminal. The front terminal contacts a plug connector inserted into the socket housing. The front terminal includes front contact-point portion that contacts the plug connector and an elastic piece that supports the front contact-point portion so as to be elastically displaceable. The rear terminal includes a rear contact-point portion and an elastic piece that supports the rear contact-point portion so as to be elastically displaceable. The rear contact-point portion contacts the plug connector after the front contact-point portion has contacted the plug connector. The front contact-point portion and the elastic piece, and the rear contact-point portion and the elastic piece are each formed so as to maintain a plate surface of a flat metal plate. | 2015-05-14 |
20150132977 | ELECTRICAL CONNECTION BOX - An electrical connection box is provided in which a printed circuit board provided with a lateral connection-type connector is housed in a case, and a cover is attached to the case, and this electrical connection box has a novel structure in which a reduction in size and manufacturing cost can be achieved while still reliably protecting the connector. A surface mount-type connector is provided on a printed circuit board as the lateral connection-type connector, and the case and the cover are provided with incorrect-connection-prevention projection portions that, in the case where the cover is brought close to the case upside down relative to the proper orientation, prevent incorrect attachment of the cover by abutting against each other before the cover abuts against the connector. | 2015-05-14 |
20150132978 | ELECTRICAL CONNECTION BOX - The electrical connection box includes a block and a frame which accommodates the block. The block is configured to include a terminal support section which supports a relief terminal section of a bus bar in a state in which the relief terminal section of a bus bar is protruded, and a first cover support section which is provided in the vicinity of the terminal support section. The frame is configured to include an insertion hole into which the relief terminal section is inserted when the block is accommodated, and a second cover support section which is provided in the vicinity of the insertion hole. A terminal cover is rotatably supported around a shaft section, with the shaft section being interposed between the first cover support section and the second cover support section in an accommodation state in which the block is accommodated in the frame. | 2015-05-14 |
20150132979 | ELECTRICAL CONNECTOR HAVING GROUNDING MECHANISM - An elbow-type medium or high voltage electrical device includes a longitudinal body, a first connector end formed substantially perpendicularly to an axial direction of the longitudinal body, and an integral grounding element end substantially perpendicularly to the axial direction of the longitudinal body and opposing the first connector end. The first connector end includes a first axial bore configured to receive a bushing element therein. The grounding element end includes a second axial bore formed therein for receiving a conductive grounding element therein. The grounding element, when inserted into the second axial bore includes an exposed portion projecting above a surface of the grounding element end. The exposed portion of the grounding element is configured for attachment by a grounded hot line clamp to ground the electrical connector assembly. | 2015-05-14 |
20150132980 | Removable Media with Latch - A removable case is provided with a latch that allows users to remove the case from a memory card slot or peripheral interface slot of an electronic device. The removable case can be used to enclose removable media or peripheral devices, such as wireless network interface controllers. When inserted into the memory card slot or peripheral interface slot, the removable case is designed to sit flush with the surface the electronic device. | 2015-05-14 |
20150132981 | Quick Disconnect Method and Apparatus for Utility Power Distribution Systems - A quick release connector for tensioned power lines, the connector comprising: a first component, said first component attached to a power line segment; and a second component attached to a second generally collinear power line segment, said second component comprising a locking clamp mechanism that selectively clamps the first component and automatically releases upon the application of a longitudinal force of predetermined magnitude along the clamp. | 2015-05-14 |
20150132982 | CONTACT MECHANISMS FOR ELECTRICAL RECEPTACLE ASSEMBLIES - An electrical receptacle assembly having an outer body and an inner body. The inner body can include at least one first wall forming a first cavity and at least one resilient element disposed within the cavity proximate to the at least one first wall, where the at least one resilient element has an electrically conductive material. The outer body can be movably disposed within the first cavity, where the outer body can include at least one extension, at least one home slot, and at least one detent positioned between the at least one extension and the at least one home slot, where the at least one extension has the electrically conductive material, and where the at least one detent and the at least one home slot are electrically non-conductive. | 2015-05-14 |
20150132983 | LOCKOUT FEATURES FOR ELECTRICAL RECEPTACLE ASSEMBLIES - An electrical receptacle assembly having an outer body and a faceplate. The outer body can include at least one driven feature disposed on an outer surface of the outer body. The faceplate can include a number of terminal receivers and at least one driving feature, where the terminal receivers traverse the faceplate and are configured to receive a number of terminals of an electrical plug, and where the at least one driving feature is disposed on a bottom side of the faceplate. The faceplate can rotate between a first position and a second position. The driving feature of the faceplate can couple to the driven feature of the outer body when the faceplate is out of the first position. The faceplate can rotate from the first position to the second position using the electrical plug when the terminals are disposed in the terminal receivers. | 2015-05-14 |
20150132984 | MOBILE OTP SERVICE PROVIDING SYSTEM - A mobile OTP system providing system is provided, in that it performs security token and OTP management functions, it generates an OTP having high security level in hardware by using a mobile OTP device for performing a security data storage function of encoding and decoding data during data storage, and it generates OTPs necessary for a plurality of services by using one mobile OTP device, thereby safely and easily utilizing it by means of the user. | 2015-05-14 |
20150132985 | SHIELD AND LOCKING TYPE BOARD TO BOARD CONNECTOR - A shield and locking type board to board connector functioning to connect a first board and a second board to each other, the connector including: a first connector unit having both a first base and a first terminal; a second connector unit having a second base and a second terminal; two shield members each having a shell shape, the shield members respectively provided on front and rear bases facing the second base such that the shield members arrest EMI (electromagnetic interference) noise; and a locking member provided in the second connector unit so as to prevent the first connector unit from being removed outward by an outward movement thereof after the first connector unit is combined with the second connector unit in a close contact state. | 2015-05-14 |
20150132986 | Electrical Connection System - The present invention relates to an electrical connection system of a means for generating electrical energy from regenerative sources, in particular from a wind turbine, comprising a first connection piece which can be joined to an end of a first cable, a longitudinal axis of the first cable determining a first longitudinal axis and a second connection piece which can be joined to an end of a second cable or to a second end of the first cable, a longitudinal axis of the first or second cable determining a second longitudinal axis, wherein the first connection piece has a seat, formed for a projection of the second connection piece, and the second connection piece has the projection corresponding to the seat, and wherein the projection can be arranged in the seat to form an electrically conductive connection between the connection pieces. To facilitate assembly, it is proposed that the seat is formed by a groove extending in a plane vertical to the first longitudinal axis, the groove passing through the first connection piece in its extension direction, that the projection extends in a plane vertical to the second longitudinal axis, that a first groove wall is inclined in the direction of the first longitudinal axis and that a lateral surface of the projection is inclined in the direction of the second longitudinal axis. | 2015-05-14 |
20150132987 | DEVICE CONNECTOR - A device connector ( | 2015-05-14 |
20150132988 | HAND-HELD MACHINE TOOL - A hand-held machine tool has an integrated tool or a tool holder to receive a tool. An electric motor drives the tool or the tool holder. A battery pack for supplying power to the electric motor can be pushed into a guide in a first direction. Electrical contacts are disposed in a contact holder being offset with respect to each other in a second direction. A mounting for the contact holder holds the contact holder so that it can move in the first direction-and in a third direction with respect to the mounting. The first direction, second direction and third direction are orthogonal to each other in pairs. A spring acts upon the contact holder with a force opposite to the first direction. An end face oriented opposite to the first direction is provided with a centerer which cooperates with a centering support on the battery pack in order to prevent a relative movement between the end face and the battery pack in the third direction. | 2015-05-14 |
20150132989 | COAXIAL CABLE CONNECTOR STRUCTURE - A coaxial cable connector structure including a sleeve and an annular nut on the front end of the sleeve is disclosed, wherein an inner tube is disposed inside the sleeve for connecting the coaxial cable, and a spring is disposed between the inner tube and the inner threads on the annular nut, the spring having a first end positioned proximate the bottom of the annular nut and a second end positioned forward of the front end of the inner tube for the end surface of the connection base towards the annular nut to contact the spring and electrically connect the inner tube when the annular nut is at least partially screwed onto the connection base, so that the coaxial cable connector structure can transmit signals when it is not completely screwed onto the connection base, and provide the effect of vibration suppression from the compressed spring having its two ends abutted against the bottom of the annular nut and the end surface of the connection base by the elastic restoring force when the connection base is completely screwed onto the connection base. | 2015-05-14 |
20150132990 | OPTICAL-ELECTRICAL CONNECTOR HAVING INPROVED HEAT SINK - An optical-electrical connector ( | 2015-05-14 |
20150132991 | HEAT DISSIPATING ELECTRICAL CONNECTOR - An electrical connector that includes a terminal adapted to mate with another terminal and at least one heat dissipating element that has opposing ends and an opening therebetween. At least one of the ends includes at least one printed circuit board engagement member configured to engage a printed circuit board for electrical current transfer. The opening receives the terminal such that heat dissipating element substantially surrounds and contacts the terminal. | 2015-05-14 |
20150132992 | COAXIAL CONNECTOR WITH INGRESS REDUCTION SHIELDING - A coaxial connector with an F female end shield is configured to restrict RF ingress. | 2015-05-14 |
20150132993 | TERMINAL-EQUIPPED ELECTRIC WIRE AND WIRE HARNESS USING THE SAME - A terminal-equipped electric wire includes an anticorrosion member integrally formed around a connection between a conductor of an electric wire and a crimp terminal and around a wire coating material. The anticorrosion member contains thermoplastic polyamide resin as the main component. Moreover, the peel strength between the anticorrosion member and a terminal material of the crimp terminal is not lower than 0.1 N/mm and not higher than 0.74 N/mm, and the peel strength between the anticorrosion member and the wire coating material is not lower than 0.5 N/mm. The brittleness temperature of the anticorrosion member is not higher than 0° C., and the melt flow rate thereof is not lower than 26 g/10 min. | 2015-05-14 |
20150132994 | INSERTION TYPE CONNECTOR - A connector having a housing and a plurality of contact element pairs fixed inside the housing, wherein at least two contact element pairs are arranged adjacent to each other in one row and at least one contact element pair is arranged adjacent to the row at a distance that is identical to that to the contact element pairs, and wherein a shielding element is arranged between the contact element pairs of the row and the further contact element pair. | 2015-05-14 |
20150132995 | PCB-MOUNT ELECTRICAL CONNECTOR WITH SHIELDING FOR INHIBITING CROSSTALK - A board-mount electrical connector includes an electrically conductive rear shell interposed between a contact-retaining front body and an insulator member that holds a plurality of board-mount contacts. The rear shell includes at least one electrically conductive shielding divider that extends through the insulator member and is positioned between two or more of the board-mount contacts. Also disclosed is a rear shell elbow for an electrical connector that is assembled from a pair of slidably interlocking members that form an X-shaped divider within the rear shell when assembled. | 2015-05-14 |
20150132996 | CONNECTOR - A connector ( | 2015-05-14 |
20150132997 | RECEPTACLE CONNECTOR AND TERMINAL USED THEREFOR - The receptacle connector includes a central terminal mechanically and electrically connecting with a core wire of a coaxial cable connected to a plug connector, and an outer terminal mechanic all and electrically connecting with an external conductor surrounding the core wire with an internal electrical-insulator being sandwiched therebetween, the central terminal including a first contact making contact with the core wire, and a second contact electrically connected to the first contact, passing through under the outer terminal and extending to the outside of the outer terminal, the second contact being formed with at least one of a cut-out and an opening in an area where the second contact overlaps the outer terminal. | 2015-05-14 |
20150132998 | Electrical Connector - An electrical connector includes a fixed housing, a movable housing, and socket terminals. Each of the socket terminals includes a movable portion, a base, a front contact-point portion, and a rear contact-point portion. The movable portion includes a first extension, a hairpin portion, and a second extension, and elastically supports the movable housing so as to be displaceable relative to the fixed housing. The base is fixed to the movable housing and continuous with the second extension. The front contact-point portion and the rear contact-point portion extend from the base in an insertion/extraction direction and contact the plug terminal. A cutout portion, which faces the second extension and the hairpin portion, is formed is a side surface of the base adjacent to the movable portion, and at least part of the movable portion is disposed in a recess formed by the cutout portion. | 2015-05-14 |
20150132999 | Connector Terminal and Electrical Connector - A socket terminal includes a movable portion that includes a first extension that extends from a position near a fixed housing in an insertion/extraction direction of a plug connector, a hairpin portion that is continuous with the first extension, and a second extension that is continuous with the hairpin portion and that extends in the insertion/extraction direction toward a movable housing. The movable portion elastically supports the movable housing in such a way that the movable housing is displaceable relative to the fixed housing. The second extension of the movable portion includes a spring portion that is bent in such a way that the width of a gap between the second extension and a base at one end portion of the second extension continuous with the hairpin portion is larger than that at the other end portion of the second extension continuous with the base. | 2015-05-14 |
20150133000 | SURFACE-MOUNT TYPE ELECTRIC CONNECTING TERMINAL, AND ELECTRONIC MODULE UNIT AND CIRCUIT BOARD USING THE SAME - Provided is a surface-mount type electric connecting terminal which is disposed between opposing conductive objects and is configured to electrically connecting the objects while easily adjusting pressing force and recovery force. The electric connecting terminal includes a cylindrical fixed member which is made from a metallic material; a cylindrical movable member which is slidably inserted in the fixed member and is made from a metallic material; and an electrical conductive spring which is accommodated in the fixed member and whose one end contacts with the bottom of the fixed member and the other end contacts with the bottom of the movable member for thereby allowing the movable member to elastically slide against the fixed member. | 2015-05-14 |
20150133001 | METALLURGICAL CLAMSHELL METHODS FOR MICRO LAND GRID ARRAY FABRICATION - A structure and method for manufacturing the same for manufacturing a contact structure for microelectronics manufacturing including the steps of forming first and second metal sheets to form a plurality of outwardly extending bump each defining a cavity. Symmetrically mating the first and second metal sheets in opposing relation to each other to form upper and lower bumps each defining an enclosure therebetween wherein the mated first and second sheets form a contact structure. Coating the contact structure with an insulating material, and fabricating helix shaped contacts from upper and lower bumps. The helix shaped contacts having first and second portions being in mirror image relationship to each other. | 2015-05-14 |
20150133002 | TERMINAL POSITION ASSURANCE WITH DUAL PRIMARY LOCK REINFORCEMENT AND INDEPENDENT SECONDARY LOCK - The present teachings provide for a connector including a first body and an assurance body. The first body defines a first locking member, a cavity and a slot. The cavity accepts a terminal inserted therein to a first distance. The slot extends into the first body and intersects the cavity. The first locking member is configured to prevent withdrawal of the terminal when the terminal is inserted to the first distance. The assurance body is movable between a preset and a full set position within the slot, and includes a reinforcing member and a second locking member. The full set position, the reinforcing member limits movement of the first locking member to prevent the withdrawal of the terminal, and the second locking member extends into the cavity to prevent withdrawal of the terminal independent of the first locking member. | 2015-05-14 |
20150133003 | CONTACT, CONNECTOR, AND CONNECTING DEVICE - A contact includes a pair of conductive members and a biasing member attached between the pair of conductive members and biasing the pair of conductive members toward each other. The pair of conductive members each have a base portion, an attaching portion, and a support portion At least one of the pair of conductive members integrally has, at a position facing the other conductive member side, an inelastic contact-side engaging portion for engagement with a connection object-side engaging portion formed in a connection object. | 2015-05-14 |
20150133004 | FEMALE TERMINAL - A female terminal ( | 2015-05-14 |
20150133005 | PLATED TERMINAL FOR CONNECTOR AND TERMINAL PAIR - The present invention aims to provide a plated terminal for connector which requires a smaller insertion force by reducing a friction coefficient and a terminal pair formed using such a plated terminal for connector. An alloy containing layer ( | 2015-05-14 |
20150133006 | TERMINAL COVER - A terminal cover is capable of improving the workability. The terminal device includes a first cover portion to be positioned above the first screw terminals, wherein the first cover portion including the first operation openings which respectively expose screws of the first screw terminals, a second cover portion to be positioned above the second screw terminals, the second cover portion including the second operation openings which respectively expose screws of the second screw terminals. And the terminal device further includes a connecting portion connecting the first cover portion with the second cover portion and including wire insertion holes through which electric wires connected to the first screw terminals respectively pass and wire insertion paths which communicate with the wire insertion holes and through which the electric wires respectively pass, each insertion path being provided on an upper surface of the second cover portion between two adjacent second operation openings. | 2015-05-14 |
20150133007 | OUTBOARD MOTOR - An outboard motor includes an engine including a crankshaft that is rotatable about a rotation axis extending in an up-down direction, and a crank chamber that houses the crankshaft. The outboard motor includes an oil pan including an oil retaining portion, a cooling water passage disposed along an outer wall surface of the oil retaining portion, an oil recovery passage extending downward from the crank chamber to lead lubricating oil inside the crank chamber to the inside of the oil retaining portion, and a guide member. The guide member includes a shielding portion disposed inside the oil recovery passage so as to be located over an opening of the oil retaining portion, and a guide hole disposed inside the oil recovery passage so as to be located over an inner wall surface of the oil retaining portion. The guide member is configured to guide lubricating oil to the guide hole by the shielding portion and allow lubricating oil to flow down to the inner wall surface of the oil retaining portion from the guide hole. | 2015-05-14 |
20150133008 | OUTBOARD MOTOR - An outboard motor includes an engine including a crankshaft that is rotatable about a rotation axis extending in an up-down direction, and a crank chamber that houses the crankshaft. The outboard motor includes an oil pan disposed under the engine to retain lubricating oil to be supplied to at least the crank chamber. The outboard motor includes an oil recovery passage and a first blowby gas passage separate from the oil recovery passage. The oil recovery passage extends downward from the crank chamber to the oil pan and is configured to lead lubricating oil inside the crank chamber to the inside of the oil pan. The first blowby gas passage extends downward from the crank chamber to the oil pan and is configured to lead a blowby gas inside the crank chamber to the inside of the oil pan. | 2015-05-14 |
20150133009 | VESSEL PROPULSION APPARATUS - A vessel propulsion apparatus includes a water pump that is driven by an engine, and supplies water from an water inlet to the engine via a cooling water supply passage. The vessel propulsion apparatus includes an auxiliary cooling passage branching from the cooling water supply passage to extend to an oil pan. A water pressure control valve disposed at a branch position from the cooling water supply passage to the auxiliary cooling passage limits the flow rate of water flowing to the auxiliary cooling passage when a water pressure inside the cooling water supply passage is less than a set pressure. The water pressure control valve is configured to allow a portion of the water inside the cooling water supply passage to flow to the auxiliary cooling passage when the water pressure inside the cooling water supply passage is the set pressure or more to maintain the water pressure to be less than the set pressure while supplying water to the oil pan via the auxiliary cooling passage. | 2015-05-14 |
20150133010 | COLLAPSIBLE SCUBA FIN WITH CAM LOCK FEATURE AND ADJUSTABLE BLADES - Collapsible, adjustable scuba fin with a cam lock feature. The blades of the fin are set on an enclosed track in the foot plate which allows the blades to be extended to create a fin of variable surface area for different swimming conditions or retracted under the foot plate for walking. The blades can be locked in place by the cam lock which is attached to the foot plate by means of a screw and foot pocket by means of an adjustable pull lanyard. The foot pocket and heel hilt can be adjusted to accommodate feet and footwear of varying size, and style allowing a single fin to fit multiple individuals and requirements. A T-bolt slider set in the foot plate can be rotated or removed to access the space between the blades allowing for the clearing of debris. | 2015-05-14 |
20150133011 | ARRANGEMENT INTRODUCED IN STAND UP BOARD - The present invention refers to a stand-up board ( | 2015-05-14 |
20150133012 | PRESSURE ACTIVATED LINEAR LOCKING MECHANISMS AND RELATED METHODS - Pressure activated linear locking mechanisms and related methods. At least some of the illustrative embodiments are systems including: a first and second cover defining an inner and outer surface, a length, and a locking portion, respectively; a first and second hollow defined in the locking portion of the first and second cover member, respectively, the hollows extending along the length of the first and second cover members, respectively; a locking member defining first and second appendages extending along a first and second side, respectively, each appendage defines a cross-section and an internal volume; said first and second appendages disposed within the first and second hollows, respectively, and when the releasable cover is exposed to atmospheric pressure, the first and second appendages in an inflated condition; and the first and second appendages are configured to transition to a deflated condition in response to a predetermined pressure greater than the initial pressure. | 2015-05-14 |
20150133013 | WATERPROOF APERTURED SURFACES OR MATERIALS USING NANOPARTICLE HYDROPHOBIC TREATMENTS - A method of manufacturing waterproof apertured materials or surfaces using nanoparticle hydrophobic compositions and treatments, and preferably superhydrophobic compositions and treatments, wherein apertures of a size that would normally render the surface or material water-permeable may be provided in the surface or material. The method comprises determining the extent of the hydrophobic field that extends beyond the physical edge of a particular treated intersecting member interwoven to form the material to determine the allowable size of an aperture, such that the extended hydrophobic field present on the intersecting members surrounding an aperture will be sufficient to prevent surface wetting and water permeability by fully overlapping the aperture or by presenting a reduced area effective aperture that precludes passage of water. | 2015-05-14 |
20150133014 | THERMO-FUSIBLE SHEET MATERIAL - A thermo-fusible sheet material is provided, particularly for use as a fusible interlining in the textile industry, and having a substrate layer that has a textile material that has an adhesive compound structure thereupon with a polyurethane hot-melt adhesive composition. The thermo-fusible sheet material has a high degree of adhesive strength, particularly on outer fabrics that are difficult to fuse such as, for example, outer fabrics coated with fluorocarbon, silicone or polyurethanes. | 2015-05-14 |
20150133015 | COATING MATERIAL - The present invention relates to a coating material that comprises a nonwoven fabric composed of polyester, polyolefin or natural fibers bonded together by a first binder and a coating comprised of a second binder applied on one of the surfaces of the fabric, wherein the first and second binders are functionally equivalent. The material of the present invention provides an adequate surface protection by being waterproof, water vapor proof, self-cleaning and resistant to light foot traffic. | 2015-05-14 |
20150133016 | IMPACT RESISTANT COMPOSITE PANEL AND METHOD OF FORMING A COMPOSITE PANEL - A composite panel includes a support layer including a first plurality of prepreg plies wrapped around at least one mandrel; a mid-plane impact layer including a second plurality of prepreg plies, the mid-plane impact layer adjacent to the support layer; a upper skin layer including a third plurality of prepreg plies, the upper skin layer adjacent to the mid-place impact layer; and a lower skin layer including a fourth plurality of prepreg plies, the lower skin layer adjacent to the support layer; whereby each of the first, second, third, and fourth plurality of prepreg plies are co-cured to form the composite panel. | 2015-05-14 |
20150133017 | STRETCH WOVENS WITH A CONTROL YARN SYSTEM - An article including a woven fabric comprising warp yarns and weft yarns, wherein at least one of either the warp yarns or the weft yarns includes: (a) a corespun elastic base yarn having a denier and including staple fiber and an elastic fiber core; and (b) a separate control yarn selected from the group consisting of a single filament yarn, a multiple filament yarn, a composite yarn, and combinations thereof; having a denier greater than zero to about 0.8 times the denier of the corespun elastic base yarn; wherein the woven fabric includes (1) a ratio of corespun base yarn ends to control yarn ends of up to about 6:1; or (2) a ratio of corespun base yarn picks to control yarn picks of up to about 6:1; or (3) both a ratio of corespun base yarn ends to control yarn ends of up to about 6:1; and a ratio of corespun base yarn picks to control yarn picks of up to about 6:1. | 2015-05-14 |
20150133018 | BI-COMPONENT FIBERS WITH EVOH ON THE SURFACE FOR CONCRETE REINFORCEMENT - The present invention provides bi-component polymeric macrofibers having an ethylene-vinyl alcohol (EVOH) outer component and a core or second component comprising a polymer blend of polypropylene grafted with maleic anhydride and polypropylene or polyethylene. The bi-component polymeric macrofibers provide excellent fiber reinforcement in concrete applications. | 2015-05-14 |
20150133019 | SOUNDPROOF COVER FOR AUTOMOBILE AND METHOD FOR MANUFACTURING SOUNDPROOF COVER FOR AUTOMOBILE - An automotive soundproof cover includes a fibrous formed article that exhibits the desired sound insulating properties and high flame retardancy. The automotive soundproof cover includes a fibrous formed article that includes two or more types of fibers, the fibrous formed article including 50 to 80 mass % of organic fibers, 10 to 40 mass % of flame retardant-containing fibers, and 5 to 20 mass % of an organic binder, the ratio of the total mass of the flame retardant included in the flame retardant-containing fibers to the total mass of the fibrous formed article being 0.01 to 1.8 mass %, and the flame retardant being a melt drip regulator. | 2015-05-14 |
20150133020 | BUBBLE BLOWER HAVING A BUBBLE SOLUTION SUPPLEMENT STRUCTURE - A bubble blower includes a first bubble solution retaining bar, a second bubble solution retaining bar and a folding bar pivotally connected to one another each having a serrated bubble solution retaining portion longitudinally disposed at an inner side for retaining a bubble solution for blowing a bubble, a bubble solution supplement channel longitudinally formed in the top wall of the first bubble solution retaining bar, bubble solution supplement holes formed in the opposing bottom wall of the first bubble solution retaining bar in a line and in communication with the bubble solution supplement channel, and a bubble solution supplement container holding a bubble solution and connected to one end of the first bubble solution retaining bar and flexibly compressible to supply the contained bubble solution to through the bubble solution supplement channel and bubble solution supplement holes to the serrated bubble solution retaining portions for blowing a large bubble. | 2015-05-14 |
20150133021 | BUBBLE GENERATING APPARATUS - A bubble generating apparatus includes an air flow generator, a liquid tray defined by a floor and sidewalls and having one or more bubble forming ports therein, and a pivot arm coupled to a motor for pivoting the pivot arm about an axis so that during pivoting a bubble generating member of the pivot arm passes over one of the bubble forming ports, the air flow generator positioned to direct an air stream through the one or more bubble forming ports, and a gravity feed liquid reservoir, wherein the liquid tray is configured to generate bubbles from the liquid when the air flow generator directs the air stream through the one or more bubble forming ports while the pivot arm pivots about the axis. | 2015-05-14 |
20150133022 | PERIPHERAL APPARATUS FOR STORING AND POSITIONING A PORTABLE ELECTRONIC DEVICE - A device includes a first element coupled with a fabric and a second element coupled with an electronic device. It is essential that one of the two elements have a magnetic charge and that the other element be attractive to the magnetically charged element. The first element and the second element are magnetically attracted. The first element may be a magnet and/or comprise a ferromagnetic material, and the second element may be either (a.) present a magnet charge that is attractive to the first element and/or (b.) comprise a ferromagnetic material that is magnetically attracted to the first element. The fabric may be attached to or part of a plush toy, a plush toy adapted as a backpack, or a backpack with at least one strap | 2015-05-14 |
20150133023 | SYSTEM AND METHOD FOR STORY ASSEMBLY - Systems, methods, and devices are provided for assembling a story, which then may be played for a user, such as a child. In particular, an embodiment of the invention is directed to an audio or audio-visual story-telling system with functionality for seamlessly creating an entertaining, “customized” audio (or audio-visual) story for a user, using a variety of subject matter chosen by the user. In some embodiments, the system, which may be embodied as a child's toy, allows the user, such as a child, to select the subjects, themes, or other attributes of a story by arranging visual cues with images (including video or animated images) thereon to make up the parts of the story. Once selected or arranged, the user pushes play and the toy reads or plays a custom story to the user that includes their selected subjects and themes, in an embodiment. | 2015-05-14 |
20150133024 | BALL AND ENTERTAINMENT SYSTEM - Implementation including an outputter ( | 2015-05-14 |
20150133025 | INTERACTIVE TOY PLAYTHING HAVING WIRELESS COMMUNICATION OF INTERACTION-RELATED INFORMATION WITH REMOTE ENTITIES - An interactive toy plaything, method, and computer program product, monitor input signals from input devices such as an audio input device, a visual image input device, and a haptic detector, to determine that the interactive toy plaything is being interacted with and that interaction-related information corresponding to the interaction with the interactive toy plaything is to be communicated with at least one remote entity. The availability of the at least one remote entity to communicate with the interactive toy plaything over a wireless communication network is determined. If it is available, wirelessly transmitting the interaction-related information to the at least one remote entity over the wireless communication network. A remote entity can be a mobile phone. | 2015-05-14 |
20150133026 | BEEKEEPING APPARATUS, SYSTEMS, AND METHODS - A beekeeping apparatus includes a bottom side having an arcuate groove defined therein, the arcuate groove being sized and dimensioned to correspond to the rim of a repository; and a top side including a recessed area defined therein, the recessed area being sized and dimensioned to correspond to a beekeeping frame, and a plurality of generally rectangular openings defined through the recessed area, the rectangular openings each being sized and dimensioned to receive and retain a portion of a beekeeping frame, and collectively being sized and dimensioned to receive and retain portions of different sizes of beekeeping frames. | 2015-05-14 |