14th week of 2010 patent applcation highlights part 37 |
Patent application number | Title | Published |
20100087018 | METHOD FOR FORMING DUAL DAMASCENE STRUCTURE - A method for forming a dual damascene structure is disclosed. First a substrate is provided. There are an etching stop layer and an interlayer dielectric layer disposed on the substrate in order. The interlayer dielectric layer has a thickness A. Second, the interlayer dielectric layer is patterned to form a first opening. Later, a photo resist layer with a thickness B is formed on the interlayer dielectric layer. Then, the photo resist layer is patterned by a light source to construct a patterned photo resist layer. Later, the interlayer dielectric layer is again patterned by the patterned photo resist to pattern the interlayer dielectric layer to construct a second opening on the first opening by means of a light source and the photo resist layer so as to form a dual damascene structure. The light source has a periodic parameter C so that (A+B)/C≈X/2, where X is an odd number. | 2010-04-08 |
20100087019 | ORGANIC ELECTROLUMINESCENT DEVICE AND METHOD OF MANUFACTURING THE SAME - An organic electroluminescent device (OELD) and a method of manufacturing the OELD are provided. The OELD includes a substrate, an anode electrode stacked on the substrate, an organic light emitting layer that is stacked on the anode electrode and has a plurality of protrusions on the organic light emitting layer, and a cathode electrode that covers the protrusions formed on the organic light emitting layer and is formed of a metal. | 2010-04-08 |
20100087020 | SEMICONDUCTOR CHIP ASSEMBLY WITH COPPER/ALUMINUM POST/BASE HEAT SPREADER - A method of making a semiconductor chip assembly includes providing a post and a base that include a copper surface layer and an aluminum core, mounting an adhesive on the base including inserting the post into an opening in the adhesive, mounting a conductive layer on the adhesive including aligning the post with an aperture in the conductive layer, then flowing the adhesive into and upward in a gap located in the aperture between the post and the conductive layer, solidifying the adhesive, then providing a conductive trace that includes a pad, a terminal and a selected portion of the conductive layer, mounting a semiconductor device on a heat spreader that includes the post and the base, electrically connecting the semiconductor device to the conductive trace and thermally connecting the semiconductor device to the heat spreader. | 2010-04-08 |
20100087021 | METHOD OF FABRICATING PIXEL STRUCTURE - A method of fabricating a pixel structure includes first forming a first, a second, and a third dielectric layers over an active device and a substrate. Etching rates of the first and the third dielectric layers are lower than an etching rate of the second dielectric layer. A contact opening exposing a portion of the active device is formed in the third, the second, and the first dielectric layers. The third and the second dielectric layers are patterned to form a number of stacked structures. An electrode material layer is formed and fills the contact opening. The electrode material layer located on the stacked structures and the electrode material layer located on the first dielectric layer are separated. The stacked structures and the electrode material layer thereon are simultaneously removed to define a pixel electrode and to form at least an alignment slit in the pixel electrode. | 2010-04-08 |
20100087022 | SEMICONDUCTOR LASER, METHOD OF MANUFACTURING SEMICONDUCTOR LASER, OPTICAL PICKUP AND OPTICAL DISK SYSTEM - A semiconductor laser using a nitride type Group III-V compound semiconductor includes: an n-side clad layer; an n-side optical waveguide layer over the n-side clad layer; an active layer over the n-side optical waveguide layer; a p-side optical waveguide layer over the active layer; an electron barrier layer over the p-side optical waveguide layer; and a p-side clad layer over the electron barrier layer. A ridge stripe is formed at an upper part of the p-side optical waveguide layer, the electron barrier layer and the p-side clad layer, and the distance between the electron barrier layer and a bottom surface in areas on both sides of the ridge stripe is not less than 10 nm. | 2010-04-08 |
20100087023 | LASER BEAM MACHINING METHOD AND LASER BEAM MACHINING APPARATUS - A laser beam machining method wherein machining areas in which to form machined grooves and machining start point areas in which to form shallow grooves shallower than the machined grooves are alternately set in each of streets formed on a wafer, and the machined grooves and the shallow grooves are continuously formed by scanning an irradiation point of a laser beam along each of the streets. | 2010-04-08 |
20100087024 | DEVICE CAVITY ORGANIC PACKAGE STRUCTURES AND METHODS OF MANUFACTURING SAME - Structured and Methods for integrating MEMS devices into low-cost organic chip-scale packages, using sealed cavities, are provided. | 2010-04-08 |
20100087025 | METHOD FOR DEFECT ISOLATION OF THIN-FILM SOLAR CELL - This invention discloses a defect isolation method for thin-film solar cell having at least a defect therein. The thin-film solar cell comprises a substrate, a front electrode layer, an absorber layer and a back electrode layer stacked in such a sequence. The defect isolation method includes the steps of: detecting at least a defect formed in thin-film solar cell and acquiring the positions of the defects, and applying a laser light to scribe the outer circumference of the defects according to the positions of the defects so as to form at least an isolation groove having a closed-curve configuration. | 2010-04-08 |
20100087026 | METHOD FOR MAKING SOLAR SUB-CELLS FROM A SOLAR CELL - The invention pertains to a method for making a solar cell module comprising solar cells connected in series, comprising the steps of: a) making in a system composed of a substrate-overlaid by a first electrode layer, itself overlaid by an active layer, a first, interruption, groove providing an interrupt in the front electrode and the active layer and a second, interconnection, groove through the active layer, the first and second grooves being positioned close to each other; b) inserting an insulating compound into the interruption groove; c) applying a lift-off compound onto the active layer at a position adjacent to the interconnection groove on the other side of the interconnection groove than the insulation groove; d) applying the second electrode; e) removing the lift-off compound and the overlaying second electrode at that position to obtain a groove in the second electrode. | 2010-04-08 |
20100087027 | Large Scale Chemical Bath System and Method for Cadmium Sulfide Processing of Thin Film Photovoltaic Materials - A method for forming a thin film photovoltaic material. The method includes providing a plurality of substrates. Each of the substrates has a surface region, an overlying first electrode material, an absorber material including at least a copper species, an indium species, and a selenium species. The method immerses the plurality of substrates in an aqueous solution including an ammonia species, a cadmium species, and a organosulfur (for example, thiourea) species in a bath to form a cadmium sulfide window material having a thickness of less than about 200 Angstroms overlying the absorber material. The aqueous solution is maintained at a temperature ranging from about 50 to about 60 Degrees Celsius. The plurality of substrates having at least the absorber material and the window layer are removed from the aqueous solution. The aqueous solution is further subjected to a filter process to substantially remove one or more particles greater than about 5 microns. | 2010-04-08 |
20100087028 | ADVANCED PLATFORM FOR PROCESSING CRYSTALLINE SILICON SOLAR CELLS - The present invention generally provides a batch substrate processing system, or cluster tool, for in-situ processing of a film stack used to form regions of a solar cell device. In one configuration, the film stack formed on each of the substrates in the batch contains one or more silicon-containing layers and one or more metal layers that are deposited and further processed within the various chambers contained in the substrate processing system. The processing chambers may be, for example, physical vapor deposition (PVD) or sputtering chambers, plasma enhanced chemical vapor deposition (PECVD) chambers, low pressure chemical vapor deposition (LPCVD) chambers, hot wire chemical vapor deposition (HWCVD) chambers, plasma nitridation (DPN) chambers, ion implant/doping chambers, atomic layer deposition (ALD) chambers, plasma etching chambers, annealing chambers, rapid thermal oxidation (RTO) chambers, rapid thermal annealing (RTA) chambers, substrate reorientation chambers, laser annealing chambers, and/or plasma cleaning stations. In one embodiment, a batch of solar cell substrates is simultaneously transferred in a vacuum or inert environment to prevent contamination from affecting the solar cell formation process. | 2010-04-08 |
20100087029 | METHOD OF FABRICATING BACKSIDE ILLUMINATED IMAGE SENSOR - A method for fabricating a backside illuminated image sensor is provided. An exemplary method can include providing a substrate with a front surface and a back surface; forming a first alignment mark for global alignment on the front surface of the substrate; forming a second alignment mark for fine alignment in a clear-out region on the front surface of the substrate; aligning the substrate from the back surface using the first alignment mark; and removing a portion of the back surface of the substrate at the clear-out region for locating the second alignment mark. | 2010-04-08 |
20100087030 | METHOD, APPARATUS AND SYSTEM OF MANUFACTURING SOLAR CELL - A method of manufacturing a crystalline silicon solar cell includes steps of preparing a crystalline silicon substrate, texturing the substrate using plasma to form uneven patterns for increasing light absorption, doping ions in the substrate using plasma to form a doping layer for a PN junction, heating the substrate to activate the doped ions, forming an antireflection film on the doping layer, and forming front and back electrodes on front and back surfaces of the substrate, respectively. | 2010-04-08 |
20100087031 | METHOD OF PRODUCING A PHOTOVOLTAIC CELL WITH A HETEROJUNCTION ON THE REAR FACE - The invention relates to a method of producing a photovoltaic cell ( | 2010-04-08 |
20100087032 | METHOD FOR PATTERNING OF ORGANIC FILM - A main subject is to provide a novel method for patterning of organic film which is suitable for manufacturing various organic devices. | 2010-04-08 |
20100087033 | METHOD AND APPARATUS FOR MANUFACTURING SEMICONDUCTOR DEVICE - A resin layer is formed on a support substrate. An intermediate structure body is formed on the resin layer. The support substrate is fixed to a first unit configured to fix and heat. The intermediate structure body is fixed to a second unit configured to fix and heat. The support substrate and the intermediate structure body are heated by the first unit or the second unit, so as to soften the resin layer. The second unit is moved with respect to the first unit along each of a plurality of line segments or a curve, so as to enlarge a distance between a center of the support substrate and a center of the intermediate structure body as the second unit moves, while the support substrate and the intermediate structure body being kept in the horizontal state, and until the support substrate and the intermediate structure body are separated. | 2010-04-08 |
20100087034 | Method of manufacturing a semicondictor package - A method of manufacturing a semiconductor package which includes mounting a first chip on a first substrate by a flip chip method, the first substrate having a pre-designed pattern formed thereon; forming a cavity by etching a center portion of a metal oxide layer; mounting a second chip inside the cavity; forming at least one via such that the via penetrates an edge of the metal oxide layer; placing the metal oxide layer on the first substrate such that the second chip and the first chip face each other; and placing a second substrate on the metal oxide layer, the second substrate having a third chip mounted thereon. | 2010-04-08 |
20100087035 | Method for manufacturing a semiconductor package - A method of manufacturing a semiconductor package which includes mounting a first chip on a first substrate by a flip chip method, the first substrate having a pre-designed pattern formed thereon; forming at least one bump by performing soldering, on at least one predetermined position electrically connected with the pattern formed on the first substrate; forming a first molding by performing molding, such that the first molding covers the first substrate and the first chip; placing an interposer on the first molding; and placing a second substrate on the interposer, the second substrate having a second chip mounted thereon. | 2010-04-08 |
20100087036 | MODULE HAVING A STACKED PASSIVE ELEMENT AND METHOD OF FORMING THE SAME - A module having a discrete passive element and a semiconductor device, and method of forming the same. In one embodiment, the module includes a patterned leadframe, a discrete passive element mounted on an upper surface of the leadframe, and a thermally conductive, electrically insulating material formed on an upper surface of the discrete passive element. The module also includes a semiconductor device bonded to an upper surface of the thermally conductive, electrically insulating material. | 2010-04-08 |
20100087037 | SEMICONDUCTOR DEVICE STRUCTURES WITH FLOATING BODY CHARGE STORAGE AND METHODS FOR FORMING SUCH SEMICONDUCTOR DEVICE STRUCTURES - Semiconductor device structures including a semiconductor body that is partially depleted to define a floating charge-neutral region supplying a floating body for charge storage and methods for forming such semiconductor device structures. The width of the semiconductor body is modulated so that different sections of the body have different widths. When electrically biased, the floating charge-neutral region at least partially resides in the wider section of the semiconductor body. | 2010-04-08 |
20100087038 | METHOD FOR N/P PATTERNING IN A GATE LAST PROCESS - A method is provided that includes providing a substrate, forming a first gate structure in a first region and a second gate structure in a second region, the first and second gate structures each including a high-k dielectric layer, a silicon layer, and a hard mask layer, where the silicon layer of the first gate structure has a different thickness than the silicon layer of the second gate structure, forming an interlayer dielectric (ILD) over the first and second gate structures, performing a chemical mechanical polishing (CMP) on the ILD, removing the silicon layer from the first gate structure thereby forming a first trench, forming a first metal layer to fill in the first trench, removing the hard mask layer and the silicon layer from the second gate structure thereby forming a second trench, and forming a second metal layer to fill in the second trench. | 2010-04-08 |
20100087039 | Methods for manufacturing trench MOSFET with implanted drift region - A method to manufacture a trenched semiconductor power device including a plurality of trenched gates surrounded by source regions near a top surface of a semiconductor substrate encompassed in body regions. The method for manufacturing the trenched semiconductor power device includes a step of carrying out a tilt-angle implantation through sidewalls of trenches to form drift regions surrounding the trenches at a lower portion of the body regions with higher doping concentration than the epi layer for Rds reduction, and preventing a degraded breakdown voltage due to a thick oxide in lower portion of trench sidewall and bottom. In an exemplary embodiment, the step of carrying out the tilt-angle implantation through the sidewalls of the trenches further includes a step of carrying out a tilt angle implantation with a tilt-angle ranging between 4 to 30 degrees. | 2010-04-08 |
20100087040 | Method for Making Split Dual Gate Field Effect Transistor - A method for making a semiconductor device with at least two gate regions. The method includes providing a substrate region including a surface. Additionally, the method includes forming a source region in the substrate region by at least implanting a first plurality of ions into the substrate region and forming a drain region in the substrate region by at least implanting a second plurality of ions into the substrate region. The drain region and the source region are separate from each other. Moreover, the method includes depositing a gate layer on the surface and forming a first gate region and a second gate region on the surface. | 2010-04-08 |
20100087041 | Semiconductor device fabrication method - According to the present invention, there is provided a semiconductor device fabrication method comprising:
| 2010-04-08 |
20100087042 | Methods of Fabricating Three-Dimensional Capacitor Structures Having Planar Metal-Insulator-Metal and Vertical Capacitors Therein - Methods of forming a three-dimensional capacitor network may include forming a first horizontal MIM capacitor on a semiconductor substrate and forming a first interlayer insulating layer on the first horizontal MIM capacitor. A first vertical capacitor electrode is then formed in the first interlayer insulating layer and a second horizontal MIM capacitor is formed on the first interlayer insulating layer. This second horizontal MIM capacitor may be formed by forming an upper capacitor electrode and a lower capacitor electrode. The upper capacitor electrode may be electrically connected by the first vertical capacitor electrode to an upper capacitor electrode of the underlying first MIM capacitor. The lower capacitor electrode, which may be formed in the first interlayer insulating layer, may extend opposite the upper electrodes of the first and second MIM capacitors. | 2010-04-08 |
20100087043 | HYBRID SHALLOW TRENCH ISOLATION FOR HIGH-K METAL GATE DEVICE IMPROVEMENT - A method for fabricating a semiconductor device with improved performance is disclosed. The method comprises providing a substrate including a first region and a second region; forming at least one isolation region having a first aspect ratio in the first region and at least one isolation region having a second aspect ratio in the second region; performing a high aspect ratio deposition process to form a first layer over the first and second regions of the substrate; removing the first layer from the second region; and performing a high density plasma deposition process to form a second layer over the first and second regions of the substrate. | 2010-04-08 |
20100087044 | METHOD FOR MANUFACTURING SOI SUBSTRATE - The present invention provides a method for manufacturing an SOI substrate, to improve planarity of a surface of a single crystal semiconductor layer after separation by favorably separating a single crystal semiconductor substrate even in the case where a non-mass-separation type ion irradiation method is used, and to improve planarity of a surface of a single crystal semiconductor layer after separation as well as to improve throughput. The method includes the steps of irradiating a single crystal semiconductor substrate with accelerated ions by an ion doping method while the single crystal semiconductor substrate is cooled to form an embrittled region in the single crystal semiconductor substrate; bonding the single crystal semiconductor substrate and a base substrate with an insulating layer interposed therebetween; and separating the single crystal semiconductor substrate along the embrittled region to form a single crystal semiconductor layer over the base substrate with the insulating layer interposed therebetween. | 2010-04-08 |
20100087045 | METHOD FOR MANUFACTURING SOI SUBSTRATE - An SOI substrate is manufactured by forming an embrittled layer in a bond substrate by increasing the dose of hydrogen ions in the formation of the embrittled layer to a value more than the dose of hydrogen ions of the lower limit for separation of the bond substrate, separating the bond substrate attached to the base substrate, forming an SOI substrate in which a single crystal semiconductor film is formed over the base substrate, and irradiating a surface of the single crystal semiconductor film with laser light. | 2010-04-08 |
20100087046 | METHOD FOR MANUFACTURING SOI SUBSTRATE - An object is to provide a method for manufacturing an SOI substrate, by which defective bonding can be prevented. An embrittled layer is formed in a region of a semiconductor substrate at a predetermined depth; an insulating layer is formed over the semiconductor substrate; the outer edge of the semiconductor substrate is selectively etched on the insulating layer side to a region at a greater depth than the embrittled layer; and the semiconductor substrate and a substrate having an insulating surface are superposed on each other and bonded to each other with the insulating layer interposed therebetween. The semiconductor substrate is heated to be separated at the embrittled layer while a semiconductor layer is left remaining over the substrate having an insulating surface. | 2010-04-08 |
20100087047 | METHOD FOR MANUFACTURING SOI SUBSTRATE - To increase adhesion between a single crystal semiconductor layer and a base substrate and to reduce bonding defects therebetween. To perform radical treatment on a surface of a semiconductor substrate to form a first insulating film on the semiconductor substrate; irradiate the semiconductor substrate with accelerated ions through the first insulating film to form an embrittlement region in the semiconductor substrate; form a second insulating film on the first insulating film; perform heat treatment after bonding a surface of the second insulating film and a surface of the base substrate to perform separation along the embrittlement region so that a semiconductor layer is formed over the base substrate with the first and second insulating films interposed therebetween; etch the semiconductor layer; and irradiate the semiconductor layer on which the etching is performed with a laser beam. | 2010-04-08 |
20100087048 | SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THEREOF - It has been difficult to manufacture a semiconductor device equipped with a microstructure having a space, an electric circuit for controlling the microstructure, and the like over one substrate. | 2010-04-08 |
20100087049 | RELAXATION OF A STRAINED MATERIAL LAYER WITH APPLICATION OF A STIFFENER - The invention relates to methods of fabricating a layer of at least partially relaxed material, such as for electronics, optoelectronics or photovoltaics. An exemplary method includes supplying a structure that includes a layer of strained material situated between a reflow layer and a stiffener layer. The method further includes applying a heat treatment that brings the reflow layer to a temperature equal to or greater than the glass transition temperature of the reflow layer, and the thickness of the stiffener layer is progressively reduced during heat treatment. The invention also relates to an exemplary method of fabricating semiconductor devices on a layer of at least partially relaxed material. Specifically, at least one active layer may be formed on the at least partially relaxed material layer. The active layer may include laser components, photovoltaic components and/or electroluminescent diodes. | 2010-04-08 |
20100087050 | Chemical vapor deposition with energy input - Methods of depositing compound semiconductors onto substrates are disclosed, including directing gaseous reactants into a reaction chamber containing the substrates, selectively supplying energy to one of the gaseous reactants in order to impart sufficient energy to activate that reactant but insufficient to decompose the reactant, and then decomposing the reactant at the surface of the substrate in order to react with the other reactants. The preferred energy source is microwave or infrared radiation, and reactors for carrying out these methods are also disclosed. | 2010-04-08 |
20100087051 | LOCAL CRYSTALLIZATION BY HEAT TREATMENT - Disclosed is a crystallization apparatus capable of locally crystallizing amorphous silicon. The crystallization apparatus includes a heat emission part, a support part and a roller. The heat emission part emits heat upon receiving a heat emission source. The support part supports the heat emission part and provides the heat emission source to the heat emission part. The roller receives the heat emission part and has at least one opening to provide heat to a target (e.g., amorphous silicon). Local crystallization is performed without causing damage to a substrate. | 2010-04-08 |
20100087052 | DOPANT ACTIVATION ANNEAL TO ACHIEVE LESS DOPANT DIFFUSION (BETTER USJ PROFILE) AND HIGHER ACTIVATION PERCENTAGE - A method and apparatus for forming a semiconductor device. A semiconductor substrate is implanted with dopants. The substrate is subjected to a cleaning process employing electrically neutral nitrogen and fluorine radicals to produce an oxygen-free surface having dangling bonds. Before any further exposure to oxidizing gases, the substrate is annealed by thermal treatment to activate and distribute the dopants. A gate oxide layer is formed over the annealed surface. The apparatus performs all such treatments without breaking vacuum. | 2010-04-08 |
20100087053 | METHOD FOR FABRICATING A SEMICONDUCTOR HAVING A GRADED PN JUNCTION - A method for fabricating a semiconductor body is presented. The semiconductor body includes a p-conducting zone, an n-conducting zone and a pn junction in a depth T | 2010-04-08 |
20100087054 | METHOD FOR FORMING DEEP WELL OF POWER DEVICE - The invention provides a method for forming a deep well region of a power device, including: providing a substrate with a first sacrificial layer thereon; forming a first patterned mask layer on the first sacrificial layer exposing a first open region; performing a first doping process to the first open region to form a first sub-doped region; removing the first patterned mask layer and the first sacrificial layer; forming an epitaxial layer on the substrate; forming a second sacrificial layer on the epitaxial layer; forming a second patterned mask layer on the second sacrificial layer exposing a second open region; performing a second doping process to the second open region to form a second sub-doped region; removing the second patterned mask layer; performing an annealing process to make the first and the second sub-doped regions form a deep well region; and removing the second sacrificial layer. | 2010-04-08 |
20100087055 | METHOD FOR GATE HEIGHT CONTROL IN A GATE LAST PROCESS - Provided is a method that includes forming first and second gate structures in first and second regions, respectively, the first gate structure including a first hard mask layer having a first thickness and the second gate structure including a second hard mask layer having a second thickness less than the first thickness, removing the second hard mask layer from the second gate structure, forming an inter-layer dielectric (ILD) over the first and second gate structures, performing a first chemical mechanical polishing (CMP), remove the silicon layer from the second gate structure thereby forming a first trench, forming a first metal layer to fill the first trench, performing a second CMP, remove the remaining portion of the first hard mask layer and the silicon layer from the first gate structure thereby forming a second trench, forming a second metal layer to fill the second trench, and performing a third CMP. | 2010-04-08 |
20100087056 | METHOD FOR GATE HEIGHT CONTROL IN A GATE LAST PROCESS - A method is provided for fabricating a semiconductor device that includes providing a semiconductor substrate, forming a transistor in the substrate, the transistor having a gate structure that includes a dummy gate structure, forming an inter-layer dielectric (ILD), performing a first chemical mechanical polishing (CMP) to expose a top surface of the dummy gate structure, removing a portion of the ILD such that a top surface of the ILD is at a distance below the top surface of the dummy gate structure, forming a material layer over the ILD and dummy gate structure, performing a second CMP on the material layer to expose the top surface of the dummy gate structure, removing the dummy gate structure thereby forming a trench, forming a metal layer to fill in the trench, and performing a third CMP that substantially stops at the top surface of the ILD. | 2010-04-08 |
20100087057 | SEMICONDUCTOR DEVICE AND METHOD OF FABRICATING THE SAME - A method of fabricating a semiconductor device comprising a semiconductor substrate, a gate insulating film formed on the semiconductor substrate, a conductive layer formed on the insulating film, and an etch-stop insulating film formed within the conductive layer to stop etching. | 2010-04-08 |
20100087058 | SEMICONDUCTOR DEVICE AND METHOD OF MANUFACTURING THE SAME - A first electronic circuit component and a second electronic circuit component are electrically connected to an electro-conductive member via a first solder and a second solder, respectively. The electro-conductive member is formed in a resin film. The electro-conductive member is configured as containing a second diffusion barrier metal film. The second diffusion barrier metal film prevents diffusion of the second solder. Between the electro-conductive member and the first solder, a first diffusion barrier metal film is provided. The first diffusion barrier metal film prevents diffusion of the first solder. On the first surface of the resin film and on the electro-conductive member, an adhesive metal film is formed so as to contact with the resin film and the electro-conductive member. The adhesive metal film has stronger adhesiveness to the resin film than either of those of the first solder and the first diffusion barrier metal film. | 2010-04-08 |
20100087059 | METHOD FOR FORMING INLAID INTERCONNECT - After a groove is formed in an insulating layer formed on a semiconductor substrate, a barrier metal layer is formed on the insulating layer by an ALD process so as to cover the side walls and bottom of the groove, and an impurity layer is formed in or on the surface of the barrier metal layer by an ion implantation process or by an ALD process. Thereafter, the barrier metal layer and the impurity layer are alloyed, and then an inlaid interconnect layer, which is composed of a Cu seed layer and a Cu plating layer, is formed in the groove. Then, an impurity element in the alloyed barrier metal layer is thermally diffused into the inlaid interconnect layer. | 2010-04-08 |
20100087060 | METHODS OF FORMING SEMICONDUCTOR STRUCTURES - The present invention relates to methods of forming semiconductor structures. The methods may include disposing electrically conductive material within an opening in a first dielectric material, passivating an upper surface of the electrically conductive material and introducing materials to form an interlayer dielectric upon the passivated upper surface. The present invention also includes methods of passivating surfaces of a semiconductor structure with a nitrogen-containing species. | 2010-04-08 |
20100087061 | INTEGRATED CIRCUIT SYSTEM EMPLOYING BACKSIDE ENERGY SOURCE FOR ELECTRICAL CONTACT FORMATION - A method for manufacturing an integrated circuit system includes: providing a first material; forming a second material over a first side of the first material; and exposing a second side of the first material to an energy source to form an electrical contact at an interface of the first material and the second material. | 2010-04-08 |
20100087062 | HIGH TEMPERATURE BD DEVELOPMENT FOR MEMORY APPLICATIONS - A method and apparatus for depositing organosilicate dielectric layers having good adhesion properties and low dielectric constant. Embodiments are described in which layers are deposited at low temperature and at high temperature. The low temperature layers are generally post-treated, whereas the high temperature layers need no post treating. Adhesion of the layers is promoted by use of an initiation layer. | 2010-04-08 |
20100087063 | NANOMETER-SCALE ELECTROMECHANICAL SWITCH AND FABRICATION PROCESS - The present invention describes nano-scale fabrication technique used to create a sub-micron wide gap across the center conductor of a coplanar waveguide transmission line configured in a fixed-fixed beam arrangement, resulting in a pair of opposing cantilever beams that comprise an electro-mechanical switch. Accordingly, a nanometer-scale mechanical switch with very high switching speed and low actuation voltage has been developed. This switch is intended primarily for application in the RF/microwave/wireless industry. | 2010-04-08 |
20100087064 | METHOD AND APPARATUS FOR MANUFACTURING SEMICONDUCTOR INTEGRATED CIRCUIT DEVICE - The present inventors have found that a wafer process of VLSI (Very Large Scale Integration) has the following problem, that is, generation of foreign matters due to moisture from a wafer as a result of degassing when a barrier metal film or a first-level metal interconnect layer is formed by sputtering as a preliminary step for the formation of a tungsten plug in a pre-metal step. To overcome the problem, the present invention provides a manufacturing method of a semiconductor integrated circuit device including, in a plasma process, in-situ monitoring of moisture in a processing chamber by receiving an electromagnetic wave generated from plasma. | 2010-04-08 |
20100087065 | STABILIZATION OF POLYMER-SILICA DISPERSIONS FOR CHEMICAL MECHANICAL POLISHING SLURRY APPLICATIONS - Chemical mechanical polishing (CMP) compositions and single CMP platen process for the removal of copper and barrier layer material from a microelectronic device substrate having same thereon. The process includes the in situ transformation of a copper removal CMP composition, which is used to selectively remove and planarize copper, into a barrier removal CMP composition, which is used to selectively remove barrier layer material, on a single CMP platen pad. | 2010-04-08 |
20100087066 | Selective Chemical Etch Method for MRAM Freelayers - An etching process is employed to selectively pattern an exposed magnetic layer of a magnetic thin film structure. The etching process includes exposing the magnetic layer to an etchant composition including at least one weakly absorbing acid, a surfactant inhibitor soluble in the at least one weakly absorbing acid, and at least one cation additive. The presence of the at least one cation additive increases dissolution inhibition of an underlying tunnel barrier layer (i.e., increases etch selectivity) and permits the use of more soluble surfactant inhibitors in the etchant composition. | 2010-04-08 |
20100087067 | METHOD FOR PACKAGING SEMICONDUCTOR - A method for packaging a semiconductor is provided to allow uniform coating of a die attachment paste, shorten a B-staging time, and improve die pick-up characteristics and die attachment characteristics. This method includes preparing a die attachment paste with a viscosity of 1,500 to 100,000 cps; rotating a wafer and applying the die attachment paste to an upper surface of the wafer into a predetermined thickness; and B-staging the paste applied on the wafer. This method makes it possible to reduce costs by substituting for WBL (Wafer Backside Lamination) film, uniformly apply a die attachment paste to a wafer, freely control a thickness of applied die attachment paste by adjusting viscosity and dosage of discharged paste and a speed of a spin coater, and also shorten a process time by decreasing a B-staging time. | 2010-04-08 |
20100087068 | METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE - In a dry cleaning process, breakage of a gas supply pipe can be prevented, and maintenance efficiency can be increased. There is provided a method of manufacturing a semiconductor device, the method including: loading a substrate into a process chamber; forming a silicon film or a silicon compound film on the substrate loaded in the process chamber by supplying a raw-material gas to a gas supply pipe disposed in the process chamber to introduce the raw-material gas into the process chamber; unloading the substrate from the process chamber; heating an inside of the process chamber; decreasing an inside temperature of the process chamber after the heating of the inside of the process chamber; and introducing cleaning gas into the process chamber by supplying the cleaning gas to the gas supply pipe after the decreasing of the inside temperature of the process chamber. | 2010-04-08 |
20100087069 | METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE AND SUBSTRATE PROCESSING APPARATUS - The coverage characteristics or loading effect of an oxide film can be improved without having to increase the supply amount or time of an oxidant. There is provided method of manufacturing a semiconductor device. The method comprises loading at least one substrate to a processing chamber; forming an oxide film on the substrate by alternately supplying a first reaction material and a second reaction material containing oxygen atoms to the processing chamber while heating the substrate; and unloading the substrate from the processing chamber, wherein the forming of the oxide film is performed by keeping the substrate at a temperature equal to or lower than a self-decomposition temperature of the first reaction material and irradiating ultraviolet light to the second reaction material. | 2010-04-08 |
20100087070 | Electrical appliance having an electrical connection - An electrical appliance having an electrical connection is disclosed. In at least one embodiment, the electrical appliance is designed according to the principle of a fork connection, and into which a contact element is insertable between two contact clips. In the event of high currents, such as those which can occur in the event of short circuits in a load which is connected to the fork connection, so-called current constriction forces occur between the contact clips and the contact element, forcing the contact clips apart from one another, and thus causing arcs. An aim of at least one embodiment of the invention is to allow magnetic forces to counteract the current constriction forces, thus allowing higher currents to be carried through the connection without the contact clips being bent apart from one another in the process. This is achieved in that a magnetic field caused by a current is concentrated in a particularly advantageous manner for production of forces which draw the two clip contacts together with the aid of a part at least partially composed of a ferromagnetic material, one of which in at least one embodiment, is arranged between the contact clips. | 2010-04-08 |
20100087071 | ELECTROMAGNETIC CONNECTOR FOR ELECTRONIC DEVICE - An electrical plug and receptacle relying on magnetic force from an electromagnet to maintain contact are disclosed. The plug and receptacle can be used as part of a power adapter for connecting an electronic device, such as a laptop computer, to a power supply. The plug includes electrical contacts, which are preferably biased toward corresponding contacts on the receptacle. The plug and receptacle each have a magnetic element. The magnetic element on one of the plug or receptacle can be a magnet or ferromagnetic material. The magnetic element on the other of the plug or receptacle is an electromagnet. When the plug and receptacle are brought into proximity, the magnetic attraction between the electromagnet magnet and its complement, whether another magnet or a ferromagnetic material, maintains the contacts in an electrically conductive relationship. | 2010-04-08 |
20100087072 | Interposer Assembly with Flat Contacts - Interposer assembly includes an insulating plate with slots extending through the thickness of the plate and a flat metal contacts confined in the slots. | 2010-04-08 |
20100087073 | ELECTRICAL CONNECTOR ASSEMBLY HAVING PICK-UP CAP - A pick-up cap includes a main portion with a planar top surface and having a first end and a second end opposite to each other. At least two latches extend downwardly from the first and the second ends of the main portion, respectively. Each latch has a hook extending laterally from the latch, and the latch on the second end has a supporting portion extending downwardly and connecting with the hook for facilitative assembling and disassembling the pick-up cap from an insulative housing. | 2010-04-08 |
20100087074 | ELECTRONIC CARD CONNECTOR WITH A LIMITING WALL FOR LIMITING AN ELECTRONIC CARD OBLIQUELY INSERTED THEREIN - An electronic card connector ( | 2010-04-08 |
20100087075 | CONDUCTIVE CONTACT HOLDER, CONDUCTIVE CONTACT UNIT, AND METHOD OF MANUFACTURING CONDUCTIVE CONTACT HOLDER - A conductive contact holder includes a holder substrate and a holding member. The holder substrate is made of a conductive material and has an opening for holding a conductive contact for inputting and outputting a signal to and from a circuit structure. The holding member is formed by filling the opening with an insulating material, smoothing the surface of the insulating material, and forming a hole through the insulating material for inserting the conductive contact. | 2010-04-08 |
20100087076 | CONDUCTOR ARRANGEMENT, SYSTEM AND METHOD - The present invention relates to a connector arrangement ( | 2010-04-08 |
20100087077 | DUAL-PURPOSE SOCKET - A dual-purpose socket has a body, pin base and a pin set. The body has a front side, a chamber defined in the body and at least one opening. The at least one opening is formed through the front side, communicates with the chamber and allows an HDMI or Displayport plug to be inserted into the chamber. The pin base is mounted in the chamber and corresponds to HDMI and Displayport plugs. The pin set is mounted on the pin base and comprises a detecting pin. When the HDMI or Displayport plug is inserted into the chamber of the body, the detecting pin will respectively not touch or touch a pin of the HDMI or Displayport plug. Since HDMI and Displayport plugs can be used, the dual-purpose socket improves convenience and cost for electronic device consumers and manufacturers. | 2010-04-08 |
20100087078 | CONNECTOR - A connector has a base having an opening to be inserted with a tip portion of a flexible printed circuit board at a front surface, and having a first insertion hole and a second insertion hole alternately juxtaposed at a predetermined pitch at the front surface and a rear surface, respectively, a first connection terminal to be inserted to the first insertion hole from a side, and having a first movable contact that comes into pressure contact with a first joint juxtaposed at a lower surface of the tip portion of the flexible printed circuit board, a second connection terminal to be inserted to the second insertion hole from a side, and having a second movable contact that comes into pressure contact with a second joint juxtaposed at an upper surface of the tip portion of the flexible printed circuit board, and an operating lever turnably supported by a pair of elastic arms extending in parallel from both side surfaces of the base, and having a first operating portion and a second operating portion for operating the first connection terminal and the second connection terminal, respectively, alternately juxtaposed at an edge on one side. | 2010-04-08 |
20100087079 | COMPOSITION, METHOD OF MAKING THE SAME, AND USE THEREFOR - A composition includes in relative proportion: 60 to 94 parts by weight of mineral oil; 1 to 30 parts by weight of at least one thermoplastic elastomer; and 5 to 30 parts by weight of non-halogenated metal phosphinate, wherein the non-halogenated metal phosphinate has a decomposition temperature of at least 240° C., and wherein the composition is a gel. A method of making the composition and its use as an encapsulant are also disclosed. | 2010-04-08 |
20100087080 | SURFACE CONTACT CARD HOLDER AND PORTABLE ELECTRONIC DEVICE USING THE SAME - A holder for a surface contact card includes a main body, a cover and an impeller. The main body provides a receiving bay receiving the surface contact card therein. The cover is disposed on the main body. The cover defines an opening. The impeller is slidably received in the opening for pushing the surface contact card to remove it from the receiving bay. A portable electronic device with the holder mounted on it is also disclosed. | 2010-04-08 |
20100087081 | ZIF SOCKET HAVING REINFORCED COVER PREVENTING BREAKAGE DURING MANEUVERING - An electrical connector includes a base having a number of passageways and a compartment in one end thereof. A cover is mounted to the base. The cover has a plurality of through holes corresponding to the passageways and a driving compartment corresponding to the compartment. The driving compartment has one single-step stopper. One recess is positioned adjacent the stopper and receives a stiffener therein for supporting the stopper. A cam is disposed in the driving compartment and the compartment to drive the cover moving relative to the base along a front-to-back direction. | 2010-04-08 |
20100087082 | ELECTRICAL CONNECTOR ASSEMBLY HAVING PICK-UP CAP - A pick-up cap includes a main portion having a planar top surface with a first end and a second end opposite to the first end. Four latches are positioned at the two ends of the main portion and extend from the main portion, respectively. Each latch has a hook extending downwardly. And a supporting portion extending downwardly and being adjacent to the hook. The supporting portion is lower than the hook in a vertical direction to engage with an insulative housing to protect the hook during the pick-up cap is disassembled from the insulative housing. | 2010-04-08 |
20100087083 | PE CONNECTION FOR PLUG CONNECTORS - An electrical plug connector includes an insulating body supporting electrical contact elements having a connector portion and a conductor connection portion. At least one retaining element is configured to contact a respective at least one contact insert and has at least one flange with at least one punched hole configured to fasten the electrical plug connector to at least one of a housing and a control cabinet. A tension sleeve has a terminal screw configured to connect a ground conductor with the electrically conductive metal element. A U-shaped frame is configured to receive and guide the tension sleeve. A conductor bar is disposed on the electrically conductive metal element angularly offset from the U-shaped frame and is configured to engage the tension sleeve. | 2010-04-08 |
20100087084 | LATCHING SYSTEM WITH SINGLE-HANDED OPERATION FOR CONNECTOR ASSEMBLY - A latching system is described. The latching system includes a lower latch, an upper latch slidably engaging a top surface of the lower latch, a latch release mechanism connected to the upper latch, and a lock receiving member. The lower latch has a body with the top surface, a head formed at one end of the body, and a pivot formed at an opposite end of the body. The head includes a leading edge and a latching portion. The upper latch has a wedge portion. The receiving lock member has a lower arm with an upturned lip at a leading end formed to engage the leading edge of the lower latch, an inside face continuously formed with the leading end and a bottom formed on an inner part of the upturned lip, and an upper arm that engages the wedge portion of the upper latch. | 2010-04-08 |
20100087085 | SOCKET CONNECTOR WITH LOCKING DEVICE - An electrical connector ( | 2010-04-08 |
20100087086 | Female Quick Connect Clip For Coaxial Cable - When connecting cable test equipment to standard F-connector style coax cables, a technician must use both hands to screw the cable under test into the test equipment or a test lead. In ideal conditions without gloves this is a rather simple task; however, a technician may have to repeat the procedure many times throughout a work day in extreme weather conditions, resulting in the technician having to repeatedly remove their gloves and expose their hands to the cold. A coaxial cable clip connector according to the present invention includes a smooth threadless connector, which fits into the cable under test, and an easily actuated clip, which fits over the coaxial cable connector housing to maintain a solid mechanical and electrical connection. | 2010-04-08 |
20100087087 | FLAT CABLE CONNECTION WIRE ASSEMBLY APPLICABLE FOR SIGNAL TRANSMISSION INTERFACE - The present invention discloses a flat cable connection wire assembly including a flexible flat cable and a connector, and the flexible flat cable includes a plurality of core wires, and the connector includes a plastic body and a plurality of terminals for an electric connection. The plastic body includes a plurality of slots arranged with a specific interval apart from one another and disposed at an end of the flexible flat cable for positioning each terminal, such that a specific interval is formed between terminals and provided for soldering each core wire with each terminal in the slot, while coupling with the connector. The invention provides a more secured and reliable structure of the flat cable connection wire assembly. | 2010-04-08 |
20100087088 | BATTERY PACK - A battery pack for an electric appliance has a base body, a locking device for locking the base body to the electric appliance, at least one contact element for producing an electrical contact with the electric appliance, and a contact holding unit for holding the contact element. The locking device and the contact holding unit are fastened to the base body by a shared fastening module. | 2010-04-08 |
20100087089 | Wall Mounted Docking Station - A multi-zone audio system is provided that is portable to a handheld audio device at a plurality of locations. The system includes a multi-zone audio system controller having an audio input/output selection circuit for selectively regulating the source and distribution of audio signals. A plurality of handheld connector docks are distributed about the multi-zone system. Each connector dock is in communication with the audio system controller. The connector docks include a connector port, engagable to the handheld device, for communicating music/data/commands between the handheld device and the system controller. A plurality in-wall audio controllers are also distributed about the multi-zone system. Each in-wall controller is in communication with the audio system controller, and includes an input circuit for selective input of commands to regulate operation of the system controller and/or the handheld device. The handheld connector docks are operative to transfer music/data/commands between the audio system controller and a handheld device disposed within the connector dock. | 2010-04-08 |
20100087090 | Inner Conductor Sealing Insulator for Coaxial Connector - An insulator for supporting an inner contact within a coaxial cable connector; the coaxial cable connector for coupling with a coaxial cable having an outer conductor and an inner conductor. The insulator formed as a monolithic dielectric body provided with a mounting portion proximate a connector end, the mounting portion dimensioned to seat within a connector body of the coaxial cable connector. A bore through the dielectric body dimensioned to seat the inner contact therein provided with an annular first seal groove in an inner diameter of the bore proximate a cable end, an inner conductor seal disposed in the first seal groove. The inner conductor seal dimensioned to seal between the insulator and the inner conductor when the inner conductor is coupled to the inner contact. | 2010-04-08 |
20100087091 | Electrical connector - An electrical connector includes an outer shell having a chamber formed in a housing, and having an engaging tongue for securing a coaxial cable to the outer shell, an insulating member engaged into the housing and includes a compartment and a socket opening for mounting a conductor terminal without special and predetermined machining or clamping devices, and the conductor terminal is engageable with an internal conductor of a coaxial cable, the conductor terminal includes a stationary contact blade and a pivot contact blade spaced from each other for engaging with the internal conductor of the coaxial cable and for allowing the internal conductor to be quickly coupled to the conductor terminal. | 2010-04-08 |
20100087092 | CONNECTOR INCLUDING ISOLATED CONDUCTIVE PATHS - An apparatus includes a pair of connectors, two or more conductive paths formed in each connector in the pair of connectors, and a shroud encompassing at least a portion of the pair of connectors. The pair of connectors includes a first connector and a second connector. The first connector is substantially more flexible than the second connector, and each connector in the pair of connectors includes a bulkhead. Each of the two or more conductive paths in each connector in the pair of connectors is electrically isolated from all other conductive elements in the pair of connectors. The shroud is located between the bulkheads and disposed about the pair of connectors when the pair of connectors are coupled together electrically. | 2010-04-08 |
20100087093 | CONNECTOR FOR ADAPTING ADAPTORS OF VARIOUS PROTOCOLS - A connector adaptor suitable for various transmission protocols is disclosed. The connector includes a hollow case, two positioning sets, two terminal sets. The two positioning sets are formed on the upper side and a lower side of said hollow case. The two terminal sets are connected to the positioning sets respectively, and said terminal sets are respectively connected to a flat face of said positioning set for an electrical connection. The hollow case is made in a plastic injection process. | 2010-04-08 |
20100087094 | Connector And Circuit Board For Multiple Transmission Interfaces - A connector and circuit board suitable for multiple transmission interfaces, which can be applied to storage devices or computer devices is disclosed. The connector device includes a connector case, and two transmission interfaces respectively disposed on upper and lower sides of the connector case. One end connector case serves to electrically connect with the circuit board, and another end serves as the transmission interfaces complying with at least two different specifications. Thus, the users may select suitable transmission interface according to actual needs. | 2010-04-08 |
20100087095 | METHODS AND SYSTEMS FOR MINIMIZING ALIEN CROSSTALK BETWEEN CONNECTORS - The present invention relates to methods and systems for minimizing alien crosstalk between connectors. Specifically, the methods and systems relate to isolation and compensation techniques for minimizing alien crosstalk between connectors for use with high-speed data cabling. A frame can be configured to receive a number of connectors. Shield structures may be positioned to isolate at least a subset of the connectors from one another. The connectors can be positioned to move at least a subset of the connectors away from alignment with a common plane. A signal compensator may be configured to adjust a data signal to compensate for alien crosstalk. The connectors are configured to efficiently and accurately propagate high-speed data signals by, among other functions, minimizing alien crosstalk. | 2010-04-08 |
20100087096 | Reduced Size Multi-Pin Male Plug Connector - Receptacle connectors and male plug connectors having a reduced size in at least one direction can be provided. One example reduces height by not including a center contact tab or tongue, but instead places contacts on an insulator that is adjacent to a bottom portion of the receptacle. Another example may reduce width by reducing contact pitch, and may use a particular shape of contact to achieve god signal quality. Receptacle connectors and male plug connectors can also provide support for one or more new high-speed communication standards, such as USB 3.0 and DisplayPort. Methods can provide one or more standardized connector components to speed connector design and manufacture of new electronic devices such as media players, thus reducing their time to market. | 2010-04-08 |
20100087097 | ELECTRICAL CONNECTOR - An electrical connector for transmitting data signals between the insulated conductors of a first data cable and corresponding insulated conductors of a second data cable, including a first part having a socket shaped to at least partially receive a plug of said first data cable; a second part having a plurality of insulation displacement contact slots shaped to receive end sections of the conductors of the second data cable; a plurality of electrically conductive contacts including resiliently compressible spring finger contacts extending into the socket for electrical connection with corresponding conductors of the first cable; insulation displacement contacts seated in corresponding insulation displacement contact slots for effecting electrical connection with corresponding conductors of the second data cable; and mid sections extending therebetween; and a plurality of capacitive plates coupled to respective ones of said mid sections of the contacts by electrically conductive stems, wherein the capacitive plates are arranged side by side, extend in a substantially common direction, and are separated by a dielectric material extending at least partially therebetween. | 2010-04-08 |
20100087098 | Reduced Size Multi-Pin Female Receptacle Connector - Receptacle connectors and male plug connectors having a reduced size in at least one direction can be provided. One example reduces height by not including a center contact tab or tongue, but instead places contacts on an insulator that is adjacent to a bottom portion of the receptacle. Another example may reduce width by reducing contact pitch, and may use a particular shape of contact to achieve god signal quality. Receptacle connectors and male plug connectors can also provide support for one or more new high-speed communication standards, such as USB 3.0 and DisplayPort. Methods can provide one or more standardized connector components to speed connector design and manufacture of new electronic devices such as media players, thus reducing their time to market. | 2010-04-08 |
20100087099 | MALE PLUG CONNECTOR - A male plug connector for connecting to a corresponding receptacle connector of a media player. In one embodiment the connector comprises a housing designed to accommodate a plurality of contacts spaced apart in a single row of contact locations that are sequentially numbered from a first end to a second end. The contact locations include first, second and third ground contact locations designated for ground, the first ground contact location at the first end, the second ground contact location at the second end, and the third ground contact location between the first end and the second end; a first group of digital contact locations designated for one or more digital signals including USB contact locations interleaved with contact locations designated for non-USB digital signals, the first group of digital contact locations being disposed between the first ground contact location and the third ground contact location; and a second group of analog contact locations designated for one or more analog signals including at least one audio signal, the second group of analog contact locations being disposed between the third ground contact location and the second ground contact location. | 2010-04-08 |
20100087100 | ELECTRICAL CONNECTOR - The present invention pertains to a connector, having a rear side and a mating side and including a housing having at least one contact receiving space and at least one non-contact receiving space, the spaces extending in a direction from the mating side towards the rear side. The non-contact receiving space is adapted for receiving a portion of a mating connector housing and has a substantially rounded cross sectional shape substantially perpendicular to the direction from the mating side towards the rear side. | 2010-04-08 |
20100087101 | Device for connecting two superconductive cables - The invention relates to a device for connecting together two abutting superconductive cables, each cable being constituted by at least a resistive conductive central support of substantially cylindrical shape, by at least one layer of superconductive material placed around the support, and by an insulating sheath surrounding said layer of superconductive material, the end of each cable being stripped to reveal the central support and said layer of superconductive material, the device being provided with an outer covering of insulating material. According to the invention, the device comprises at least one respective conductive sleeves engaged around the stripped portion of each of the cables, together with a conductive tube containing said sleeves and connected to them. | 2010-04-08 |
20100087102 | ELECTRICAL CONTACT WITH STOPPER AND ELECTRICAL CONNECTOR HAVING THE SAME - An electrical contact ( | 2010-04-08 |
20100087103 | ELECTRICAL TERMINATION - A crimped wire termination is disclosed for electrically terminating thereto a stainless steel wire. The termination includes a barrel having a concave spine and opposing fingers on either end of the spine extending outwardly from the spine at symmetrical angles. The fingers are adapted to encircle an end of a wire. An indentation formed in the spine when crimped. The indentation forms a segment of the barrel having a cross-sectional diameter less than a cross-sectional diameter of an adjacent end of the barrel, and forms a bend in the wire at opposite ends of the segment, to prevent axial movement through the barrel. | 2010-04-08 |
20100087104 | Terminal crimp having knurl with omega-shaped cross-section - A cable includes a terminal crimped onto the wire. The terminal defines a knurl having a first portion in contact with the wire and a second portion integrally formed with the first portion and in contact with the wire. The first portion is wider than the second portion. The terminal includes a material having a unitary configuration and configured to be crimped onto the wire. A plurality of knurls, each defining the first portion and the second portion, are integrally formed with the material, and the first portion is wider than the second portion. Moreover, a method includes deforming the terminal to have a substantially U-shaped knurl, wherein the U-shaped knurl has the first portion and the second portion, and deforming the second portion of the U-shaped knurl such that the first portion is wider than the second portion. | 2010-04-08 |
20100087105 | ELECTRICAL CONNECTION SYSTEM FOR USE ON ALUMINUM WIRES - A connection mechanism includes a metal segment in mechanical and electrical communication with the remainder of the connection mechanism on at least one side. The segment includes at least one tab protruding from the segment on a first side and a central area of the segment with a plurality of metal deformations. When the metal deformations are pressed into aluminum, they are capable of piercing through corrosion on the surface of the aluminum in order to make electrical contact with the aluminum. | 2010-04-08 |
20100087106 | Modifiable electrical connector lug - An electrical connector including a first connection section adapted to connect the electrical connector to a first conductor; and a second connection section connected to the first connection section. The second connection section includes a lug having a hole configured to be located onto a mounting post. The second connection section has a substantially straight shape with the electrical connector having a first predetermined product safety certification. The second connection section includes a recess on a top side forming a weakened line across the second connection section for bending the second connection section at the weakened line from the substantially straight shape to a bent shape forming a modified electrical connector having a second different predetermined product safety certification. | 2010-04-08 |
20100087107 | PROPULSION SYSTEM FOR MARINE VESSEL - The invention concerns a marine vessel propulsion system that can be set into an opening of a boat's hull and which comprises a propulsion and steering unit ( | 2010-04-08 |
20100087108 | Concentricity spacer for a catalyst device of a marine engine - The exhaust system for a marine engine provides a concentricity spacer located between an outer surface of a generally tubular member of a catalyst device and an inner surface of a generally tubular cavity formed within a catalyst housing structure. The concentricity spacer is shaped to allow fluid to pass through the spacer in a direction generally parallel to a central axis of the tubular member. | 2010-04-08 |
20100087109 | Marine engine with thermally insulated catalyst structures - A catalyst system for a marine engine supports a catalyst device within a catalyst housing structure in such a way that a space is defined around the device in order to thermally insulate the device from the catalyst housing structure. A rim of the catalyst device cooperates with the housing structure to provide a seal at a bottom portion of the catalyst device to trap water within a reservoir to prevent the water from flowing toward exhaust ports of the engine. The space between the catalyst device and the housing structure provides a thermal insulation which allows the catalyst device to operate at an elevated temperature. | 2010-04-08 |
20100087110 | Automatic Deploying and Positioning Slalom Water Ski Course - A portable automatic deploying and positioning slalom water ski course that automatically deploys and maintains position. The course preferably includes at least one turn ball span and at least one entry/exit span. Preferably, the turn ball span, the entry/exit span, or both further comprises a location determination device, a control device, and a propulsion device. Also, methods of using the course. | 2010-04-08 |
20100087111 | Surfboard fins and surfboard using same - A fin for a surfboard or other waterboard with an angled winglet on the tip of the fin. The fin may be angled from the bottom of the board. A pair of fins with mirror image shapes on a surfboard with winglets on the tips of the fins angled outward away from the center of the board. | 2010-04-08 |
20100087112 | STRUCTURE OF LIFE JACKET - A life jacket structure includes a life jacket body, at least one set of hanging devices, a blowing tube and an inflation starting device. An inflatable airbag is sewed onto an internal side of the body, and the body further includes at least one pair of strap holes disposed on corresponding position on both sides. Both ends of the hanging device are connected onto the corresponding strap holes of the life jacket. The blowing tube is installed at an appropriate position of the life jacket body and penetrated through the life jacket into the inflatable airbag. The inflation starting device is installed on the life jacket and disposed on the same side of the blowing tube, and further includes an inflation cylinder and a starting mechanism. The starting mechanism is provided for receiving a start signal to start inflating the life jacket by the inflation cylinder. | 2010-04-08 |
20100087113 | STEAM PERMISSIVE AND WATER NON PERMISSIVE SCREEN, AND METHOD FOR MAKING SAME - The invention relates to a steam permissive and water non-permissive screen, particularly a sub-roof screen, a rain-proof screen, an air-proof screen or the like. The screen ( | 2010-04-08 |
20100087114 | METHOD AND COMPOSITION FOR COATING MAT AND ARTICLES PRODUCED THEREWITH - A coated glass mat comprises a glass mat substrate having non-woven glass fibers and a coating which essentially uniformly penetrates the glass mat substrate to desired fractional thickness of the coated glass mat. The coating imparts a tensile strength to the coated glass mat which on average is at least 1.33 times greater than the tensile strength of the glass mat substrate without the coating. In example embodiments, penetration of the coating into the glass mat substrate preferably extends to a depth of from twenty five percent of a thickness of the coated glass mat to seventy five percent of the thickness of the coated glass mat. Moreover, a non-coated thickness of the coated glass mat is sufficiently thick for bonding purposes with, e.g., a gypsum slurry or other core materials such as thermoplastic or thermosetting plastics. The coating has a porosity in a range of from 1.3 CFM to 5.0 CFM, e.g., the coating comprises a coating blend which provides the coated glass mat with a porosity sufficient to allow water vapor to escape from a gypsum slurry when heated. The coating is preferably a coating blend comprised of water, latex binder, inorganic pigment, and inorganic binder. | 2010-04-08 |
20100087115 | MICROENCAPSULATION OF A PHASE CHANGE MATERIAL WITH ENHANCED FLAME RESISTANCE - A flame-resistant microcapsule that comprises a core comprising a phase change material and a wall material encapsulating the core. The microcapsules includes at least one of: a flame retardant applied to the wall material and a phase change material having a boiling point of about 230° C. to about 420° C. to provided enhanced flame resistance. The phase change material may have a boiling point of about 280° C. to about 400° C. or about 300° C. to about 390° C. | 2010-04-08 |
20100087116 | DOUBLE-SIDED PRESSURE-SENSITIVE ADHESIVE SHEET AND METHOD FOR PRODUCING THE SAME - A method of producing a double-sided pressure-sensitive adhesive (PSA) sheet having PSA layers on both sides of a nonwoven fabric substrate is provided. This method includes the steps of: preparing an emulsion-type PSA composition that satisfies both of the following conditions: a viscosity at 30° C. of 0.1 to 3 Pa·s and a solid content of 50 to 70 mass %. Also included is a step of forming a PSA layer (for example, a second PSA layer) by directly coating at least one side (for example, the second side) of the nonwoven fabric this PSA composition. | 2010-04-08 |
20100087117 | SCOURING MATERIAL COMPRISING NATURAL FIBRES - A scouring material comprises a three-dimensional non-woven web ( | 2010-04-08 |