08th week of 2020 patent applcation highlights part 47 |
Patent application number | Title | Published |
20200058551 | METHODS OF MANUFACTURING SEMICONDUCTOR CHIP - Methods of manufacturing a semiconductor chip are provided. The methods may include providing a semiconductor substrate including integrated circuit regions and a cut region. The cut region may be between the integrated circuit regions. The methods may also include forming a modified layer by emitting a laser beam into the semiconductor substrate along the cut region, polishing an inactive surface of the semiconductor substrate to propagate a crack from the modified layer, and separating the integrated circuit regions along the crack. The cut region may include a plurality of multilayer metal patterns on an active surface of the semiconductor substrate, which is opposite to the inactive surface of the semiconductor substrate. The plurality of multilayer metal patterns may form a pyramid structure when viewed in cross section. | 2020-02-20 |
20200058552 | MAGNETIC STORAGE ELEMENT, MAGNETIC STORAGE DEVICE, ELECTRONIC DEVICE, AND METHOD OF MANUFACTURING MAGNETIC STORAGE ELEMENT - To provide a magnetic storage element, a magnetic storage device, and an electronic device which store multi-value information with a simpler structure. A magnetic storage element provided with a plurality of tunnel junction elements each of which includes a reference layer having a fixed magnetization direction, a storage layer capable of reversing a magnetization direction, and an insulator layer interposed between the reference layer and the storage layer, the plurality of tunnel junction elements electrically connected to each other in parallel, in which the plurality of tunnel junction elements has film configurations identical to each other, respective layers of the film configurations formed by using a same material to have a same thickness, and each of cross-sectional shapes obtained by cutting the plurality of tunnel junction elements in a laminating direction is a polygonal shape including upper and lower sides parallel to each other with a ratio of the lower side to the upper side different for each of the plurality of tunnel junction elements. | 2020-02-20 |
20200058553 | SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THEREOF - A method includes forming in sequence a metallic capping layer and a dummy gate electrode layer over a semiconductor substrate; patterning the metallic capping layer and the dummy gate electrode layer to form a first stacked structure including a first portion of the metallic capping layer and a first portion of the dummy gate electrode layer; forming a plurality of first gate spacers on opposite sides of the first stacked structure; removing the first portion of the dummy gate electrode layer to expose the first portion of the metallic capping layer; and forming a first work function metal layer on the first portion of the metallic capping layer. | 2020-02-20 |
20200058554 | SELECTIVE REMOVAL OF SEMICONDUCTOR FINS - An array of semiconductor fins is formed on a top surface of a substrate. A dielectric material liner is formed on the surfaces of the array of semiconductor fins. A photoresist layer is applied and patterned such that sidewalls of an opening in the photoresist layer are parallel to the lengthwise direction of the semiconductor fins, and are asymmetrically laterally offset from a lengthwise direction passing through the center of mass of a semiconductor fin to be subsequently removed. An angled ion implantation is performed to convert a top portion of dielectric material liner into a compound material portion. The compound material portion is removed selective to the remaining dielectric material liner, and the physically exposed semiconductor fin can be removed by an etch or converted into a dielectric material portion by a conversion process. The dielectric material liner can be removed after removal of the semiconductor fin. | 2020-02-20 |
20200058555 | DIFFERING DEVICE CHARACTERISTICS ON A SINGLE WAFER BY SELECTIVE ETCH - Integrated chips and methods of forming the same include etching a first stack of layers in a first region and etching a second stack of layers in a second region. The first stack of layers includes a first semiconductor layer having a first thickness over a first sacrificial layer having a second thickness. Etching the first stack of layers removes the first sacrificial layer from the first stack of layers and creates a first gap. The second stack of layers includes a second semiconductor layer having a third thickness over a second sacrificial layer having a fourth thickness. Etching the second stack of layers removes the second sacrificial layer from the second stack of layers and to create a second gap. A dielectric material fills the first gap and the second gap. | 2020-02-20 |
20200058556 | SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THEREOF - A semiconductor device includes a semiconductor substrate, a first fin structure and a second fin structure. The first fin structure includes a first fin and at least two first nano wires disposed above the first fin, and the first fin protrudes from the semiconductor substrate. The second fin structure includes a second fin and at least two second nano wires disposed above the second fin, and the second fin protrudes from the semiconductor substrate. Each first nano wire has a first width different from a second width of each second nano wire. | 2020-02-20 |
20200058557 | Residue-Free Metal Gate Cutting For Fin-Like Field Effect Transistor - Metal gate cutting techniques for fin-like field effect transistors (FinFETs) are disclosed herein. An exemplary method includes receiving an integrated circuit (IC) device structure that includes a substrate, one or more fins disposed over the substrate, a plurality of gate structures disposed over the fins, a dielectric layer disposed between and adjacent to the gate structures, and a patterning layer disposed over the gate structures. The gate structures traverses the fins and includes first and second gate structures. The method further includes: forming an opening in the patterning layer to expose a portion of the first gate structure, a portion of the second gate structure, and a portion of the dielectric layer; and removing the exposed portion of the first gate structure, the exposed portion of the second gate structure, and the exposed portion of the dielectric layer. | 2020-02-20 |
20200058558 | Forming Metal Gates with Multiple Threshold Voltages - A method of fabricating an integrated circuit (IC) structure, includes forming a gate trench that exposes a portion of each of a plurality of fins and forming a threshold voltage (Vt) tuning dielectric layer in the gate trench over the plurality of fins. Properties of the Vt tuning dielectric layer are adjusted during the forming to achieve a different Vt for each of the plurality of fins. The method also includes forming a glue metal layer over the Vt tuning dielectric layer; and forming a fill metal layer over the glue metal layer. The fill metal layer has a substantially uniform thickness over top surfaces of the plurality of fins. | 2020-02-20 |
20200058559 | SEMICONDUCTOR DEVICES WITH VARIOUS LINE WIDTHS AND METHOD OF MANUFACTURING THE SAME - Provided are semiconductor devices having various line widths and a method of manufacturing the semiconductor device. The semiconductor device includes: a substrate including a first region and a second region, a plurality of first gate lines extending in a first direction in the first region and each having a first width in a second; a plurality of second gate lines extending in the first direction in the second region and each having a second width that is different from the first width in the second direction and a pitch that is the same as a pitch of the plurality of first gate lines; a spacer layer covering opposite side walls of each of the plurality of first gate lines and each of the plurality of second gate lines; and a first base layer arranged between the substrate and the spacer layer in the first region. | 2020-02-20 |
20200058560 | EPITAXIAL SOURCE/DRAIN AND METHODS OF FORMING SAME - A method for forming an epitaxial source/drain structure in a semiconductor device includes providing a substrate having a plurality of fins extending from the substrate. In some embodiments, a liner layer is formed over the plurality of fins. The liner layer is patterned to expose a first group of fins of the plurality of fins in a first region. In some embodiments, a first epitaxial layer is formed over the exposed first group of fins and a barrier layer is formed over the first epitaxial layer. Thereafter, the patterned liner layer may be removed. In various examples, a second epitaxial layer is selectively formed over a second group of fins of the plurality of fins in a second region. | 2020-02-20 |
20200058561 | Semiconductor Device and Method - An embodiment is a device including a first fin extending from a substrate, a first gate stack over and along sidewalls of the first fin, a first gate spacer disposed along a sidewall of the first gate stack, a first epitaxial source/drain region in the first fin and adjacent the first gate spacer, the first epitaxial source/drain region, and a protection layer between the first epitaxial source/drain region and the first gate spacer and between the first gate spacer and the first gate stack. | 2020-02-20 |
20200058562 | SHARED CONTACT TRENCH COMPRISING DUAL SILICIDE LAYERS AND DUAL EPITAXIAL LAYERS FOR SOURCE/DRAIN LAYERS OF NFET AND PFET DEVICES - Devices and methods are provided for fabricating shared contact trenches for source/drain layers of n-type and p-type field-effect transistor devices, wherein the shared contact trenches include dual silicide layers and dual epitaxial layers. For example, a semiconductor device includes first and second field-effect transistor devices having respective first and second source/drain layers, and a shared contact trench, wherein the first and second source/drain layers are disposed adjacent to each other within the shared contact trench, and are commonly connected to each other by the shared contact trench. The shared contact trench includes a first silicide contact layer disposed on the first source/drain layer, and a second silicide contact layer disposed on the second source/drain layer, wherein the first and second silicide contact layers comprise different silicide materials, and a metallic fill layer disposed on the first and second silicide contact layers. | 2020-02-20 |
20200058563 | SHARED CONTACT TRENCH COMPRISING DUAL SILICIDE LAYERS AND DUAL EPITAXIAL LAYERS FOR SOURCE/DRAIN LAYERS OF NFET AND PFET DEVICES - Devices and methods are provided for fabricating shared contact trenches for source/drain layers of n-type and p-type field-effect transistor devices, wherein the shared contact trenches include dual silicide layers and dual epitaxial layers. For example, a semiconductor device includes first and second field-effect transistor devices having respective first and second source/drain layers, and a shared contact trench, wherein the first and second source/drain layers are disposed adjacent to each other within the shared contact trench, and are commonly connected to each other by the shared contact trench. The shared contact trench includes a first silicide contact layer disposed on the first source/drain layer, and a second silicide contact layer disposed on the second source/drain layer, wherein the first and second silicide contact layers comprise different silicide materials, and a metallic fill layer disposed on the first and second silicide contact layers. | 2020-02-20 |
20200058564 | Structure and Process of Integrated Circuit Having Latch-Up Suppression - The present disclosure provides one embodiment of a semiconductor structure. The semiconductor structure includes a semiconductor substrate having a n-type doped well (N-well) and a p-type doped well (P-well) disposed side by side; a first fin active region extruded from the N-well of the semiconductor substrate; a second fin active region extruded from the P-well of the semiconductor substrate; a first isolation feature formed on the N-well and the P-well and laterally contacting the first and second fin active regions, the first isolation feature having a first width; and a second isolation feature inserted between the N-well and the P-well, the second isolation feature having a second width less than the first width. | 2020-02-20 |
20200058565 | FORMING VERTICAL TRANSISTOR DEVICES WITH GREATER LAYOUT FLEXIBILITY AND PACKING DENSITY - A method of forming a fin field effect transistor circuit is provided. The method includes forming a plurality of vertical fins on a substrate, and forming a protective liner having a varying thickness on the substrate and plurality of vertical fins. The method further includes removing thinner portions of the protective liner from the substrate to form protective liner segments on the plurality of vertical fins. The method further includes removing portions of the substrate exposed by removing the thinner portions of the protective liner to form trenches adjacent to at least one pair of vertical fins and two substrate mesas. The method further includes laterally etching the substrate mesa to widen the trench, reduce the width of the substrate mesa to form a supporting pillar, and undercut the at least one pair of vertical fins, and forming a first bottom source/drain layer in the widened trench. | 2020-02-20 |
20200058566 | METHODS FOR ASSESSING SEMICONDUCTOR STRUCTURES - Methods for assessing the quality of a semiconductor structure having a charge trapping layer to, for example, determine if the structure is suitable for use as a radiofrequency device are disclosed. Embodiments of the assessing method may involve measuring an electrostatic parameter at an initial state and at an excited state in which charge carriers are generated. | 2020-02-20 |
20200058567 | INTEGRATED CIRCUIT PACKAGE AND METHOD OF FORMING SAME - Various embodiments of an integrated circuit package and a method of forming such package are disclosed. The package includes a substrate having a glass core layer, where the glass core layer includes a first major surface, a second major surface, and a cavity disposed between the first major surface and the second major surface of the glass core layer. The package also includes a die disposed in the cavity of the glass core layer, an encapsulant disposed in the cavity between the die and a sidewall of the cavity, a first patterned conductive layer disposed adjacent the first major surface of the glass core layer, and a second patterned conductive layer disposed adjacent the second major surface of the glass core layer. The die is electrically connected to at least one of the first and second patterned conductive layers. | 2020-02-20 |
20200058568 | WIRING SUBSTRATE, ELECTRONIC DEVICE, AND ELECTRONIC MODULE - A wiring substrate includes an insulating substrate that is square in plan view, the insulating substrate having one main surface with a recess and an other main surface opposite to the one main surface, and external electrodes located on the other main surface of the insulating substrate and in a peripheral section of the insulating substrate. The external electrodes include first external electrodes and second external electrodes. In plan view, the first external electrodes are located at corners of the insulating substrate, and the second external electrodes are interposed between the first external electrodes. Each of the first external electrodes has a smaller area and a larger width in a direction orthogonal to each side of the insulating substrate than each of the second external electrodes. | 2020-02-20 |
20200058569 | BOARD WITH EMBEDDED PASSIVE COMPONENT - A board includes: a core structure; one or more first passive components embedded in the core structure; a first build-up structure disposed on one side of the core structure and including first build-up layers and first wiring layers; and a second build-up structure disposed on the other side of the core structure and including second build-up layers and second wiring layers. One surface of a first core layer contacting a first insulating layer is coplanar with one surface of each of the one or more first passive components contacting a first insulating layer, the other surface of each of the one or more first passive components covered with a second insulating layer is spaced apart from a second core layer, and the one or more first passive components are electrically connected to at least one of the plurality of first wiring layers and the plurality of second wiring layers. | 2020-02-20 |
20200058570 | SEMICONDUCTOR PACKAGE WITH MULTILAYER MOLD - A semiconductor package includes a semiconductor die including an active side, a redistribution layer over the active side of the semiconductor die, the redistribution layer including metal traces electrically connecting die pads on the active side of the semiconductor die to electrical contacts on an external surface of the semiconductor package, and a layered mold covering the semiconductor die opposite the redistribution layer. The layered mold includes a first resin layer adjacent to the redistribution layer, a fiber layer adjacent to the first resin layer and opposite the redistribution layer, and a second resin layer adjacent to the fiber layer and opposite the redistribution layer. A coefficient of thermal expansion (CTE) of the first resin layer is substantially different than a CTE of the second resin layer. | 2020-02-20 |
20200058571 | SEMICONDUCTOR PACKAGE AND METHOD FOR FORMING THE SAME - A semiconductor package is provided. The semiconductor package includes a package substrate. The semiconductor package further includes a first chip and a second chip mounted on the package substrate. The thickness of the first chip is different from that of the second chip. In addition, the semiconductor package includes a heat spreader attached on top of the first chip and top of the second chip. A first portion of the heat spreader over the first chip and a second portion of the heat spreader over the second chip have the same thickness. | 2020-02-20 |
20200058572 | ELECTRICAL ASSEMBLY EQUIPPED WITH AUXILIARY RETENTION FOR FACILITATING HEAT SINK INSTALLATION - An electrical assembly includes an electrical connector mounted upon the PCB to receive a CPU therein. A securing seat is fixed on the PCB with four upwardly extending posts. A heat sink is secured to the posts by the screw nuts and seated upon the CPU. A auxiliary retention piece is located upon the securing seat around one post so as to prevent the CPU from excessively tilting due to the screw nut fastening occurring on an opposite diagonal corner. | 2020-02-20 |
20200058573 | HEAT DISSIPATION STRUCTURE OF SEMICONDUCTOR DEVICE AND SEMICONDUCTOR DEVICE - The present disclosure provides a heat dissipation structure of a semiconductor device and a semiconductor device, and it relates to a field of semiconductor technology. A heat dissipation structure of a semiconductor device according to an embodiment includes a first heat dissipation window formed on an upper surface of the heat dissipation structure at a side close to the semiconductor device, and at least one heat dissipation channel, the heat dissipation channel including an inflow channel and an outflow channel, transmitting a heat conducting medium to the first heat dissipation window via the inflow channel, the inflow channel including a first opening and a second opening, wherein the first opening is away from the first heat dissipation window, the second opening is close to the first heat dissipation window, and an opening area of the first opening is greater than an opening area of the second opening. | 2020-02-20 |
20200058574 | SEMICONDUCTOR DEVICE AND POWER CONVERTOR - A semiconductor device includes a first electrode plate, a second electrode plate disposed to oppose the first electrode plate, and a semiconductor chip disposed between the first electrode plate and the second electrode plate. At least one of the first electrode plate and the second electrode plate has a space where a cooling medium circulates. | 2020-02-20 |
20200058575 | SEMICONDUCTOR MODULE - The invention relates to a semiconductor module ( | 2020-02-20 |
20200058576 | ELECTRICAL DEVICE TERMINAL FINISHING - In described examples, a terminal (e.g., a conductive terminal) includes a base material, a plating stack and a solder finish. The base material can be a metal, such as copper. The plating stack is arranged on a surface of the base material, and includes breaks in the plating stack. The breaks in the plating stack extend from a first surface of the plating stack to a second surface of the plating stack adjacent to the surface of the base material. The solder finish is coated over the breaks in the plating stack. | 2020-02-20 |
20200058577 | MULTI-LAYER WIRING SUBSTRATE AND SEMICONDUCTOR DEVICE - A purpose of the present disclosure is to provide a multilayer wiring substrate capable of reducing transmission loss of electrical signals when using a fluororesin substrate, by using an adhesive layer capable of suppressing misalignment between layers and having excellent peel strength. Provided is a multilayer wiring substrate | 2020-02-20 |
20200058578 | INSULATING COMPONENT, SEMICONDUCTOR PACKAGE, AND SEMICONDUCTOR APPARATUS - An insulating component includes an insulating substrate, a metal layer, a bond, and a lead terminal. The plate-like insulating substrate has a groove continuous from its upper to side surfaces. The metal layer includes a first metal layer on the upper surface of the insulating substrate and a second metal layer on an inner surface of the groove continuous with the first metal layer. The bond is on an upper surface of the metal layer. The lead terminal is on an upper surface of the first metal layer with the bond in between, and overlaps the groove. The bond includes a first bond fixing the lead terminal to the first metal layer and a second bond on an upper surface of the second metal layer continuous with the first bond. The groove includes an inner wall having a ridge. The second bond is between the ridge and the lead terminal. | 2020-02-20 |
20200058579 | Semiconductor device package and method of manufacturing the same - A semiconductor device package includes an interposer and a semiconductor device. The interposer has a sidewall defining a space. The semiconductor device is disposed within the space and in contact with the sidewall. An interposer includes a first surface, a second surface and a third surface. The first surface has a first crystal orientation. The second surface is opposite the first surface and has the first crystal orientation. The third surface connects the first surface to the second surface, and defines a space. An angle defined by the third surface and the first surface ranges from about 90° to about 120°. | 2020-02-20 |
20200058580 | SEMICONDUCTOR STRUCTURE - Semiconductor structures are provided. A semiconductor structure includes a substrate, a conductive plate of a first metal layer over the substrate, a first resistor material of a resistor layer over the conductive plate, a high-K material formed between the first resistor material and the conductive plate, a first conductive line of a second metal layer over the resistor layer, and a first via formed between the first conductive line and the first resistor material. The conductive plate, the first resistor material and the high-K material form a capacitor between the first and second metal layers. The first distance between the first resistor material and the conductive plate is less than the second distance between the first resistor material and the first conductive line. | 2020-02-20 |
20200058581 | Phase-Change Material (PCM) Radio Frequency (RF) Switches with Capacitively Coupled RF Terminals - A radio frequency (RF) switch includes a phase-change material (PCM), a heating element underlying an active segment of the PCM and extending outward and transverse to the PCM, and RF terminals having lower metal portions and upper metal portions. At least one of the lower metal portions can be ohmically separated from and capacitively coupled to passive segments of the PCM, while the upper metal portions are ohmically connected to the lower metal portions. Alternatively, the lower metal portions can be ohmically connected to passive segments of the PCM, while a capacitor is formed in part by at least one of the upper metal portions. Alternatively, at least one of the RF terminals can have a trench metal liner separated from a trench metal plug by a dielectric liner. The trench metal liner can be ohmically connected to passive segments of the PCM, while the trench metal plug is ohmically separated from, but capacitively coupled to, the trench metal liner. | 2020-02-20 |
20200058582 | Capacitive Tuning Circuit Using RF Switches with PCM Capacitors and PCM Contact Capacitors - A capacitive tuning circuit includes radio frequency (RF) switches connected to an RF line. Each RF switch includes a phase-change material (PCM), a heating element underlying an active segment of the PCM and extending outward and transverse to the PCM, and RF terminals having lower metal portions and upper metal portions. Alternatively, the RF terminals can have a trench metal liner separated from a trench metal plug by a dielectric liner. At least one capacitor is formed in part by at least one of the lower metal portions, upper metal portions, or trench metal liner. The capacitive tuning circuit can be set to a desired capacitance value when a first group of the RF switches is in an OFF state and a second group of the RF switches is in an ON state. | 2020-02-20 |
20200058583 | COMPARISON CIRCUIT INCLUDING INPUT SAMPLING CAPACITOR AND IMAGE SENSOR INCLUDING THE SAME - A comparison circuit that includes an input sampling capacitor and an image sensor including the same are provided. The comparison circuit includes an amplifier configured to receive a pixel signal and a ramp signal to perform a correlated double sampling operation, a first pixel capacitor connected to the amplifier through a first floating node and configured to transmit the pixel signal, a first ramp capacitor connected to the amplifier through a second floating node and configured to transmit the ramp signal, a second pixel capacitor connected in parallel to the first pixel capacitor, and a second ramp capacitor connected in parallel to the first ramp capacitor, wherein the second pixel capacitor is formed between the first floating node and first peripheral routing lines, and the second ramp capacitor is formed between the second floating node and second peripheral routing lines. | 2020-02-20 |
20200058584 | Assemblies Which Include Wordlines Over Gate Electrodes - Some embodiments include an assembly having bitlines extending along a first direction. Semiconductor pillars are over the bitlines and are arranged in an array. The array includes columns along the first direction and rows along a second direction which crosses the first direction. Each of the semiconductor pillars extends vertically. The semiconductor pillars are over the bitlines. The semiconductor pillars are spaced from one another along the first direction by first gaps, and are spaced from one another along the second direction by second gaps. Wordlines extend along the second direction, and are elevationally above the semiconductor pillars. The wordlines are directly over the first gaps and are not directly over the semiconductor pillars. Gate electrodes are beneath the wordlines and are coupled with the wordlines. Each of the gate electrodes is within one of the second gaps. Shield lines may be within the first gaps. | 2020-02-20 |
20200058585 | METHOD OF FORMING A STRAIGHT VIA PROFILE WITH PRECISE CRITICAL DIMENSION CONTROL - A method for manufacturing a semiconductor device includes forming a first interconnect level having a conductive metal layer formed in a first dielectric layer. In the method, a cap layer is formed on the first interconnect level, and a second interconnect level including a second dielectric layer is formed on the cap layer. The method also includes forming a third interconnect level including a third dielectric layer on the second interconnect level. An opening is formed through the second and third interconnect levels and over the conductive metal layer. Sides of the opening are lined with a spacer material, and a portion of the cap layer at a bottom of the opening is removed from a top surface of the conductive metal layer. The spacer material is removed from the opening, and a conductive material layer is deposited in the opening on the conductive metal layer. | 2020-02-20 |
20200058586 | SEMICONDUCTOR DEVICE INCLUDING DEEP VIAS, AND METHOD OF GENERATING LAYOUT DIAGRAM FOR SAME - A method (of generating a layout diagram) includes: generating one or more first conductive patterns representing corresponding conductive material in the first metallization layer, long axes of the first conductive patterns extending substantially in a first direction; generating a first deep via pattern representing corresponding conductive material in each of the second via layer, the first metallization layer, and the first via layer; relative to the first direction and a second direction substantially perpendicular to the first direction, aligning the first deep via pattern to overlap a corresponding component pattern representing conductive material included in an electrical path of a terminal of a corresponding transistor in the transistor layer; and configuring a size of the first deep via pattern in the first direction to be substantially less than a permissible minimum length of a conductive pattern in the first metallization layer. | 2020-02-20 |
20200058587 | FORMATION OF SEMICONDUCTOR DEVICES INCLUDING ELECTRICALLY PROGRAMMABLE FUSES - A method for fabricating a semiconductor device including an electrically programmable fuse includes forming conductive material within one or more openings formed through a dielectric material disposed on a first electrode, and forming one or more second electrodes by planarizing the conductive material. Forming the conductive material includes forming one or more voids encapsulated by the conductive material such that the one or more voids have boundaries defined in part by portions of the conductive material corresponding to fuse links disposed between the one or more voids and the dielectric material. | 2020-02-20 |
20200058588 | FORMATION OF SEMICONDUCTOR DEVICES INCLUDING ELECTRICALLY PROGRAMMABLE FUSES - A semiconductor device including an electrically programmable fuse includes a substrate, a first electrode on the substrate, dielectric material on the first electrode, one or more second electrodes including a conductive material disposed on the first electrode between portions of the dielectric material, and one or more voids encapsulated by the conductive material such that the one or more voids have boundaries defined in part by portions of the conductive material corresponding to fuse links disposed between the one or more voids and the dielectric material. | 2020-02-20 |
20200058589 | CHIP STRUCTURE AND METHOD FOR FORMING THE SAME - A chip structure is provided. The chip structure includes a substrate. The chip structure includes a redistribution layer over the substrate. The chip structure includes a bonding pad over the redistribution layer. The chip structure includes a shielding pad over the redistribution layer and surrounding the bonding pad. The chip structure includes an insulating layer over the redistribution layer and the shielding pad. The chip structure includes a bump over the bonding pad and the insulating layer. A sidewall of the bump is over the shielding pad. | 2020-02-20 |
20200058590 | METHOD AND STRUCTURE TO CONSTRUCT CYLINDRICAL INTERCONNECTS TO REDUCE RESISTANCE - A method for manufacturing a semiconductor device includes forming a plurality of trenches in a dielectric layer, wherein the plurality of trenches each comprise a rounded surface, depositing a liner layer on the rounded surface of each of plurality of trenches, and depositing a conductive layer on the liner layer in each of the plurality of trenches, wherein the conductive layer and the liner layer form a plurality of interconnects, and each of the plurality of interconnects has a cylindrical shape. | 2020-02-20 |
20200058591 | METHOD AND STRUCTURE TO CONSTRUCT CYLINDRICAL INTERCONNECTS TO REDUCE RESISTANCE - A method for manufacturing a semiconductor device includes forming a plurality of trenches in a dielectric layer, wherein the plurality of trenches each comprise a rounded surface, depositing a liner layer on the rounded surface of each of plurality of trenches, and depositing a conductive layer on the liner layer in each of the plurality of trenches, wherein the conductive layer and the liner layer form a plurality of interconnects, and each of the plurality of interconnects has a cylindrical shape. | 2020-02-20 |
20200058592 | INTEGRATED CIRCUIT PACKAGE AND METHOD OF FORMING SAME - Various embodiments of an integrated circuit package and a method of forming such package are disclosed. The package includes a substrate having a core layer disposed between a first dielectric layer and a second dielectric layer, a die disposed in a cavity of the core layer, and an encapsulant disposed in the cavity between the die and a sidewall of the cavity. The package further includes a first patterned conductive layer disposed within the first dielectric layer, a device disposed on an outer surface of the first dielectric layer such that the first patterned conductive layer is between the device and the core layer, a second patterned conductive layer disposed within the second dielectric layer, and a conductive pad disposed on an outer surface of the second dielectric layer such that the second patterned conductive layer is between the conductive pad and the core layer. | 2020-02-20 |
20200058593 | REPLACEMENT METAL CAP BY AN EXCHANGE REACTION - Various methods and structures for fabricating BEOL metallization layer including at least one bulk cobalt contact, the at least one bulk cobalt contact including a replacement non-cobalt metal cap integral to the at least one bulk cobalt contact. The method includes performing selective deposition, by a chemical exchange reaction of metal between a non-cobalt metal and Cobalt in the at least one bulk cobalt contact, of the replacement non-cobalt metal cap integrally formed in a top surface region of the bulk cobalt contact. | 2020-02-20 |
20200058594 | SELECTIVE CVD ALIGNMENT-MARK TOPOGRAPHY ASSIST FOR NON-VOLATILE MEMORY - A semiconductor device and method for forming the semiconductor device are described. The method includes recessing a device pad to below a top surface of an interconnect layer and depositing a cap in the recess over the device pad. A topography assist layer is formed over each of at least one alignment mark using a selective deposition process that deposits material on conductive material of the at least one alignment mark selective to the metal nitride of the device pad such that a top surface of the topography assist feature is higher than a top surface of the cap. Device layers are deposited conformally over the interconnect layer such that the topography assist layer causes a topographical feature in a top surface of the deposited device layers, the topographical feature being vertically aligned with the topography assist layer. The device pad is aligned according to the topographical feature. | 2020-02-20 |
20200058595 | METHOD FOR FORMING SEMICONDUCTOR DEVICE STRUCTURE WITH OVERLAY GRATING - A method for forming a semiconductor device structure is provided. The method includes forming a first overlay grating over a substrate. The method includes forming a layer over the first overlay grating. The method includes forming a second overlay grating over the layer. The second overlay grating has a third strip portion and a fourth strip portion, the third strip portion and the fourth strip portion are elongated in the first elongated axis and are spaced apart from each other, there is a second distance between a third sidewall of the third strip portion and a fourth sidewall of the fourth strip portion, the third sidewall faces away from the fourth strip portion, the fourth sidewall faces the third strip portion, the first distance is substantially equal to the second distance, and the first trench extends across the third strip portion and the fourth strip portion. | 2020-02-20 |
20200058596 | METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE - A back alignment mark on a surface of a semiconductor substrate is detected and a resist mask patterned into a circuit pattern corresponding to a surface element structure is formed on a back of the semiconductor substrate. Detection of the back alignment mark is performed by using a detector opposing the back of the semiconductor substrate and measuring contrast based on the intensity of reflected infrared light irradiated from the back of the semiconductor substrate. The back alignment mark is configured by a step formed by the surface of the semiconductor substrate and bottoms of trenches formed from the surface of the semiconductor substrate. A polysilicon film is embedded in the trenches. The back alignment mark has, for example, a cross-shaped planar layout in which three or more trenches are disposed in a direction parallel to the surface of the semiconductor substrate. | 2020-02-20 |
20200058597 | SIGNAL CONDUCTOR ROUTING CONFIGURATIONS AND TECHNIQUES - A substrate includes at least first, second, and third metal layers and adjacent substrate portions having rotated arrangements of signal traces provided by the metal layers. Each metal layer includes first and second spaced portions. The first portion of the first metal layer includes a first trace configured to carry a first signal and the second portion of the first metal layer includes a second trace configured to carry a second signal. The first portion of the second metal layer includes third and fourth spaced traces configured to carry the second signal and the second portion includes fifth and sixth spaced traces configured to carry the first signal. The first portion of the third metal layer includes a seventh trace configured to carry the first signal and the second portion includes an eighth trace configured to carry the second signal. | 2020-02-20 |
20200058598 | Semiconductor Device and Method of Manufacturing the Same - A semiconductor device includes a single lead frame, a semiconductor element, and a mold material. The semiconductor element is joined onto one main surface of the lead frame. The lead frame includes a die-attach portion, a signal terminal portion, and a ground terminal portion. The die-attach portion, the signal terminal portion, and the ground terminal portion are disposed directly below the mold material so as to be arranged in a direction along one main surface. A groove portion is provided by partially removing the lead frame so as to allow the groove portion to pass therethrough, the groove portion being provided between the die-attach portion and the ground terminal portion adjacent to each other in the lead frame and between the signal terminal portion and the ground terminal portion adjacent to each other in the lead frame. | 2020-02-20 |
20200058599 | CIRCUIT MODULE AND MANUFACTURING METHOD THEREFOR - A circuit module ( | 2020-02-20 |
20200058600 | SEMICONDUCTOR DEVICE, CONTROL DEVICE, AND METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE - An object of the present invention is to provide a semiconductor device capable of reducing external stress transmitted to a semiconductor chip through a lead frame. A semiconductor device includes a base plate, a semiconductor element held on the base plate, a housing disposed on the base plate and having a frame shape enclosing the semiconductor element, a terminal section provided in an outer surface of the housing and connectable to an external device, a lead frame that is long and has one end disposed so as to be connectable to the terminal section provided in the housing and another end connected onto the semiconductor element via a bonding material, a sealing material disposed in the housing to seal the lead frame and the semiconductor element, and a fixing section that fixes, in the housing, part of the lead frame to the base plate or the housing. | 2020-02-20 |
20200058601 | Design Scheme for Connector Site Spacing and Resulting Structures - A system and method for preventing cracks in a passivation layer is provided. In an embodiment a contact pad has a first diameter and an opening through the passivation layer has a second diameter, wherein the first diameter is greater than the second diameter by a first distance of about 10 μm. In another embodiment, an underbump metallization is formed through the opening, and the underbump metallization has a third diameter that is greater than the first diameter by a second distance of about 5 μm. In yet another embodiment, a sum of the first distance and the second distance is greater than about 15 μm. In another embodiment the underbump metallization has a first dimension that is less than a dimension of the contact pad and a second dimension that is greater than a dimension of the contact pad. | 2020-02-20 |
20200058602 | Semiconductor Device Having Features to Prevent Reverse Engineering - An electronic device includes: a base layer; a first layer located at least partially over the base layer; a second layer located at least partially over the first layer; a first metal layer located at least partially over the second layer, wherein one or more signal outputs of the electronic device are formed in the first metal layer; and a second metal layer located at least partially over the first metal layer, wherein one or more gate connection is formed in the second metal layer, wherein removing a portion of the second metal layer disrupts at least one gate connection and deactivates the device. | 2020-02-20 |
20200058603 | HIGH VOLTAGE SUPPLY CLAMP - In certain aspects, a clamp includes first and second transistors coupled in series between a power bus and a ground. The clamp also includes a resistive voltage divider configured to bias a gate of the first transistor and a gate of the second transistor based on a supply voltage on the power bus. The clamp further includes a capacitive voltage divider configured to turn on the first and second transistors in response to a voltage transient on the power bus exceeding a trigger threshold voltage. | 2020-02-20 |
20200058604 | FAN-OUT ANTENNA PACKAGING STRUCTURE AND PACKAGING METHOD - The present disclosure provides a fan-out antenna packaging structure for a semiconductor chip and its fabricating method. The structure is a stacked-up two sets of metal connecting columns and antenna metal patterns arranged in two sequential layers of packaging materials. In some applications there can be more than two sets of the stacked-up antenna structures, fabricated around the chip at one side of a rewiring layer. The chip is interconnected to external metal bumps on the other side of the rewiring layer. | 2020-02-20 |
20200058605 | Fan-Out Antenna Package Structure And Packaging Method - The present disclosure provides a fan-out antenna packaging structure for a semiconductor chip and its fabricating method. The structure is a stacked-up two sets of metal connecting columns and antenna metal patterns arranged in two sequential layers of packaging materials sealing the chip. The two sets of metal interconnecting structures in the two layers of packaging materials may have different thicknesses. In some applications there can be more than two sets of the stacked-up antenna structures, fabricated around the chip at one side of a rewiring layer. The chip is interconnected to external metal bumps on the other side of the rewiring layer. | 2020-02-20 |
20200058606 | SEMICONDUCTOR DEVICE AND METHOD OF MANUFACTURE - A device includes a redistribution structure, a first semiconductor device, a first antenna, and a first conductive pillar on the redistribution structure that are electrically connected to the redistribution structure, an antenna structure over the first semiconductor device, wherein the antenna structure includes a second antenna that is different from the first antenna, wherein the antenna structure includes an external connection bonded to the first conductive pillar, and a molding material extending between the antenna structure and the redistribution structure, the molding material surrounding the first semiconductor device, the first antenna, the external connection, and the first conductive pillar. | 2020-02-20 |
20200058607 | PACKAGE STRUCTURE AND MANUFACTURING METHOD THEREOF - A package structure include a ground plate, a semiconductor die, a molding compound, and an antenna element. The semiconductor die is located over the ground plate. The molding compound is located over the semiconductor die. The antenna element is located in the molding compound and overlaps with the ground plate along a stacking direction of the ground plate, the semiconductor die and the molding compound. The antenna element has a first side levelled with a first surface of the molding compound, and the ground plate is located between the semiconductor die and the antenna element. | 2020-02-20 |
20200058608 | TRAP LAYER SUBSTRATE STACKING TECHNIQUE TO IMPROVE PERFORMANCE FOR RF DEVICES - Some embodiments of the present disclosure are directed to a device. The device includes a substrate comprising a silicon layer disposed over an insulating layer. The substrate includes a transistor device region and a radio-frequency (RF) region. An interconnect structure is disposed over the substrate and includes a plurality of metal layers disposed within a dielectric structure. A handle substrate is disposed over an upper surface of the interconnect structure. A trapping layer separates the interconnect structure and the handle substrate. | 2020-02-20 |
20200058609 | SEMICONDUCTOR DEVICES AND METHODS OF MANUFACTURING THE SAME - Disclosed are semiconductor devices and methods of manufacturing the same. The method includes providing a semiconductor substrate, forming a redistribution line on a top surface of the semiconductor substrate, and forming a passivation layer to cover the redistribution line on the top surface of the semiconductor substrate. The forming a redistribution line includes a first stage of forming a first segment of the redistribution line on the top surface of the semiconductor substrate, and a second stage of forming a second segment of the redistribution line on the first segment of the redistribution line. An average grain size of the second segment of the redistribution line is less than an average grain size of the first segment of the redistribution line. | 2020-02-20 |
20200058610 | SEMICONDUCTOR DEVICE AND METHOD OF MANUFACTURING THE SAME - A semiconductor device includes a semiconductor chip, a bump contract, and encapsulating layer, an insulating layer, and a connection terminal. | 2020-02-20 |
20200058611 | SEMICONDUCTOR PACKAGE HAVING VARYING CONDUCTIVE PAD SIZES - A semiconductor package is provided, including a package substrate, a package component, and a number of conductive connectors. The package component has a number of conductive features on a first surface of the package component facing the package substrate. The conductive connectors electrically connect the conductive features of the package component to the package substrate. The conductive features include a first conductive feature and a second conductive feature contacting a first conductive connector and a second conductive connector, respectively. The size of the first conductive feature is smaller than the size of the second conductive feature, and the height of the first conductive connector on the first conductive feature is greater than the height of the second conductive connector on the second conductive feature. | 2020-02-20 |
20200058612 | METHOD OF FORMING A SOLDER BUMP STRUCTURE - A solder bump structure includes a pillar formed on an electrode pad. The pillar has a concave curve-shaped surface and a geometry defined at least in part by dimensions including a first height greater than a first width. The solder bump structure further includes solder formed on the concave curve-shaped surface of the pillar. The solder has a convex top surface and having dimensions including a second height greater than a second width due to the geometry of the pillar. | 2020-02-20 |
20200058613 | Via Structure for Packaging and a Method of Forming - A via or pillar structure, and a method of forming, is provided. In an embodiment, a polymer layer is formed having openings exposing portions of an underlying conductive pad. A conductive layer is formed over the polymer layer, filling the openings. The dies are covered with a molding material and a planarization process is performed to form pillars in the openings. In another embodiment, pillars are formed and then a polymer layer is formed over the pillars. The dies are covered with a molding material and a planarization process is performed to expose the pillars. In yet another embodiment, pillars are formed and a molding material is formed directly over the pillars. A planarization process is performed to expose the pillars. In still yet another embodiment, bumps are formed and a molding material is formed directly over the bumps. A planarization process is performed to expose the bumps. | 2020-02-20 |
20200058614 | CHIP PACKAGE STRUCTURE AND METHOD FOR FORMING THE SAME - A method for forming a chip package structure is provided. The method includes partially removing a first redistribution layer to form an alignment trench in the first redistribution layer. The alignment trench surrounds a bonding portion of the first redistribution layer. The method includes forming a liquid layer over the bonding portion. The method includes disposing a chip structure over the liquid layer, wherein a first width of the bonding portion is substantially equal to a second width of the chip structure. The method includes evaporating the liquid layer. The chip structure is in direct contact with the bonding portion after the liquid layer is evaporated. | 2020-02-20 |
20200058615 | FLIP CHIP BONDING METHOD - A flip chip bonding method includes obtaining a die including a first substrate and an adhesive layer on the first substrate; bonding the die to a second substrate different from the first substrate; and curing the adhesive layer. The curing the adhesive layer includes heating the second substrate to melt the adhesive layer, and providing the adhesive layer and the second substrate with air having pressure greater than atmospheric pressure. | 2020-02-20 |
20200058616 | Redistribution Layers in Semiconductor Packages and Methods of Forming Same - An embodiment package includes a first integrated circuit die, an encapsulent around the first integrated circuit die, and a conductive line electrically connecting a first conductive via to a second conductive via. The conductive line includes a first segment over the first integrated circuit die and having a first lengthwise dimension extending in a first direction and a second segment having a second lengthwise dimension extending in a second direction different than the first direction. The second segment extends over a boundary between the first integrated circuit die and the encapsulant. | 2020-02-20 |
20200058617 | Hybrid bonding technology for stacking integrated circuits - A three-dimensional (3D) integrated circuit (IC) is provided. In some embodiments, a second IC die is bonded to a first IC die by a first bonding structure. The first bonding structure contacts a first interconnect structure of the first IC die and a second interconnection structure of the second IC die, and has a first portion and a second portion hybrid bonded together. A third IC die is bonded to the second IC die by a third bonding structure. The third bonding structure comprises a second TSV (through substrate via) disposed through the second substrate of the second IC die and includes varies bonding structures according to varies embodiments of the invention. | 2020-02-20 |
20200058618 | STACK PACKAGING STRUCTURE FOR AN IMAGE SENSOR - According to an aspect, a stack packaging structure includes a substrate, a semiconductor device coupled to a surface of the substrate, an image sensor device coupled to the semiconductor device such that the semiconductor device is disposed between the surface of the substrate and the image sensor device, at least one bond wire connected to the image sensor device and the surface of the substrate, a inner molding disposed between the surface of the substrate and the image sensor device, where the semiconductor device is encapsulated within the inner molding, and an outer molding disposed on the surface of the substrate, where the at least one bond wire is encapsulated within the outer molding. | 2020-02-20 |
20200058619 | RADIO FREQUENCY SYSTEM-IN-PACKAGE WITH STACKED CLOCKING CRYSTAL - A packaged module for use in a wireless communication device has a substrate supporting a crystal and a first die that includes at least a microprocessor and one or more of radio frequency transmitter circuitry and radio frequency receiver circuitry. The first die is disposed between the crystal and the substrate. An overmold encloses the first die and the crystal. The substrate also supports a second die that includes at least a power amplifier for amplifying a radio frequency input signal, where the second die is disposed on an opposite side of the substrate from the first die and the crystal. | 2020-02-20 |
20200058620 | CHIP PACKAGE WITH REDISTRIBUTION LAYERS - A chip package is provided. The chip package includes a semiconductor die and a protective layer surrounding the semiconductor die. The chip package also includes an interface between the semiconductor die and the protective layer. The chip package further includes a conductive line over the protective layer and the semiconductor die. The conductive line has a first portion and a second portion in direct contact with the first portion, and the second section at least partially covers the interface. In a top view of the conductive layer, line widths of the first portion and the second portion are different from each other. | 2020-02-20 |
20200058621 | SIGNAL DELIVERY IN STACKED DEVICE - Some embodiments include apparatus, systems, and methods having a base, a first die, a second arranged in a stacked with the first die and the base, and a structure located in the stack and outside at least one of the first and second dice and configured to transfer signals between the base and at least one of the first and second dice. | 2020-02-20 |
20200058622 | SEMICONDUCTOR PACKAGE AND MANUFACTURING METHOD THEREOF - A semiconductor package includes a first chip package including a plurality of first semiconductor dies and a first insulating encapsulant, a second semiconductor die, a third semiconductor die, and a second insulating encapsulant. The plurality of first semiconductor dies are electrically connected to each other, and the first insulating encapsulant encapsulates the plurality of first semiconductor dies. The second semiconductor die and the third semiconductor die are electrically communicated to each other by connecting to the first chip package, wherein the first chip package is stacked on the second semiconductor die and the third semiconductor die. The second insulating encapsulant encapsulates the first chip package, the second semiconductor die, and the third semiconductor die. | 2020-02-20 |
20200058623 | Light emitting diode module and display for hiding physical gaps between modules - A light emitting diode (LED) module and display for hiding physical gaps between modules is provided. An LED module comprises: a board including at least one edge; and an array of LEDs at the board, the array comprising: first LEDs and second LEDs, smaller than the first LEDs, the second LEDs located along the at least one edge of the board, the first and second LEDs all being at a common pitch distance, adjacent sides of adjacent first LEDs separated by a first distance, and the second LEDs being at a second distance from the at least one edge of the board, the second distance smaller than the first distance. The modules may be incorporated into an LED display. | 2020-02-20 |
20200058624 | MICRO-LED DISPLAY DEVICE - A micro light-emitting diode display device is disclosed in the present disclosure. The micro light-emitting diode display device includes a substrate and a plurality of display units. The substrate has a supporting surface. The plurality of display units is disposed on the substrate, with each of the plurality of display units including a plurality of micro light-emitting diodes, wherein a gap existing between any two of the plurality of display units next to each other has a varying width. | 2020-02-20 |
20200058625 | TRANSPARENT DISPLAY PANEL - A transparent display panel with a light-transmitting substrate, a plurality of top-emitting micro light emitting diodes, a plurality of bottom-emitting micro light emitting diodes, and a light shielding layer. The light transmissive substrate has a surface. These top-emitting micro light emitting diodes and these bottom-emitting micro light emitting diodes are disposed on the surface of the light transmissive substrate. The bottom-emitting micro light emitting diodes has an epitaxial structure and a light shielding member, the epitaxial structure has a pair of upper and lower surfaces on the opposite sides, the lower surface faces toward the light transmissive substrate, and the light shielding member is disposed on the upper surface to shield the light emitted by the bottom-emitting micro light emitting diodes towards the upper surface. | 2020-02-20 |
20200058626 | PACKAGE STRUCTURE, PACKAGE-ON-PACKAGE STRUCTURE AND METHOD OF FABRICATING THE SAME - A package structure including a first redistribution layer, a semiconductor die, through insulator vias, an insulating encapsulant and a second redistribution layer. The first redistribution layer includes a dielectric layer, a conductive layer, and connecting portions electrically connected to the conductive layer. The dielectric layer has first and second surfaces, the connecting portions has a first side, a second side, and sidewalls joining the first side to the second side. The first side of the connecting portions is exposed from and coplanar with the first surface of the dielectric layer. The semiconductor die is disposed on the second surface of the dielectric layer. The through insulator vias are connected to the conductive layer. The insulating encapsulant is disposed on the dielectric layer and encapsulating the semiconductor die and the through insulator vias. The second redistribution layer is disposed on the semiconductor die and over the insulating encapsulant. | 2020-02-20 |
20200058627 | PACKAGE AND MANUFACTURING METHOD THEREOF - A package includes a first redistribution structure, a bridge structure, an adhesive layer, a plurality of conductive pillars, an encapsulant, a first die, and a second die. The bridge structure is disposed on the first redistribution structure. The adhesive layer is disposed between the bridge structure and the first redistribution structure. The conductive pillars surround the bridge structure. A height of the conductive pillars is substantially equal to a sum of a height of the adhesive layer and a height of the bridge structure. The encapsulant encapsulates the bridge structure, the adhesive layer, and the conductive pillars. The first die and the second die are disposed over the bridge structure. The first die is electrically connected to the second die through the bridge structure. The first die and the second die are electrically connected to the first redistribution structure through the conductive pillars. | 2020-02-20 |
20200058628 | Wafer-to-Wafer and Die-to-Wafer Bonding of Phase-Change Material (PCM) Switches with Integrated Circuits and Bonded Two-Die Devices - In a method for wafer-to-wafer bonding, an integrated circuit (IC) wafer and a phase-change material (PCM) switch wafer are provided. The IC includes at least one active device, and has an IC substrate side and a metallization side. The PCM switch wafer has a heat spreading side and a radio frequency (RF) terminal side. A heat spreader is formed in the PCM switch wafer. In one approach, the heat spreading side of the PCM switch wafer is bonded to the metallization side of the IC wafer, then a heating element is formed between the heat spreader and a PCM in the PCM switch wafer. In another approach, a heating element is formed between the heat spreader and a PCM in the PCM switch wafer, then the RF terminal side of the PCM switch wafer is bonded to the metallization side of the IC wafer. | 2020-02-20 |
20200058629 | Display Device with a Plurality of Separately Operable Pixels - A display device is disclosed. In an embodiment a display device having a plurality of pixels separately operable from each other includes a semiconductor layer sequence including a first semiconductor layer, an active layer and a second semiconductor layer, a first contact structure contacting the first semiconductor layer and a second contact structure contacting the second semiconductor layer and at least one separating region extending through the first contact structure, the first semiconductor layer and the active layer into the second semiconductor layer, wherein the semiconductor layer sequence and the first contact structure have at least one first recess laterally adjacent with respect to a respective pixel, the first recess extending through the first contact structure, the first semiconductor layer and the active layer into the second semiconductor layer, and wherein the second contact structure includes second contacts extending through the at least one first recess. | 2020-02-20 |
20200058630 | METHOD OF PRODUCING AN OPTOELECTRONIC COMPONENT, AND OPTOELECTRONIC COMPONENT - A method of manufacturing an optoelectronic component includes: A) providing a sub-strate, B) providing a metallic liquid arranged in a structured manner and in direct mechanical contact on the substrate and including at least one first metal, C) providing semiconductor chips each having a metallic termination layer on their rear side, the metallic termination layer including at least one second metal different from the first metal, and D) self-organized arranging the semiconductor chips on the metallic liquid so that the first metal and the second metal form at least one intermetallic compound having a higher re-melting temperature than the melting temperature of the metallic liquid, wherein the intermetallic compound is a connecting layer between the substrate and the semiconductor chips. | 2020-02-20 |
20200058631 | MICRO LED DISPLAY PANEL WITH NARROWED BORDER AREA AND METHOD FOR MAKING SAME - A micro LED display panel defines a display area and a border area surrounding the display area. The micro LED display panel includes a TFT array substrate, a plurality of micro LEDs on the TFT array substrate, a common electrode on the TFT array substrate, the common electrode covering and electrically coupling to all of the micro LEDs; a metal layer on a side of the common electrode away from the TFT array substrate and electrically coupling to the common electrode, and a black photoresist layer on a side of the metal layer away from the TFT array substrate. The black photoresist layer defines through holes. Each through hole extends through both the black photoresist layer and the metal layer and aligns with one micro LED. The metal layer and the black photoresist layer cover the display area and the border area. | 2020-02-20 |
20200058632 | SEMICONDUCTOR PACKAGE AND MANUFACTURING METHOD THEREOF - A semiconductor device includes a bottom package, a top package, and a heat dissipating structure. The bottom package includes a redistribution structure, and a die disposed on a first surface of the redistribution structure and electrically connected to the redistribution structure. The top package is disposed on a second surface of the redistribution structure opposite to the first surface. The heat dissipating structure is disposed over the bottom package, and includes a thermal relaxation block. The thermal relaxation block contacts the redistribution structure and is disposed beside the top package. | 2020-02-20 |
20200058633 | SEMICONDUCTOR PACKAGE WITH REDUCED NOISE - The present disclosure provides a semiconductor package including a bottom package having a substrate, a radio-frequency (RF) die and a system-on-a-chip (SoC) die arranged on the substrate in a side-by-side manner, a molding compound covering the RF die and the SoC die, and an interposer over the molding compound. Connection elements and a column of signal interference shielding elements are disposed on the substrate. The connection elements surround the SoC die. The column of signal interference shielding elements is interposed between the RF die and the SoC die. A top package is mounted on the interposer. | 2020-02-20 |
20200058634 | SEMICONDUCTOR DEVICE - A memory device is disclosed that includes memory cell, e strap cell, conductive segment, and logic cell. The strap cell is arranged abutting the memory cell. The strap cell includes an active region, a first gate, and a second gate. The first gate is arranged across the active region. The second gate is arranged across the active region and disposed at the end of active region. The conductive segment is disposed over the first gate and the second gate. The strap cell is disposed between the memory cell and the logic cell, and the logic cell includes a third gate. The conductive segment is spaced apart from the third gate, and the length of the conductive segment is smaller than five times of a gate pitch between the first gate and the second gate. | 2020-02-20 |
20200058635 | SEMICONDUCTOR DIE - A semiconductor die can include: first, second, third, and fourth transistors disposed at intervals, where each two of the first, second, third, and fourth transistors are separated by a separation region to form four separation regions; an isolation structure having a first doping structure of a first doping type, and a second doping structure of a second doping type, to absorb hole carriers and electron carriers flowing between the first, second, third, and fourth transistors; where the first doping structure is located in the separation region to isolate adjacent transistors in the first, second, third, and fourth transistors; and where at least a portion of the second doping structure is surrounded by the first doping structure, and the second doping structure is separated from the first doping structure. | 2020-02-20 |
20200058636 | TRANSIENT VOLTAGE SUPPRESSION DEVICE - A transient voltage suppression device includes a lightly-doped semiconductor structure, a first doped well, a first heavily-doped area, a first buried area, and a second heavily-doped area. The lightly-doped semiconductor structure has a first conductivity type. The first doped well has a second conductivity type and is formed in the lightly-doped semiconductor structure. The first heavily-doped area has the second conductivity type and is formed in the first doped well. The first buried area has the first conductivity type and is formed in the lightly-doped semiconductor structure and under the first doped well, and the first buried area is adjacent to the first doped well. The second heavily-doped area has the second conductivity type and is formed in the lightly-doped semiconductor structure. | 2020-02-20 |
20200058637 | SILICON-CONTROLLED RECTIFIER STRUCTURE AND MANUFACTURING METHOD THEREFOR - The present disclosure provides a silicon-controlled rectifier structure and a manufacturing method therefor. The silicon-controlled rectifier structure comprises a substrate; and an N-Well and a P-Well in the substrate, and an N-type heavily-doped region and a P-type heavily-doped region which are connected to an anode are provided in the N-Well, and a guard ring connected to the anode is further provided in the N-Well between the N-type heavily-doped region and the P-type heavily-doped region, the guard ring being spaced from the N-type heavily-doped region by a shallow trench isolation, and an active area having a predetermined width exists between the guard ring and the P-type heavily-doped region; and an N-type heavily-doped region and a P-type heavily-doped region which are connected to a cathode are provided in the P-Well. | 2020-02-20 |
20200058638 | Device Including PCM RF Switch Integrated with Group III-V Semiconductors - There are disclosed herein various implementations of a semiconductor device including a group III-V layer situated over a substrate, and a phase-change material (PCM) radio frequency (RF) switch situated over the group III-V layer. The PCM RF switch couples a group III-V transistor situated over the group III-V layer to one of an integrated passive element or another group III-V transistor situated over the group III-V layer. The PCM RF switch includes a heating element transverse to the PCM, the heating element underlying an active segment of the PCM. The PCM RF switch is configured to be electrically conductive when the active segment of the PCM is in a crystalline state, and to be electrically insulative when the active segment of the PCM is in an amorphous state. | 2020-02-20 |
20200058639 | HIGH SWITCHING FREQUENCY, LOW LOSS AND SMALL FORM FACTOR FULLY INTEGRATED POWER STAGE - A method for fabricating a semiconductor device includes, for a substrate having a first region protected by a cap layer, forming a first device on a second region of the substrate. The substrate includes an insulator layer disposed between a first semiconductor layer and a second semiconductor layer each including a first semiconductor material. The method further includes forming a second device on the first region, including forming one or more transistors each having a channel formed from a second semiconductor material different from the first semiconductor material. | 2020-02-20 |
20200058640 | Integrated Resistor for Semiconductor Device - A heterostructure semiconductor device includes first and second active areas, each electrically isolated from one another, and each including first and second active layers with an electrical charge disposed therebetween. A power transistor is formed in the first active area, and an integrated gate resistor is formed in the second active area. A gate array laterally extends over the first active area of the power transistor. First and second ohmic contacts are respectively disposed at first and second lateral ends of the integrated gate resistor, the first and second ohmic contacts are electrically connected to the second portion of the second active layer, the second ohmic contact also being electrically connected to the gate array. A gate bus is electrically connected to the first ohmic contact. | 2020-02-20 |
20200058641 | INDIRECT READOUT FET - A metal-insulator-metal (MIM) capacitor structure includes source and drain regions formed within a semiconductor substrate, a first conducting layer formed over the source and drain regions, and a dielectric layer formed over the first conducting layer. The MIM capacitor structure further includes a second conducting layer formed over the dielectric layer, and a sidewall dielectric formed adjacent the first conducting layer and the dielectric layer. An electric field is created indirectly through the sidewall dielectric to an adjacent field effect transistor (FET) channel in the semiconductor substrate. | 2020-02-20 |
20200058642 | IC WITH LARGER AND SMALLER WIDTH CONTACTS - An integrated circuit (IC) includes a second metal level located between first and third metal levels, a dielectric layer located over the metal levels, and first, second and third vias within the dielectric layer. The first via traverses the first dielectric layer from a surface of the dielectric layer to the first metal level and has a first diameter. The second via traverses the dielectric layer from the surface to the second metal level and has the first diameter. The third via traverses the dielectric layer from the surface to the third metal level and has a second diameter greater than the first diameter. In some implementations the first, second and third metal levels implement a capacitor. | 2020-02-20 |
20200058643 | SEMICONDUCTOR STRUCTURE AND DRIVING CHIP - A semiconductor structure can include: a semiconductor substrate having a first region, a second region, and an isolation region disposed between the first and second regions; an isolation structure located in the isolation region, where the isolation structure comprises a first isolation ring having a first doping type, and a second isolation ring having a second doping type, where the first isolation ring is configured to absorb first carriers flowing from the first region to the second region, and where the second isolation ring is configured to absorb second carriers flowing from the second region to the first region; and a lateral blocking component in the isolation structure, where the lateral blocking component is configured to block a lateral flow of the first and second carriers, in order to increase a flow path of the first and second carriers in the semiconductor substrate. | 2020-02-20 |
20200058644 | DRIVING CHIP, SEMICONDUCTOR STRUCTURE AND METHOD FOR MANUFACTURING THE SAME - A semiconductor structure can include: a semiconductor substrate having a first region, a second region, and an isolation region disposed between the first region and the second region; an isolation component located in the isolation region; and where the isolation component is configured to recombine first carriers flowing from the first region toward the second region, and to extract second carriers flowing from the second region toward the first region. | 2020-02-20 |
20200058645 | SEMICONDUCTOR DEVICE - Provided is a semiconductor device comprising a semiconductor substrate that includes a transistor region; an emitter electrode that is provided on the semiconductor substrate; a first dummy trench portion that is provided on the transistor region of the semiconductor substrate and includes a dummy conducting portion that is electrically connected to the emitter electrode; and a first contact portion that is a partial region of the transistor region, provided between an end portion of a long portion of the first dummy trench portion and an end portion of the semiconductor substrate, and electrically connects the emitter electrode and a semiconductor region with a first conductivity type provided in the transistor region. | 2020-02-20 |
20200058646 | STRUCTURES AND METHODS FOR LARGE INTEGRATED CIRCUIT DIES - Disclosed herein are structures and methods for large integrated circuit (IC) dies, as well as related assemblies and devices. For example, in some embodiments, an IC die may include: a first subvolume including first electrical structures, wherein the first electrical structures include devices in a first portion of a device layer of the IC die; a second subvolume including second electrical structures, wherein the second electrical structures include devices in a second portion of the device layer of the IC die; and a third subvolume including electrical pathways between the first subvolume and the second subvolume; wherein the IC die has an area greater than 750 square millimeters. | 2020-02-20 |
20200058647 | BOOTSTRAP METAL-OXIDE-SEMICONDUCTOR (MOS) DEVICE INTEGRATED WITH A HIGH VOLTAGE MOS (HVMOS) DEVICE AND A HIGH VOLTAGE JUNCTION TERMINATION (HVJT) DEVICE - Various embodiments of the present application are directed towards an integrated circuit (IC) in which a bootstrap metal-oxide-semiconductor (MOS) device is integrated with a high voltage metal-oxide-semiconductor (HVMOS) device and a high voltage junction termination (HVJT) device. In some embodiments, a drift well is in the semiconductor substrate. The drift well has a first doping type and has a ring-shaped top layout. A first switching device is on the drift well. A second switching device is on the semiconductor substrate, at an indent in a sidewall the drift well. A peripheral well is in the semiconductor substrate and has a second doping type opposite the first doping type. The peripheral well surrounds the drift well, the first switching device, and the second switching device, and further separates the second switching device from the drift well and the first switching device. | 2020-02-20 |
20200058648 | TRIMMABLE RESISTOR CIRCUIT AND METHOD FOR OPERATING THE TRIMMABLE RESISTOR CIRCUIT - A trimmable resistor circuit and a method for operating the trimmable resistor circuit are provided. The trimmable resistor circuit includes first sources/drains and first gate structures alternatively arranged in a first row, second sources/drains and second gate structures alternatively arranged in a second row, third sources/drains and third gate structures alternatively arranged in a third row, first resistors disposed between the first row and the second row, and second resistors disposed between the second row and the third row. In the method for operating the trimmable resistor circuit, the first gate structures in the first row and the third gate structures in the third row are turned on. Then, the second gate structures in the second row are turned on/off according to a predetermined resistance value. | 2020-02-20 |
20200058649 | SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THEREOF - A semiconductor device has a first fin, a second fin, an isolation structure between the first fin and the second fin, a dielectric stage in the isolation structure, and a helmet layer over the dielectric stage. A top surface of the helmet layer is higher than a top surface of the isolation structure. | 2020-02-20 |
20200058650 | Creating Devices with Multiple Threshold Voltages by Cut-Metal-Gate Process - A semiconductor device includes first and second transistors each having a high-k metal gate disposed over a respective channel region of the transistors. The semiconductor device further includes first and second dielectric features in physical contact with an end of the respective high-k metal gates. The first and second transistors are of a same conductivity type. The two high-k metal gates have a same number of material layers. The first transistor's threshold voltage is different from the second transistor's threshold voltage, and at least one of following is true: the two high-k metal gates have different widths, the first and second dielectric features have different distances from respective channel regions of the two transistors, and the first and second dielectric features have different dimensions. | 2020-02-20 |