Entries |
Document | Title | Date |
20080201708 | VIRTUALIZED WORKFLOW PROCESSING - Techniques for virtualized workflow processing are presented. A processing state of workflow within a first processing environment is imaged and configured for a second processing environment. The image is received in the second processing environment where it is authenticated and initiated within the second processing environment as a virtual machine. | 08-21-2008 |
20080201709 | System and method for automated provisioning of FMware image instances - A system and method for provisioning virtual machines for a demonstration are described. In one embodiment, the system comprises a scheduling server for enabling a user to schedule a demonstration by selecting a demonstration set and related details using a scheduling web page and a plurality of provisioning servers each connected to the scheduling server via an Internet connection, each of the provisioning servers having stored thereon a plurality of demonstration sets each comprising at least one VM. Responsive to selection of a demonstration set by the user, the scheduling server selects one of the provisioning servers to host the scheduled demonstration and notifies the selected provisioning server of details regarding the scheduled demonstration. The selected provisioning server executes a provisioning process for modifying a configuration file of each at least one VM such that a network number therein is set to a unique number associated with the user and the scheduled demonstration, and modifying a VM snapshot file associated with each at least one VM such that a network number therein is set to the unique number associated with the user and the scheduled demonstration. | 08-21-2008 |
20080201710 | METHOD AND SYSTEM FOR MANAGING PERIPHERAL CONNECTION WAKEUP IN A PROCESSING SYSTEM SUPPORTING MULTIPLE VIRTUAL MACHINES - A method and system for managing peripheral connection wakeup signaling in a processing system supporting multiple virtual machines provides a mechanism by which ownership of a peripheral having system wakeup capability is transferred between virtual machines. The power management event signal is connected to a service processor input that in turn signals a hypervisor to direct the wakeup activity to a particular logical partition in which the virtual machine was last executing. The hypervisor can then determine whether or not to wake up the entire system, or portions thereof and can direct the power management event to the appropriate virtual machine. In particular the peripheral may be an Ethernet adapter supporting Wake-On-LAN capability. State initialization, which is typically ensured by system power cycling is provided instead by controlling power to the standby power source or in some instances by forcing an indication of a disconnect/reconnect of the wakeup signaling connection. | 08-21-2008 |
20080201711 | Maintaining a Pool of Free Virtual Machines on a Server Computer - Various embodiments of a system and method for managing virtual machines on a server computer are described. According to one embodiment of the method, a pool of free virtual machines may be maintained on the server computer, e.g., where the number of virtual machines in the pool is specified by an administrator. In response to receiving a request to assign a virtual machine to a first user, the server computer may assign a first virtual machine from the pool to the first user and add a new virtual machine to the pool to replace the first virtual machine. In some embodiments the server computer may be one of a plurality of server computers in a server computer system. An administrator may be able to configure different pool sizes for different server computers in the system through a central user interface. | 08-21-2008 |
20080209415 | METHOD AND SYSTEM FOR REMOTE MONITORING SUBSCRIPTION SERVICE - Embodiments pertain generally to a method of providing a remote monitoring subscription service. The method includes receiving client activity data from at least one of a client virtual machine and a physical machine supporting the client virtual machine at a site of a customer and comparing the client activity data against a set of performance guidelines. The method also includes determining a recommended action in response to the client activity data not falling within the set of performance guidelines and forwarding the recommended action to the customer. | 08-28-2008 |
20080216071 | Software Protection - The invention relates to software protection. A method is disclosed whereby an original executable, which can be run on a computer device with an execution environment, is wrapped in an alternative execution environment for thereby forming a new executable, and thus calls from the original executable to the operating system of the computer devices can no longer be inspected or manipulated. Hereby, the executable is protected against examination and reverse engineering. | 09-04-2008 |
20080222632 | VIRTUAL MACHINE SYSTEM - A virtual machine system in which a physical CPU busy ratio can be measured in consideration of the status of an assignment of the physical CPU, and the measured CPU busy ratio can be reflected to a CPU busy ratio of each guest OS. An elapsed time counter is provided in a hypervisor, and a hypervisor access interface is provided in each of LPARs and used to read the elapsed time counter from the guest OS. A performance monitor program running on each guest OS calculates, based on a value of the elapsed time counter, the number of sampling timings expected to occur during the time when the physical CPU is not assigned to the LPAR in which the performance monitor program is provided. | 09-11-2008 |
20080222633 | VIRTUAL MACHINE CONFIGURATION SYSTEM AND METHOD THEREOF - A virtual machine configuration system, comprising a virtualizer for, in a virtualization environment in which a plurality of physical resources connected mutually through a network circuit has been arranged on a computer system sectioned into a plurality of partitions, dynamically changing a physical resource configuration and a virtual machine configuration while simultaneously controlling a configuration of the physical resources of the partition and a configuration of virtual resources allotted to virtual machines without exerting an influence over an application service operating on the virtual machine. | 09-11-2008 |
20080244568 | METHOD TO CAPTURE HARDWARE STATISTICS FOR PARTITIONS TO ENABLE DISPATCHING AND SCHEDULING EFFICIENCY - A method, system and computer program product enables the granular collection and utilization of hardware statistical samples for the efficient scheduling and allocation of data processing resources. In particular, a Partition Statistics Capture and Analysis (PSCA) utility utilizes special purpose registers to collect statistical samples, such as: (1) instructions completed; (2) Level2 (L2) cache misses; (3) cycles per instruction (CPI); and/or (4) other statistics selected based on the programming of the PSCA utility. Further, these statistical samples are utilized for the several purposes, including: (1) determining how long (time) the footprint of a partition takes to become established during the “cold start” period, i.e., during system instantiation; (2) detecting movement of the CPI curve in order to determine the (shifted) location of the onset of steady state (i.e., the knee) on the CPI curve; and (3) utilizing the statistical samples to guide dispatch decisions and make tuning recommendations. | 10-02-2008 |
20080244569 | System and Method for Reporting the Trusted State of a Virtual Machine - A system, method, and program product is provided that executes a start sequence of an information handling system that includes a hardware based TPM. Multiple PCRs are stored in the TPM and are initialized to a predetermined state when the start sequence commences. During execution of the start sequence, software modules, including a hypervisor, are loaded the system's memory. PCR values resulting from the loading of the software modules are calculated. The resulting PCR values are compared with expected PCR values. If the PCR values match the expected PCR values, then a virtual environment is created under the hypervisor. The virtual environment includes a VM and a virtual trust platform module (vTPM) that is used by the virtual machine to satisfy the virtual machines TPM requests. | 10-02-2008 |
20080244570 | FACILITATING COMMUNICATION WITHIN AN EMULATED PROCESSING ENVIRONMENT - Communication between processors and I/O communications processes is facilitated. During the communication, shared control blocks and input/output queues are updated without using locks. Instead, a lockless capability is provided to update the queues and control blocks, thereby enhancing system performance and minimizing the need for recovery processes. | 10-02-2008 |
20080244571 | VIRTUAL INTERRUPT PROCESSING IN A LAYERED VIRTUALIZATION ARCHITECTURE - Embodiments of apparatuses, methods, and systems for processing virtual interrupts in a layered virtualization architecture are disclosed. In one embodiment, an apparatus includes virtual machine entry logic, recognition logic, and evaluation logic. The virtual machine entry logic is to transfer control of the apparatus from a host to a guest. The recognition logic is to recognize a virtual interrupt request. The evaluation logic is to determine whether to transfer control from the guest to an intervening monitor in response to the virtual interrupt request. | 10-02-2008 |
20080244572 | METHOD AND APPARATUS FOR ADAPTIVE INTEGRITY MEASUREMENT OF COMPUTER SOFTWARE - Systems and methods are described herein that discuss how a computing platform executing a virtualized environment, in one example, can be integrity verified adaptively and on demand. This may occur at initial runtime, as well as during continued operations, and allows the platform user to install software from various vendors without sacrificing the integrity measurement and therefore the trustworthiness of the platform. | 10-02-2008 |
20080244573 | METHOD AND APPARATUS FOR MANAGING PAGE TABLES FROM A NON-PRIVILEGED SOFTWARE DOMAIN - A virtual machine monitor; and an executive virtual machine to manage page tables in place of the virtual machine monitor are described. Other embodiments may be described and claimed. | 10-02-2008 |
20080244574 | Dynamically relocating devices between virtual machines - A virtual machine monitor may reassign hardware bus devices from one virtual machine to another. The virtual machine monitor may turn a device off, reassign it to a different virtual machine, and then turn the device back on. Device interrupts are remapped from the source virtual machine to the target virtual machine. | 10-02-2008 |
20080244575 | Tessellated virtual machines conditionally linked for common computing goals - In a computing environment, an association and layout of virtual machines exist as a system of multiple applications instantiated for a common computing goal, such as providing a data center with an email system for an enterprise. In that every application need not always be operational or have applicability in every scenario, applications are only instantiated upon actionable requests for various services. Representatively, a communication channel is initialized between at least two applications, but instantiation of one of the applications is delayed until an actionable request between the applications occurs. In this manner, policy or governance can be enforced and/or computing resources can be conserved. Various features relate to defined incoming and outgoing connectors of virtual machines of the applications and their functional interaction to satisfy initial connectivity issues and to later instantiate needed applications. Libraries, software program products, and policy management are other features, to name a few. | 10-02-2008 |
20080244576 | SYSTEM AND METHOD FOR MANAGING RESOURCES OF APPLICATIONS AND THREADS PERFORMED ON JAVA VIRTUAL MACHINE - A method of managing resources related to a Java Virtual Machine (JVM) and an application executed in the JVM is provided. The method includes defining a resource identifier uniquely identifying an arbitrary resource to be; generating a resource consumer for each thread, wherein the resource consumer uses a resource; generating at least one resource manager per resource identifier, wherein the resource manager manages a resource, and storing the at least one resource manager in a resource manager registry; calculating a quantity of resource usage by resource managers generated for all applications and threads in the applications, and storing the calculated quantity of resource usage, wherein the calculating and storing of the quantity are performed by each of the resource managers; and informing about the quantity of resource usage. | 10-02-2008 |
20080244577 | SOFTWARE DELIVERY FOR VIRTUAL MACHINES - One embodiment entails delivering a software payload to guest software in a virtual machine so that the software payload is part of a file system accessible by the guest software, wherein delivery avoids a data path involving a network stack of the virtual machine. | 10-02-2008 |
20080250406 | Virtual Machine Support for Metered Computer Usage - A virtual machine monitor provides a trusted operating environment for a software usage metering application when a qualified virtual machine monitor is loaded as part of trusted boot and when all other programs and operating systems run in containers managed by the virtual machine monitor. The virtual machine monitor may also host a locking application for limiting the functionality of the computer if contractual terms of use are not met. Both the metering and locking applications run at a higher privilege level than ring | 10-09-2008 |
20080250407 | Network group name for virtual machines - Virtual machine (VM) management using a group name. By associating VM registration information with a group name, all VMs running off a single physical machine image can be managed (e.g., blocked or unblocked) simultaneously. A service component captures registration information (e.g., IP address-VM name pair) between a virtual machine and a name server. The IP address-VM name pair is recorded (or stored) in the name server database. Based on the VM pair, a record component generates a group name, and stores the VM pair in association with the group name in the name server database. Blocking of the group name then blocks all VMs associated with the group name. Moreover, queries against the group name will then expose all operational VMs for that host. Updates to the group name record can be made based on registration and deregistration of VMs for a given host machine. | 10-09-2008 |
20080256533 | SYSTEM, METHOD AND COMPUTER PROGRAM PRODUCT FOR EVALUATING A VIRTUAL MACHINE - A method for evaluating a virtual machine, the method includes: monitoring, using an out of band monitor, information exchanged between the virtual machine and a hypervisor; and evaluating a state of the virtual machine by applying a statistical classification process to at least a portion of the monitored information. | 10-16-2008 |
20080256534 | METHOD FOR IMPROVED IMAGE-CUSTOMIZATION BY USE OF EMBEDDED METADATA - The present invention relates to a method for the customization of virtual machine images. The method comprises identifying and retrieving a virtual machine image template. A customized virtual machine image is created from the virtual machine image template. The customized virtual machine image template being created by identifying at least one file-system or memory image that is associated with the virtual machine image template, identifying within the at least one file-system or memory image at least one data set, wherein the at least one data set comprises metadata. The metadata contains information detailing the required execution context customization aspects for at least one specified image subset of the virtual machine. Execution context-specific information that is required to customize the image subset of the virtual machine is retrieved. Further, the image subset of the virtual machine is modified in accordance with the retrieved execution context-specific information. | 10-16-2008 |
20080256535 | Tessellated virtual machines for common computing goals - In a computing environment, an association and layout of virtual machines exist as a system instantiated for a common computing goal, such as providing a data center with an email system for an enterprise. Irrespective of physical computing devices, a template exists for each of the virtual machines according to a role of the common computing goal, including a definition for external connectivity with other virtual machines. From a template library, certain of the virtual machine templates are selected and tessellated into an application functioning to accomplish the computing goal. Collections of tessellated applications may be reposited in a library so that more than one application may be combined with another to achieve yet another computing goal, for example. Ultimately, tessellated applications provide a new computing paradigm to counter present-day computing complexities and cumbersomeness as systems evolve and become more regulated. | 10-16-2008 |
20080256536 | Portable secured computing environment for performing online confidential transactions in untrusted computers - A portable secured computing environment for performing online confidential transactions in an untrusted host computer. The secured computing environment may be loaded from a portable storage device, such as a USB stick, plugged into a peripheral port of the host computer. The secured computing environment may include a virtual machine running under a host operating system of the host computer. A secured operating system may be running in the virtual machine. An online application, such as a web browser in communication with an online service, may be run under the secured operating system. Operation of the online application may be restricted by a security profile. For example, the online application may only access network addresses specifically indicated in a whitelist of the security profile. | 10-16-2008 |
20080256537 | Systems and methods of quota accounting - Embodiments of the invention relate generally to incremental computing. Specifically, embodiments of the invention include systems and methods that provide for the concurrent processing of multiple, incremental changes to a data value while at the same time monitoring and/or enforcing threshold values for that data value. For example, a method is provided that implements domain quotas within a data storage system. | 10-16-2008 |
20080256538 | Storage configurations for tessellated virtual machines - In a computing environment, an association and layout of virtual machines is provided as a system instantiated for a common computing goal, such as providing a data center with an email system for an enterprise. Irrespective of physical computing devices, a template exists for each of the virtual machines according to a role of the common computing goal, including a definition for external connectivity with other virtual machines. From a template library, certain of the virtual machine templates are selected and tessellated into an application functioning to accomplish the computing goal. Storage configurations contemplate physical storage devices variously arranged over the near and short term relative to each of the virtual machine templates and to the tessellated application as a whole. Managers coordinate, allocate and oversee same. | 10-16-2008 |
20080263544 | Computer system and communication control method - A technology is provided, in which pair information of a virtual machine and a real machine on which the virtual machine operates are made related with each other, and are recorded into a shared memory partition of the virtual machine and a control function of virtual machine infrastructure, from the above-described recorded information, a judgment is made as to whether or not a communication-destination virtual machine exists on the same real machine as that of a communication-source virtual machine, and a technology for changing the multiplicity degree in accordance with this judgment, moreover, the above-described recorded information is written when the virtual machine has displaced to another real machine to implement a reduction in the CPU usage ratio in network processing between the operating virtual machines, and to dynamically control the multiplicity degree of the communication path by making full use of location information between the virtual machines. | 10-23-2008 |
20080271014 | Lightweight World Switch - In one embodiment, a processor comprises one or more registers coupled to an execution core. The registers are configured to store an intercept configuration that identifies which of a plurality of intercept events are enabled for intercept during guest execution. Additionally, the intercept configuration identifies, for each enabled intercept event, which of at least two exit mechanisms are to be used in response to detection of the enabled intercept event. The execution core is configured to detect one of the enabled intercept events during execution of a guest and to exit the guest using the exit mechanism identified in the intercept configuration for that detected, enabled intercept event. | 10-30-2008 |
20080271015 | VIRTUAL MACHINE CONTROL - A method comprises receiving a request for data from a client computer. The method further comprises obtaining an identifier of a virtual machine. The virtual machine identifier is associated with the requested data. The method further comprises providing the virtual machine identifier to the client computer. | 10-30-2008 |
20080271016 | HYPERVISOR WITH CLONING-AWARENESS NOTIFICATIONS - A method, information processing system, and computer readable medium for managing virtual machine imaging. The method includes receiving a request for an imaging operation associated with at least one virtual machine. A notification is sent to at least one operating system associated with the at least one virtual machine of the request for the imaging operation. The operating system is determined to be in a state for the virtual machine to be imaged. The request for the imaging operation is granting in response to determining. | 10-30-2008 |
20080271017 | Managing Virtual Machines Using Shared Image - A method for managing a computing system comprises identifying read-only portions of a file system, sharing the read-only portions of the file system among a plurality of virtual machines, and managing the shared read-only portions of the file system as a single unit. | 10-30-2008 |
20080271018 | System and Method for Managing an Assurance System - An assurance system for creating and evaluating a plurality of virtual application environments that emulate and evaluate a plurality of target systems. Information such as network configuration, interface information, and software packages or subsystems are imported into the virtual application environments. The assurance system may be used for purposes of testing, and delivering comprehensive reports of the likely results on the target systems based on results from the virtual application environments, including such things as configuration changes to the environments, environment load and stress conditions, environment security, software installation to the environments, and performance levels of the environments among other things. | 10-30-2008 |
20080271019 | System and Method for Creating a Virtual Assurance System - An assurance system for creating and evaluating a virtual application environment that emulates and evaluates a target system. Information such as network configuration, interface information, and software packages or subsystems are imported into the virtual application environment. The assurance system may be used for purposes of testing, and delivering comprehensive reports of the likely results on the target system based on results from the virtual application environment, including such things as configuration changes to the environment, environment load and stress conditions, environment security, software installation to the environment, and environment performance levels among other things. | 10-30-2008 |
20080271020 | System and method for working in a virtualized computing environment through secure access - A personalized virtual computing system includes a plurality of client personal computers (PCs), each comprising at least a web browser and a communications client, a plurality of virtual machine hosts each comprising a communications server for communicating with the client PCs via said communications client, a web server comprising a client-customized web site, a directory database including identification information of authorized users and a database including a reservation table. | 10-30-2008 |
20080276235 | VIRTUAL MACHINE MANAGEMENT USING PROCESSOR STATE INFORMATION - A method, system, and apparatus are provided for virtual machine management. According to one embodiment, processor state information associated with a processor is evaluated, and the processor state information is used to manage one or more virtual machines. | 11-06-2008 |
20080282241 | Method and Apparatus to Support Virtualization with Code Patches - A processing system executes an emulation patch for a guest virtual machine (VM) of the processing system. In one embodiment, the emulation patch includes data to facilitate identification of a routine to emulate a guest instruction. After executing the emulation patch for the guest VM, the processing system may use the data to find an emulation routine for emulating the guest instruction. The processing system may transfer control from the guest VM to a virtual machine monitor (VMM) in response to execution of the emulation patch, without saving a trap frame. The VMM may then find and execute the emulation routine for the guest instruction without decoding the guest instruction. A break instruction with an immediate value, for example, may be used for the emulation patch. The immediate value may be used for finding the emulation routine. Other embodiments are described and claimed. | 11-13-2008 |
20080282242 | SIMULATION MANAGEMENT WITHIN A GRID INFRASTRUCTURE - A solution for managing a set of simulations within a grid infrastructure is provided. In particular, a solution is provided in which distinct simulations (e.g., virtual worlds, distributed simulations, and/or the like) and/or simulation instances (e.g., virtual world instances) can be concurrently managed in a single grid infrastructure. | 11-13-2008 |
20080282243 | Management of Computer Systems by Using a Hierarchy of Autonomic Management Elements - A method and system for managing a computing system by using a hierarchy of autonomic management elements are described. The autonomic management elements operate in a master-slave mode and negotiate a division of management responsibilities regarding various components of the computing system. | 11-13-2008 |
20080288940 | Dynamic Selection and Application of Multiple Virtualization Techniques - Autonomous selection between multiple virtualization techniques implemented in a virtualization layer of a virtualized computer system. The virtual machine monitor implements multiple virtualization support subsystems that each provide for the comprehensive handling of potential virtualization exceptions. A virtual machine monitor resident performance monitor computes relative virtualization overhead costs that are and would be incurred in using different virtualization support subsystems for virtualization exceptions that occur in executing a guest operating system within a defined interval. Dependent on the relative virtualization overhead costs determined, the virtual machine monitor resident virtualization selection control switches the virtual machine monitor to use the optimal virtualization support subsystem for handling virtualization exceptions that occur over a second defined interval. | 11-20-2008 |
20080288941 | Adaptive dynamic selection and application of multiple virtualization techniques - Autonomous selection between multiple virtualization techniques implemented in a virtualization layer of a virtualized computer system. The virtual machine monitor implements multiple virtualization support processors that each provide for the comprehensive handling of potential virtualization exceptions. A virtual machine monitor resident virtualization selection control is operable to select between use of first and second virtualization support processors dependent on identifying a predetermined pattern of temporally local privilege dependent instructions within a portion of an instruction stream as encountered in the execution of a guest operating system. | 11-20-2008 |
20080288942 | Monitoring performance of a logically-partitioned computer - An apparatus, system, and storage medium that in an embodiment collect a performance metric of a first partition in a logically-partitioned computer. If the difference between the performance metric and an expected performance metric exceeds a threshold, then a job or another partition is shut down or suspended. The expected performance metric is calculated based on the performance that is expected if the first partition is the only partition. | 11-20-2008 |
20080295094 | INTEGRATED PLACEMENT PLANNING FOR HETEROGENOUS STORAGE AREA NETWORK DATA CENTERS | 11-27-2008 |
20080295095 | METHOD OF MONITORING PERFORMANCE OF VIRTUAL COMPUTER AND APPARATUS USING THE METHOD | 11-27-2008 |
20080295096 | DYNAMIC PLACEMENT OF VIRTUAL MACHINES FOR MANAGING VIOLATIONS OF SERVICE LEVEL AGREEMENTS (SLAs) | 11-27-2008 |
20080301673 | Information Terminal, Computer Resource Managine Method, and Virtual Machine Execution Switching Method - An in-vehicle terminal ( | 12-04-2008 |
20080301674 | SYSTEMS AND METHODS FOR VIRTUAL DEPLOYMENT - An embodiment relates generally to a method of providing computer services. The method includes receiving at least one user-specified requirements for a computer system instantiation and developing a configuration file base on the at least one user-specified requirements. The method also includes instantiating a virtual machine based on the configuration file and providing the virtual machine as the instantiation of the computer system for remote access. | 12-04-2008 |
20080301675 | System and Method for Graphics Remapping in Hypervisor - A hypervisor operating system instantiates a virtual video driver to a main operating system that supports only one type of graphics adapter. The virtual driver handles graphics remapping among plural different display drivers associated with plural different display monitors to enable a computer to output data on multiple different monitors even though the main O.S. supports only one type of display driver. | 12-04-2008 |
20080301676 | Method for Delivering, Testing, and Applying Software Patches or Other Changes to a Conventionally Installed Application in Virtual Application Containers - A method for updating an application on a host system includes steps or acts of: installing an application on the host system; installing a virtual machine monitor on the host system, installing a first virtual container on the host system, wherein the first virtual container comprises at least one update to the installed application; and instantiating the first virtual container under the virtual machine monitor in a mode wherein the host system can be accessed but not modified and wherein instantiating the first virtual container includes updating the installed application in the first virtual computer and running the updated application in the first virtual container. The method may also include a step of confirming that the updated application runs properly. Optionally, the virtual container may be devirtualized. A system for updating an application on a host system includes: at least one virtual machine monitor, at least one virtual container; at least one application; at least one update to the application contained within the at least one virtual container; and a processor configured for carrying out the above method steps. The system may also include an input multiplexer configured for mediating access to the at least one virtual container; and an output analyzer configured for confirming that the updated application performed as expected in at least one virtual container. | 12-04-2008 |
20080307414 | CREATING A VIRTUAL MACHINE IMAGE WITH A SOFTWARE DEPLOYMENT SYSTEM - A novel method is disclosed for capturing an installed state of a conventional application and converting the captured state into a virtual application. The novel method starts with a bare machine in a known state, preferably soon after the OS was installed. Installation scripts are used to install one or more software applications along with required components and dependencies. Other artifacts can be added and configured such as files, trees, directories, entries, data, values, among others. These also may include updates to various system databases, such as the Windows registry in which certain metadata is stored. The installed applications are tested and verified to work as desired. Undesired artifacts can be deleted manually or removed by the OS. The state of the virtual machine with the installed applications is captured. The installed applications can be tested on the frozen virtual machine. If the user determines that the installed applications are working properly, a virtual machine image is prepared and then written out. The resulting output is a new populated virtual application container that encapsulates the applications installed and configured by the user. If the user determines that one or more installed applications do not work properly during testing, then the frozen virtual machine can be unfrozen and any deficiencies corrected. The virtual machine image is stored as a launch document and a set of shards. The virtual machine image represents the state of the virtual machine along with the installed applications at the time the preparation virtual machine was frozen. | 12-11-2008 |
20080307415 | Tessellated applications for user computing environments - A computing policy establishes computing rights of multiple users according to their roles, such as workplace job descriptions. Templates, in a library, each define a computing configuration of at least one virtual machine and any computing application to be executed by the virtual machine. A plurality of user applications are defined and configured from the templates and each corresponds to one or more roles of the users. Users select from the applications, according to their role. In this manner, policy or governance can be enforced and/or computing resources conserved. Various other features relate to: tessellating the applications from the templates; loading and configuring startup conditions of the applications; and mapping the applications to user viewing areas, such as a viewing boundary of a monitor or a virtual viewing area within the viewing boundary. Libraries, managers, software program products, and policy management are other features, to name a few. | 12-11-2008 |
20080320474 | DYNAMIC, TEMPORARY RESOURCE POOLS FOR USE IN VIRTUALIZATION - A method of implementing virtualization involves dynamic, temporary resource pools. A virtualizing subsystem is capable of partitioning a plurality of physical resources to create resource pools. The virtualizing subsystem is further capable of creating separate environments that logically isolate applications from each other, and assigning a created separate environment to a created resource pool. A separate environment is configured by defining properties of the separate environment. Configuring the separate environment includes specifying at least one resource pool attribute. When the separate environment is started, a temporary resource pool is created from available physical resources and the separate environment is assigned to the temporary resource pool. The temporary resource pool is created in accordance with the at least one resource pool attribute specified when the separate environment was configured. The temporary resource pool may be destroyed when the separate environment is shutdown. | 12-25-2008 |
20090007099 | MIGRATING A VIRTUAL MACHINE COUPLED TO A PHYSICAL DEVICE - A virtual machine with a directly assigned network device and supported on a host may be migrated to other host without loss of network connectivity. Such migration is enabled by bonding a physical network interface driver (NIC) and a virtual NIC driver of the host. A virtual machine monitor of the host may determine whether the virtual machine is to be migrated to the other host. The virtual machine monitor may allow hot-plug removal of the network device. However, the virtual machine may still maintain network connectivity through the virtual NIC. The virtual machine may be migrated to the other host. After migration, the virtual machine may continue to maintain the network connectivity either through the virtual NIC driver or bond with a physical NIC driver of the network device coupled to the other host. | 01-01-2009 |
20090007100 | Suspending a Running Operating System to Enable Security Scanning - Techniques described herein enable virtualizing a processor into one or more virtual machines and suspending an operating system of one of the virtual machines from outside of the operating system environment. Once suspended, these techniques capture a snapshot of the virtual machine to determine a presence of malware. This snapshot may also be used to determine whether an unauthorized change has occurred within contents of the virtual machine. Remedial action may occur responsive to determining a presence of malware or an unauthorized change. | 01-01-2009 |
20090007101 | OPTIMAL POLICIES FOR LOAD BALANCING FOR DISTRIBUTED AND STRATEGIC AGENTS (MORE TECHNICALLY, OPTIMAL COORDINATION MECHANISMS FOR MACHINE SCHEDULING) - Providing for scheduling tasks in a distributed system based on an inefficiency of each task on a particular machine as compared with available networked machines is described herein. By way of example, a distribution component can receive a plurality of tasks at a machine assigned by at least one independent agent. In addition, an ordering component can schedule each task on a machine as a function of non-decreasing inefficiency. Such a policy can be implemented locally at the machine, minimizing inter-device communication, while only marginally increasing completion time for the set of tasks. In such a manner, the subject disclosure provides for load balancing of distributed systems in a manner that utilizes fewer resources than conventional approaches. | 01-01-2009 |
20090007102 | Dynamically Computing Reputation Scores for Objects - Tools and techniques for dynamically computing reputation scores for objects are described herein. The tools may provide machine-readable storage media containing machine-readable instructions for receiving requests to dynamically compute reputation scores for the objects, for instantiating protected virtual environments in which to execute the objects, and for computing the reputation score based on how the object behaves when executing within the virtual environment. | 01-01-2009 |
20090007103 | INJECTING VIRTUALIZATION EVENTS IN A LAYERED VIRTUALIZATION ARCHITECTURE - Embodiments of apparatuses, methods, and systems for injecting virtualization events in a layered virtualization architecture are disclosed. In one embodiment, an apparatus includes virtual machine entry logic, recognition logic, and evaluation logic. The virtual machine entry logic is to initiate a transfer of control of the apparatus from a host to a guest running on a virtual machine. The recognition logic is to recognize a request from the host to inject a virtualization event into the virtual machine. The evaluation logic is to identify an intervening monitor to handle the virtualization event. | 01-01-2009 |
20090007104 | PARTITIONED SCHEME FOR TRUSTED PLATFORM MODULE SUPPORT - The subject mater herein relates to processing of sensitive data and, more particularly, to a partitioned scheme for trusted platform module support. Various embodiments provide systems, methods, and software that instantiate one or more emulated trusted platform modules in respective sequestered processor cores. In some embodiments, a trusted platform module in instantiated in a processor core, sequestered for the trusted platform module, for each operating system or virtual machine operating on a computing device. The operating system may then communicate with the appropriate trusted platform module over a secure communication channel, such as an interpartition bridge. | 01-01-2009 |
20090007105 | Updating Offline Virtual Machines or VM Images - Methods and systems for updating a virtual machine image. The virtual machine image may be stored as a collection of one or more virtual hard disk files. The virtual machine image is rendered to file-system data. Rendering the virtual machine image to file-system data may comprise mounting the virtual machine image's virtual hard disk drives. An analysis engine is invoked to scan the exposed file-system data to determine the update status of software constructs of the virtual machine image and data indicative of the scanning may be stored. The virtual machine image may be updated while inactive or may be deployed and activated in a protected environment for updating. | 01-01-2009 |
20090007106 | Virtual Machine Smart Migration - Migration mechanisms are disclosed herein that smartly transfer data among virtual machines, minimizing the down time of migration of such machines but maximizing the consistent state of data stored thereon. Specifically, data can be classified into three types: low volatility data (such as hard disk data), high volatility data (such a random access memory data), and immutable data (such as read only data). This data can be migrated from a source virtual machine to a target virtual machine by sending the immutable data along with the low volatility data first—before the source virtual machine has stopped itself for the migration process. Then, after the source virtual machine has stopped, high volatility data and (again) low volatility data can be sent from the source to the target. In this latter case, only differences between the low volatility data may be sent (or alternatively, new low volatility data may be sent). | 01-01-2009 |
20090007107 | Leveraging transactional memory hardware to accelerate virtualization emulation - Various technologies and techniques are disclosed for using transactional memory hardware to accelerate virtualization or emulation. One or more central processing units are provided with transactional memory hardware that is operable to accelerate virtualization. The transactional memory hardware has a facility to maintain private state, a facility to render memory accesses from other central processing units visible to software, and support for atomic commit of the private state. The transactional memory hardware can be used, for example, to facilitate emulation of precise exception semantics. The private state is operable to enable an emulated state to remain inconsistent with an architectural state and only synchronized on certain boundaries. An optimized sequence of instructions is executed using chunk-accurate simulation to try and achieve a same end effect. | 01-01-2009 |
20090007108 | Arrangements for hardware and software resource monitoring - In one embodiment a method for accounting processing resources expended on an activity is disclosed. The method can include determining a task to be performed by a domain, where the task can utilize at least one hardware resource and at least one software resource. The method can monitor and correlate events that are only visible as hardware events with events that are only visible as software events. In one embodiment, this capability is applied to virtual machine configurations on platform power-managed systems to provided correlated platform performance state characteristics on virtual machine, workload or thread level. The method can also combine an output metric of the hardware monitor with an output metric of the software monitor to provide an accounting of resources utilized by the task. | 01-01-2009 |
20090007109 | METHOD AND APPARATUS FOR RECOGNIZING PROCESSES IN GOS BY VMM - The present invention provides a method apparatus for recognizing a process in a guest operation system by a virtual machine monitor, and the method comprises: step | 01-01-2009 |
20090007110 | INTERRUPT PROCESSING METHOD AND SYSTEM - A method for interrupt processing includes setting a buffer for buffering data packets received by a front-end or back-end of the virtual machine and setting a timer for timing data buffering time; determining, after receiving the data packets from the front-end or the back-end, whether the received data packets are interacting data packets and determining whether to enable or postpone the timer, and buffering the received data packets by the buffer and enabling the timer in the case that the data packets are not the interacting data packets; and sending the buffered data packets through a virtual machine manager to the back-end or the front-end of the virtual machine for processing in the case that the buffer reaches a maximum capacity limit or the timer reaches a predetermined time. | 01-01-2009 |
20090007111 | LOGGING AND REPLAYING INPUT/OUTPUT EVENTS FOR A VIRTUAL MACHINE - Methods for logging and replaying input/output (I/O) events for a virtual machine (VM). The I/O events may be asynchronous or synchronous. In particular, one embodiment is a computer-implemented method for logging input/output (I/O) events for a virtual machine, the method including: executing the virtual machine from a checkpoint; and logging external events, including I/O events; wherein logging an I/O event comprises logging the event, and then, logging I/O data relating to the I/O event. | 01-01-2009 |
20090007112 | Method for controlling a virtual machine and a virtual machine system - A next-generation OS with a virtualization feature is executed as a user program on a first virtual processor by selecting, in response to a cause of a call for a host VMM, one of a guest status area ( | 01-01-2009 |
20090013320 | Runtime Machine Analysis of Applications to Select Methods Suitable for Method Level Caching - A runtime machine manages a selected disk space allocated to the runtime machine by the operating system and manages a separate method cache within the selected virtual disk space. The runtime machine controls caching within the method cache of a separate result of at least one method of the application marked as cache capable. For a next instance of the method detected by the runtime machine, the runtime machine accesses the cached separate result of the method in lieu of executing the method again. The runtime machine marks a method as cache capable by analyzing the types of objects within the argument object graph and result object graph of a method. If the runtime machine detects only primitive type objects, then the runtime machine marks the method so that the result of the method will be stored in the method cache. | 01-08-2009 |
20090013321 | MANAGING VIRTUAL COMPUTERS - Embodiments are disclosed for managing a plurality of virtual computers in a virtual environment. According to one embodiment, a method includes creating a virtual group. The virtual group includes a plurality of virtual computers having a relevant characteristic. An action to perform on the virtual group is received, and the action is performed on each of the virtual computers in the virtual group. | 01-08-2009 |
20090019437 | Application management and execution system and method thereof - The invention discloses an application management and execution system and a method thereof. The application management and execution system comprises a virtual machine monitor for managing at least one virtual machine; the at least one virtual machine for processing at least one application, and storing, separately and respectively, application data used by each application and platform data required by the virtual machine for processing the application in one or more storage disk (persistent storage), and reading the application data and the platform data from the one or more storage devices respectively. The at least one application executing on the virtual machine may share the platform data in the one or more storage devices. When the virtual machine executes the at least one application, it may modify the application data in the storage devices. | 01-15-2009 |
20090024994 | Monitoring System for Virtual Application Environments - A monitoring system for virtual application environments comprising: at least one physical machine executing a first operating system; at least one virtual machine operating on at least one of the at least one physical machines, each such virtual machine operating a second operating system; and an agent program operating on each of the at least one physical machines, where, each agent program is operable to obtain a first set of metrics from the first operating system, such first set of metrics including metrics in respect of each virtual machine as viewed by the first operating system, and operable to connect to the second operating system of each virtual machine hosted on the physical machine the agent program operates on and obtain a second set of metrics in respect of the virtual machine from the second operating system, the agent program further operable to communicate the first and second sets of metrics to a manager program. | 01-22-2009 |
20090024995 | Dynamic service topology - A method and apparatus for a dynamic service topology. One service is assigned to a virtual machine. The virtual machine is assigned to a physical machine on a network. The service of the virtual machine is transferable from the physical machine to another physical machine on the network by moving the virtual machine. | 01-22-2009 |
20090031302 | METHOD FOR MINIMIZING RISKS OF CHANGE IN A PHYSICAL SYSTEM CONFIGURATION - Risks of change to a physical configuration are minimized. A request for a change to the physical system included in the physical configuration is detected, and all applications the physical systems that would be affected by the requested change are identified. All the applications and physical systems that would be affected by the requested change are replicated on a virtual system, including applications and the physical system to which the requested change is targeted and applications and physical systems that are dependent on the applications and the physical system to which the requested change is targeted. The requested change is applied to the virtual system. The virtual system is tested to determine whether the applied change produces desired results. If the applied change products the desired results, the requested change is applied to the physical system. | 01-29-2009 |
20090031303 | METHOD FOR SECURING THE EXECUTION OF VIRTUAL MACHINES - A method for executing a privileged virtual machine (VM) in a secured environment. The method comprises mapping virtual address space of the privileged virtual machine (VM) to start at address zero of a physical memory; configuring memory address space of emulated and real peripheral devices on an interconnect bus; and blocking the privileged VM's operating system from re-configuring the memory address space of the interconnect bus. | 01-29-2009 |
20090031304 | Method and device for scheduling true CPU resources for a virtual machine system - A method and a device for scheduling true CPU resources for a virtual machine system are disclosed. In one aspect a virtual machine monitor (VMM) acquires information about loads on virtual CPUs (VCPUs), and the VMM assigns the true CPU resources based on the information about the loads on the VCPUs in such a manner that more true CPU resources are assigned to a VCPU with a higher load. With the method and device according to certain embodiments, it is possible to assign the true CPU resources based on the information about the loads on the VCPUs in such a manner that more true CPU resources are assigned to a VCPU with a higher load. Further, certain embodiments are implemented directly by the VMM irrespective of types of upper-level GOSs, and thus is versatile. | 01-29-2009 |
20090037905 | METHOD FOR TRANSFERRING INVENTORY BETWEEN VIRTUAL UNIVERSES - The present invention provides a computer implemented method for transferring an item from a source virtual universe to a target virtual universe. A target virtual universe host opens a session between a source virtual universe host and itself. Next, it determines that the source virtual universe host is authorized to transmit the item to the target virtual universe host. Next, it receives a command from a client computer to transfer the item from the source virtual universe host to the target virtual universe host. Next, it receives item data in the target virtual universe host. | 02-05-2009 |
20090037906 | PARTITION ADJUNCT FOR DATA PROCESSING SYSTEM - A partition adjunct is provided for a logical partition running above a hypervisor of a data processing system. The partition adjunct, which is a separate dispatchable partition from an instantiating logical partition, provides one or more services to the logical partition. A service request received from the logical partition is processed by the partition adjunct utilizing virtual address space donated to the partition adjunct from the logical partition. The partition adjunct and the logical partition share a common virtual address to real address page table, and context switching the current state machine from the logical partition to the partition adjunct occurs without invalidating or modifying state data of selected memory management and address translation hardware of the data processing system. In a hardware multithreaded system, the partition adjunct is dispatched on a single thread, while another thread continues to run in the logical partition initiating the service request. | 02-05-2009 |
20090037907 | CLIENT PARTITION SCHEDULING AND PRIORITIZATION OF SERVICE PARTITION WORK - A method in a data processing system is provided for processing a service request of a client partition. The method includes: obtaining by a service partition of the data processing system the service request from the client partition, wherein both the client and service partitions execute above a hypervisor of the data processing system; and processing the service request by the service partition utilizing a processor quantum assigned to the client partition and donated by the client partition to the service partition. The client partition controls scheduling of the service partition by queuing the service request at the client partition until the client partition decides to proceed with execution of the service request by the service partition. In one implementation, the service partition is a partition adjunct of the data processing system, which utilizes donated virtual address space of the client partition. | 02-05-2009 |
20090037908 | PARTITION ADJUNCT WITH NON-NATIVE DEVICE DRIVER FOR FACILITATING ACCESS TO A PHYSICAL INPUT/OUTPUT DEVICE - Dedicated access is provided to a physical input/output (I/O) device which is non-configurable by an initiating logical partition. Access is established by: initiating, by the logical partition, creation of a partition adjunct; invoking a hypervisor of the data processing system to instantiate the partition adjunct with resources donated from the initiating logical partition, the donated resources including a donated virtual address space of the logical partition and the physical I/O device; creating, by the hypervisor, the partition adjunct and assigning the donated virtual address space and donated physical I/O device to the created partition adjunct; and interfacing, by the hypervisor, the logical partition and the created partition adjunct, the interfacing including providing the logical partition with a virtual I/O device which replaces the donated physical I/O device, and which is configurable by the logical partition. | 02-05-2009 |
20090037909 | Methods and Systems for Running Multiple Operating Systems in a Single Mobile Device - Methods and systems for running multiple operating systems in a single embedded or mobile device (include PDA, cellular phone and other devices) are disclosed. The invention allows a mobile device that normally can only run a single operating system to run another operating system while preserving the state and data of the original operating system. Guest OS is packaged into special format recognizable by the host OS that still can be executed in place by the system. The Methods include: •Change the memory protection bits for the original OS; •Fake a reduced physical memory space for guest OS; •Use special memory device driver to claim memories of host OS; •Backup whole image of the current OS and data to external memory card. | 02-05-2009 |
20090044186 | SYSTEM AND METHOD FOR IMPLEMENTATION OF JAVA AIS API - A computer system includes a cluster of one or more nodes corresponding to a processor and representing a first execution layer, the node being adapted to execute an application component; and an application interface adapted to model a virtual machine as a secondary node of the cluster operating as a second execution layer on the first execution layer; wherein the application interface is adapted to manage one or more non-virtual machine application components as application components executing on the first execution layer and one or more virtual machine application components as application components executing on the second execution layer. | 02-12-2009 |
20090044187 | Methods And Apparatus For Creating An Isolated Partition For A Virtual Trusted Platform Module - A data processing system isolates a virtual trusted platform module (vTPM) manager in the processing system from other management software in the processing system. In one example process, the processing system launches a virtual machine monitor (VMM) that includes a memory-mapped input/output (MMIO) trap. The processing system also launches a vTPM manager in a first virtual machine (VM). In addition, the processing system launches a second VM to contain virtual machine management programs other than the vTPM manager and the MMIO trap. Other embodiments are described and claimed. | 02-12-2009 |
20090055821 | SYSTEMS, METHODS, AND COMPUTER PRODUCTS FOR JUST-IN-TIME COMPILATION FOR VIRTUAL MACHINE ENVIRONMENTS FOR FAST APPLICATION STARTUP AND MAXIMAL RUN-TIME PERFORMANCE - Systems, methods, and computer products for just-in-time compilation for virtual machine environments for fast applications start-up and maximal run-time performance. Exemplary embodiments include a just in time compilation method for a virtual machine environment coupled to a memory, including identifying a program structure for compilation, creating a low optimization compiled version of the program structure that is relocatable in the memory, storing into a persistent cache the low optimization compiled version of the program structure that is relocatable and relocating the low optimization compiled version of the program structure into a virtual machine address space in the memory, wherein relocating the low optimization compiled version of the program structure includes transforming the low optimization compiled version to a compiled version with fixed addresses in the memory that can be executed. | 02-26-2009 |
20090055822 | ON-DEMAND ACCESS TO A VIRTUAL REPRESENTATION OF A PHYSICAL COMPUTER SYSTEM - Various embodiments of a system and method for accessing a virtual representation of a first physical computer system are disclosed. A first backup image of the first physical computer system may be stored on a server computer system, where the first backup image specifies a first state of the first physical computer system. In response to a request to access a virtual representation of the first physical computer system, a virtual computer may be instantiated from the first backup image on the server computer system. A state of the virtual computer may be modified in response to user input to the virtual computer. A second backup image of the virtual computer may be created, where the second backup image specifies the modified state of the virtual computer. The second backup image may be transferring and applied to the first physical computer system. | 02-26-2009 |
20090064136 | UTILIZING SYSTEM CONFIGURATION INFORMATION TO DETERMINE A DATA MIGRATION ORDER - Methods, systems and computer program products for utilizing system configuration information to determine a data migration order. The method includes computer instructions for establishing communication from a source virtual machine to a target virtual machine, the source virtual machine including a memory. The configuration information associated with the source virtual machine is determined and utilized to determine an order of migration for pages in the memory. The pages in the memory are transmitted to the target virtual machine in the order of migration. | 03-05-2009 |
20090064137 | Method and Apparatus for Determining a Service Cluster Topology Based on Static Analysis - The service assignment tool analyzes a service to determine whether the service can execute on a cluster. If the service cannot execute on a cluster, the service is assigned to a single virtual machine. The service assignment tool identifies non-cluster friendly services by performing a static analysis on the bytecode of the service. The bytecode of the service is analyzed by comparing each segment of bytecode to a list of known good and bad coding conventions. If each segment of bytecode in a service meets the good coding convention criteria, then the service is cluster friendly. If one segment of bytecode does not meet the good coding convention criteria, then the entire service is considered to be not cluster friendly. | 03-05-2009 |
20090064138 | APPARATUS, SYSTEM, AND METHOD FOR GATHERING TRANSACTION STATISTICS DATA FOR DELIVERING TRANSACTION STATISTICS DATA AS JAVA OBJECTS VIA JMX NOTIFICATION - An apparatus, system, and method are disclosed for gathering transaction statistics data for a real time transaction system that delegates data persistence of the transaction statistics data to one or more clients by utilizing a JMX notification system. Specifically, transaction statistics data is collected in real time for each transaction executed by a real time transaction system; a JMX notification is generated that includes the transaction statistics data; and an MBean server broadcasts the JMX notification object to listeners, which then capture and persist the transaction statistics data on the client side, thereby minimizing the impact of data logging on the transaction system. | 03-05-2009 |
20090070760 | Virtual Machine (VM) Migration Between Processor Architectures - A system and method for performing a VM migration which manages a cluster of machines in a pool for live migration to the same feature set or behavior. In certain embodiments, machines within the pool can be configured to emulate a certain feature set to enable a VM migration amongst the similar pools. The emulation can be by either masking reporting of a feature set or enabling/disabling a feature set. The handling of emulation registers within the hardware occurs at a firmware level rather than an operating system or hypervisor level. | 03-12-2009 |
20090070761 | System and method for data communication with data link backup - According to one embodiment of the invention, there is provided a data communication device for processing a data stream, including a runtime virtual machine (VM) and a mirror VM. The two VMs are independently running on the same physical hardware of the data communication device. The runtime VM is operable for receiving the data stream and establishing a plurality of data links to process the data stream. The mirror VM is operable for backing up the data links established by the runtime VM. The data stream is switched from the runtime VM to the mirror VM for processing if a predetermined condition occurs in the runtime VM. | 03-12-2009 |
20090077550 | VIRTUAL MACHINE SCHEDULAR WITH MEMORY ACCESS CONTROL - A computer system comprises a virtual machine scheduler that dynamically and with computed automation controls non-uniform memory access of a plurality of cells in interleaved and cell local configurations. The virtual machine scheduler maps logical central processing units (CPUs) to physical CPUs according to preference and solves conflicts in preference based on a predetermined entitlement weight and iterative switching of individual threads. | 03-19-2009 |
20090077551 | VIRTUAL MACHINE IMAGE BUILDER FOR AUTOMATED INSTALLATION OF FULLY-VIRTUALIZED OPERATING SYSTEM - A customized image can be generated from a specified generic image and modifications. The contents of the generic image can be extracted and modified according to the specified modifications. The modifications can include, among other possibilities, a response file used in automating the installation of the customized image. The customized image can then be generated from the modified contents, and then installed as a guest operating system in a fully-virtualized operating system. | 03-19-2009 |
20090077552 | METHOD OF CHECKING A POSSIBILITY OF EXECUTING A VIRTUAL MACHINE - To determine, when a virtual machine is executed by an arbitrary machine, whether the virtual machine can access computer resources required for the virtual machine, provided is a method of checking a possibility of executing a virtual machine including a first physical computer for executing a first virtual machine and a second physical computer capable of executing a virtual machine. The method includes the steps of: acquiring information on first logical resources; acquiring setting information set to a adaptor of the first physical computer so that the first virtual machine accesses the first logical resources; listing second logical resources accessible when the first virtual machine is executed by the second physical computer by setting the setting information to a adaptor of the second physical computer; and determining whether the first virtual machine can be executed by the second physical computer by comparing the first and second logical resources. | 03-19-2009 |
20090083734 | METHODS AND SYSTEMS FOR PRESERVING CERTIFIED SOFTWARE THROUGH VIRTUALIZATION - A method for reusing certified software applications without recertification is provided. The method includes creating a virtual machine, that includes at least one of the software applications, the virtual machine including an operating system and at least one interface, certifying the virtual machines, and deploying the certified virtual machine in at least one representation of an underlying hardware platform. | 03-26-2009 |
20090083735 | HIGH AVAILABILITY SYSTEM AND EXECUTION STATE CONTROL METHOD - A high availability system includes a first computer on which a first virtual computer and a first hypervisor managing the first virtual computer operate, and a second computer on which a second virtual computer and a second hypervisor managing the second virtual computer operate. The first hypervisor includes an acquisition unit which acquires synchronization information associated with an event, wherein the event has occurred in the first virtual computer and accompanies an input to the first virtual computer, and a transmission unit which transmits the acquired synchronization information to the second hypervisor. The second hypervisor includes a reception unit which receives the synchronization information from the first hypervisor, and a control unit which performs control to match an input to the second virtual computer with an input to the first virtual computer in accordance with the received synchronization information. | 03-26-2009 |
20090083736 | VIRTUALIZED COMPUTER, MONITORING METHOD OF THE VIRTUALIZED COMPUTER AND A COMPUTER READABLE MEDIUM THEREOF - A virtualized computer includes a CPU which shifts between a host mode and a guest mode. The CPU shifts executes either a guest OS or a virtual machine monitor (VMM) in the guest mode and executes a virtual machine monitor-monitor (VMMM) in the host mode. The VMM monitors the guest OS and the VMMM monitors the VMM. | 03-26-2009 |
20090089780 | METHOD AND APPARATUS TO CONVEY PHYSICAL RESOURCE RELATIONSHIPS - In general, embodiments of the invention relates to a method for conveying hardware resources from a host (OS) executing on a computer system. The method includes obtaining host hardware information by the host OS, wherein the host hardware information specifies a plurality of physical hardware components of the computer system, sending the host hardware information to a guest OS executing within the host OS, generating, by the guest OS, a resource request using the host hardware information, sending, by the guest OS, the resource request to the host OS, and in response to receiving the resource request, allocating, by the host OS, guest hardware resources, where the guest hardware resources include at least one of the physical hardware components in the resource request. | 04-02-2009 |
20090089781 | System for and Method of Migrating One or More Virtual Machines - A logical container may be created to facilitate the quick and efficient migration of one or more virtual machines from a source server to a destination server. The logical container may contain all of the files and state information related to the one or more virtual machines. The one or more virtual machines may be migrated by dismounting the logical container from a source server and mounting the logical container to a destination server. A management service may be include to facilitate batch migration of multiple virtual machines from a source server to a destination server. | 04-02-2009 |
20090094603 | In-Place Conversion of Virtual Machine State - One embodiment of the present invention includes a method of making a bootable image for a computer, wherein the bootable image corresponds to a first virtual machine but is bootable on a target machine, the method comprising: (a) instantiating the first virtual machine within a virtualization system and executing computations thereof, the computations operating upon an encapsulation of virtual machine state for the instantiated virtual machine; and (b) introducing into the encapsulation, a boot loader that defines at least one transformation to be performed on the encapsulation to allow the target machine to boot from the virtual machine state. | 04-09-2009 |
20090094604 | VIRTUAL DEVICE MANAGEMENT METHOD AND MANAGEMENT APPARATUS - A management apparatus ( | 04-09-2009 |
20090100423 | Creating a Virtual Machine Containing Third Party Code - A Virtual Machine (VM) is created utilizing a virtual Operating System (OS) disk and virtual application disks, each of which may be from disparate third party suppliers. One or more files in the virtual application disk are then linked to a specific file system location (node) in the virtual OS disk. | 04-16-2009 |
20090100424 | Interrupt avoidance in virtualized environments - Various embodiments of a virtualization system and method are provided herein, wherein computer resources are emulated and simulated by a hypervisor system. In order to provide improved signalization within a virtual computer system, various embodiments may use a virtual CPU for signalizing an external event, wherein the virtual CPU is used temporarily by a signalization routine for processing the signalization of the event. | 04-16-2009 |
20090100425 | METHOD AND SYSTEM FOR CHANGING EXECUTION ENVIRONMENTS DURING APPLICATION EXECUTION - Some embodiments of the present invention provide a system that executes an application. During operation, the system starts the application using a web browser. Next, the system receives an execution environment change notification from a user of the application, and in response to the notification transitions the application to a second execution environment without interrupting the execution of the application. | 04-16-2009 |
20090106754 | Handling a device related operation in a virtualization enviroment - Methods, system and machine-readable medium are described to handle a device related operation in a virtualization environment. In some embodiment, a kernel component of a virtual machine monitor may determine that a device related operation happens in a virtual machine through a operation transition from the virtual machine to the kernel component; and may determine whether the device related operation can be handled by a first virtual hardware device installed inside of the kernel component of the virtual machine monitor. In other embodiment, the kernel components may initiate an interrupt by the first virtual hardware device; and inject the interrupt from the first virtual hardware device to the virtual machine through another operation transition from the kernel component to the virtual machine. | 04-23-2009 |
20090113420 | SYSTEM AND METHOD FOR UTILIZING A VIRTUALIZED COMPUTE CLUSTER AS AN EXECUTION ENGINE FOR A VIRTUAL MACHINE OF A STORAGE SYSTEM CLUSTER - A system and method employs one or more clients of a virtualized compute cluster as an execution engine for a portion of a storage operating system implemented as a virtual machine on a storage system node of a storage system cluster. If there is processing bandwidth of a client that is not fully utilized and the load on the storage system node is high, the portion of the storage operating system is ported to the client of the compute cluster in a manner that externally distributes the storage architecture from the storage system cluster. Advantageously, the processing performance of the storage system cluster is improved by, among other things, offloading some of the network processing load from the storage system node. | 04-30-2009 |
20090113421 | USING SMART OBJECTS IN A VIRTUAL UNIVERSE TO CONSERVE COMPUTING RESOURCES - Described herein are processes and devices that use smart objects in a virtual universe to conserve computing resources. One of the devices described is a virtual resource conserver. The virtual resource conserver reads data that indicates that a resource conservation setting is to be selected for a virtual object in a virtual universe. The virtual resource conserver selects the resource conservation setting for the displayed virtual object. The resource conservation setting comprises data that describes a displayable characteristic about the virtual object, which can be reduced in display quality. The virtual resource conserver reduces the display quality of the virtual object in accordance with the selected resource conservation setting, thus conserving computing resources. | 04-30-2009 |
20090113422 | DYNAMIC ALLOCATION OF VIRTUAL MACHINE DEVICES - Systems and methods for efficient dynamic allocation of virtual machine resources are described herein. At least some illustrative embodiments include a method including executing virtual machine software on a real computer system (the virtual machine software including a hypervisor and a virtual machine including a plurality of virtual devices), associating each of the plurality of virtual devices with a unique subset of a real device within the real computer system, the hypervisor sending to virtual firmware within the virtual machine a request to eject that requires ejecting from the virtual machine one or more of the plurality of virtual devices, a virtual device resource object within the virtual firmware forwarding the request to eject to a virtual device resource driver executing on the virtual machine, and the virtual device resource driver causing individual ejections of each of the one or more virtual devices in response to the forwarded request. | 04-30-2009 |
20090113423 | Interchangeable Guest and Host Execution Environments - Embodiments of the present invention include methods, systems, apparati, computer program products and other tangible realizations of techniques to support interchange of role for guest and host operating system instances executed (or executable) within a virtualization system. In particular, one embodiment of the present invention is a method operating a computer system, the method comprising: (a) selecting a first operating system image from amongst plural operating system images accessible to a hardware machine; (b) booting the hardware machine using the first operating system image and executing code thereof as a host operating system; (c) providing a virtualization environment and instantiating therein a virtual machine using configuration data descriptive of a particular system virtualized, wherein the configuration data is stored separately from the first operating system image; and (d) loading from a second one of the operating system images, a guest operating system and executing code thereof in connection with the instantiated virtual machine. | 04-30-2009 |
20090113424 | Transparent VMM-Assisted User-Mode Execution Control Transfer - A virtual-machine-based system provides a control-transfer mechanism to invoke a user-mode application handler from existing virtual hardware directly, without going through an operating system kernel running in the virtual machine. A virtual machine monitor calls directly to the guest user-mode handler and the handler transfers control back to the virtual machine monitor, without involving the guest operating system. | 04-30-2009 |
20090113425 | Transparent Memory-Mapped Emulation of I/O Calls - A virtual-machine-based system provides a mechanism to implement application file I/O operations of protected data by implementing the I/O operations semantics in a shim layer with memory-mapped regions. The semantics of these I/O operations are emulated in a shim layer with memory-mapped regions by using a mapping between a process' address space and a file or shared memory object. Data that is protected from viewing by a guest OS running in a virtual machine may nonetheless be accessed by the process. | 04-30-2009 |
20090113426 | VIRTUAL COMPUTER SYSTEM MANAGING SCHEDULE FOR ALLOCATING CPU TO GUEST OSes AND GUEST OS SCHEDULING METHOD - According to one embodiment, a virtual machine manager (VMM) virtualizes hardware including a CPU to construct a plurality of virtual machines. A plurality of guest OSes are executed on the respective virtual machines. A detector included in VMM detects a change in the operating frequency of CPU. A scheduler is included in VMM. If a change in operating frequency is detected, and the operating frequency decreases below a prescribed frequency, the scheduler performs scheduling so as to increase a time for which the CPU is to be allocated to one or more predetermined particular guest OSes of the plurality of guest OSes. | 04-30-2009 |
20090119663 | IOMMU WITH TRANSLATION REQUEST MANAGEMENT AND METHODS FOR MANAGING TRANSLATION REQUESTS - Example embodiments of an IOMMU with translation request management and methods for managing translation requests are generally described herein. Other example embodiments may be described and claimed. In some example embodiments, the IOMMU comprises one or more reorder buffers. Each reorder buffer may be associated with one I/O device and may be used to queue pending translation requests for the associated I/O device. A translation request received from a requesting I/O device may be stored in a reorder buffer associated with the requesting I/O device when the translation request is unable to be serviced or when there are one or more pending translation requests in the reorder buffer. | 05-07-2009 |
20090119664 | Multiple virtual machine configurations in the scalable enterprise - A system and method are disclosed for using directory services to manage resources in a virtual execution environment. A directory repository is populated with resource, administration, roles, policy, and service level agreement (SLA) objects. A service request is received by a virtual machine manager (VMM) administrator, which determines its requirements. The directory repository is queried with a directory service to identify available resources to fulfill the service request. The service request is routed to the VMM of the virtual machine (VM) host comprising the available resources. If an active VM comprises the available resources, then its roles, policy, and SLA objects are retrieved from the directory repository. The objects are then used to respectively determine if the service request has sufficient authentication and authorizations, if the VM's configuration meets the service request's resource requirements, and if service levels requirements can be maintained. If so, then the service request is fulfilled by the target VM. If the resources are available, but not on a currently executing VM, then an administration object is created to execute a new VM and roles, policy, and SLA objects are created that match the requirements of the service request. The newly created objects are then stored in the directory repository and the service request is fulfilled by the new VM. | 05-07-2009 |
20090119665 | TRANSITIONING OF VIRTUAL MACHINE FROM REPLAY MODE TO LIVE MODE - A backup VM is allowed to enter live execution mode at instruction boundaries but not in the middle of emulation of a single instruction. This is accomplished by having the last log entry of multiple entries generated during emulation of an instruction to have an indication of a “go-live” point and by having the backup VM not replay log entries provided by the primary VM beyond the log entry that indicates the “go-live” point. | 05-07-2009 |
20090125901 | Providing virtualization of a server management controller - In one embodiment, the present invention includes a method for creating a virtual machine (VM) in a server platform having a baseboard management controller (BMC) and enabling the VM to virtualize the BMC, receiving a request in the VM for performing a BMC function in the VM, initiating a communication from the VM to the BMC, and trapping the communication in management software of the server platform and routing the communication to a predetermined port of the BMC. Other embodiments are described and claimed. | 05-14-2009 |
20090125902 | ON-DEMAND DISPOSABLE VIRTUAL WORK SYSTEM - An on-demand disposable virtual work system that includes: a virtual machine monitor to host virtual machines, a virtual machine pool manager, a host operating system, a host program permissions list, and a request handler module. The virtual machine pool manager manages virtual machine resources. The host operating system interfaces with a user and virtual machines created with an image of a reference operating system. The host program permissions list may be a black list and/or a white list used to indicate allowable programs. The request handler module allows execution of the program if the program is allowable. If the program is not allowable, the host request handler module: denies program execution and urges a virtual machine specified by the virtual machine pool manager to execute the program. The virtual machine is terminated when the program closes. | 05-14-2009 |
20090125903 | Center management apparatus, method, and computer readable storage medium storing program thereof - A duplicator duplicates a specified guest program to create a guest copy. A virtual machine activation requester selects a center apparatus for maintenance and requests the selected center apparatus for maintenance to activate a virtual machine based on the guest copy. A maintenance requester requests the activated virtual machine to perform a maintenance process. After the maintenance process, when the guest copy has been changed, a maintenance reflector replaces with the guest copy the guest program, among data stored in a guest program storage, associated with a specified program ID. | 05-14-2009 |
20090125904 | VIRTUAL MACHINE MIGRATION - A source virtual machine (VM) hosted on a source server is migrated to a destination VM on a destination server without first powering down the source VM. After optional pre-copying of the source VM's memory to the destination VM, the source VM is suspended and its non-memory state is transferred to the destination VM; the destination VM is then resumed from the transferred state. The source VM memory is either paged in to the destination VM on demand, or is transferred asynchronously by pre-copying and write-protecting the source VM memory, and then later transferring only the modified pages after the destination VM is resumed. The source and destination servers preferably share common storage, in which the source VM's virtual disk is stored; this avoids the need to transfer the virtual disk contents. Network connectivity is preferably also made transparent to the user by arranging the servers on a common subnet, with virtual network connection addresses generated from a common name space of physical addresses. | 05-14-2009 |
20090133016 | System and Method for Management of an IOV Adapter Through a Virtual Intermediary in an IOV Management Partition - The system and method address the situation where an input/output (I/O) fabric is shared by more than one logical partition (LPAR) and where each LPAR can share with the other LPARs an I/O adapter (IOA). In particular, each LPAR is assigned its own separate address space to access a virtual function (VF) assigned to it such that each LPAR's perception is that it has its own independent IOA. Each VF may be shared across multiple LPARs. Facilities are provided for management of the shared resources of the IOA via a Physical Function (PF) of the IOA by assignment of that PF to an I/O Virtualization Management Partition (IMP). The code running in the IMP acts as a virtual intermediary to the VFs for fully managing the VF error handling, VF reset, and configuration operations. The IMP also acts as an interface to the PF for accessing common VF functionality. | 05-21-2009 |
20090133017 | ENVIRONMENT MANAGERS VIA VIRTUAL MACHINES - Methods and apparatus enforce computing policies of an enterprise in a distributed manner so that idiosyncratic services to a computing device can be minimized or altogether eliminated. Pluralities of virtual machines are arranged on one or more computing devices and define, for example, applications specified by a user and shared services approved by the enterprise. A central library stores the virtual machines and each is available for check-out by users. A manager evaluates the virtual machines for satisfactory compliance with the computing policies at a time when the virtual machines are resident in the library. Upon confirmation of satisfactory compliance, the applications and shared services are available for deployment to users. Otherwise, the virtual machines are centrally serviced for compliance and/or tested before redeployment. Libraries, managers, software program products, threat assessment, and policy management are other features, to name a few. | 05-21-2009 |
20090133018 | VIRTUAL MACHINE SERVER SIZING APPARATUS, VIRTUAL MACHINE SERVER SIZING METHOD, AND VIRTUAL MACHINE SERVER SIZING PROGRAM - It is an object to improve accuracy of estimation of CPU load by calculating the CPU load necessary for performing I/O emulation under the virtualized environment based on disk load and/or network load. In case of estimating CPU load of a server X which operates servers | 05-21-2009 |
20090138877 | METHODS AND APPARATUS FOR LOCATING AN UNAUTHORIZED VIRTUAL MACHINE - Methods and apparatus of locating an unauthorized virtual machine are disclosed. A virtual machine is registered with a management system. When the virtual machine is requested to start, the system determines whether the virtual machine is in an authorized environment. In an authorized environment, the virtual machine is enabled to operate normally. In an unauthorized environment, the virtual machine is disabled. The disabled virtual machine gathers information about the unauthorized environment and transmits the information to the virtual machine owner. | 05-28-2009 |
20090144731 | SYSTEM AND METHOD FOR DISTRIBUTION OF RESOURCES FOR AN I/O VIRTUALIZED (IOV) ADAPTER AND MANAGEMENT OF THE ADAPTER THROUGH AN IOV MANAGEMENT PARTITION - The system and method address the situation where an input/output (I/O) fabric is shared by more than one logical partition (LPAR) and where each LPAR can share with the other LPARs an I/O adapter (IOA). In particular, each LPAR is assigned its own separate address space to access a virtual function (VF) assigned to it such that each LPAR's perception is that it has its own independent IOA. Each VF may be shared across multiple LPARs. Facilities are provided for management of the shared resources of the IOA via a Physical Function (PF) of the IOA by assignment of that PF to an I/O Virtualization Management Partition (IMP). The code running in the IMP acts as a virtual intermediary to the VFs for fully managing the VF error handling, VF reset, and configuration operations. The IMP also acts as an interface to the PF for accessing common VF functionality. Furthermore, the functions of resource assignment and management relative to the VFs and the client partitions that use those VFs, which might normally be done by an entity like a hypervisor, are implemented by this IMP. | 06-04-2009 |
20090144732 | COMPUTER AND METHOD FOR CONTROLLING WHETHER OR NOT TO RELEASE ALL REAL STORAGE AREAS ASSIGNED TO VIRTUAL VOLUME - The management server performs a release/non-release selection determining whether or not to release all of the real storage areas assigned to a virtual volume switched from a used target to an unused target. The management server exercises control to determine whether or not to release all of the real storage areas assigned to the specified virtual volume in accordance with the result of the release/non-release selection. | 06-04-2009 |
20090144733 | VIRTUAL MACHINE SYSTEM AND CONTROL METHOD OF VIRTUAL MACHINE SYSTEM - A virtual machine system and a control method for the virtual machine system. An instruction causing a protection exception is analyzed by the protection exception process by accessing at least a virtual device, while judging whether the optimum emulated code is executed for each function on the virtual device. If the optimum emulated code is executable, the cause of the protection exception is stored in a memory and the optimum emulated code is executed, while at the same time judging whether the cause stored in the memory is usable for the next and subsequent protection exception processes. If the cause is so usable, the optimum emulated code is executed while omitting process having a large processing amount thereby to reduce the amount of the protection exception process. The stored contents of the cause of the protection exception are compared with the contents of the instruction analyzed, and ife the cause held is not reusable, the cause of the protection exception is deleted. | 06-04-2009 |
20090150883 | Method and system for controlling network traffic in a blade chassis - In general, embodiments of the invention relates to a method for controlling network traffic in a chassis. The method includes assigning control of a network express manager located in the chassis to a control virtual machine selected from a number of virtual machines. The method further includes configuring the network express manager, by the control virtual machine, where the network express manager is configured to route network traffic in the chassis. The method further includes implementing a virtual network path using the network express manager, where the virtual network path includes a virtual wire between a first VNIC and a second VNIC, where the first VNIC is located in a first computer and the second VNIC is located in a second computer. | 06-11-2009 |
20090150884 | COMPUTER AND METHOD OF PROVIDING SOFTWARE USER INTERFACE - A computer and method thereof including a first settings changing user interface application running on a first operating system, a second settings changing user interface application running on a second operating system, where the second settings changing user interface application provides an equal function to a part of a user interface function provided by the first settings changing user interface application. The second settings changing user interface application inputs a request of changes of settings the first settings changing user interface application executes the changes of settings based on the request and the second settings changing user interface application outputs a result of the changes of settings executed by the first settings changing user interface application. | 06-11-2009 |
20090150885 | APPLIANCES IN VIRTUAL COMPUTING ENVIRONMENTS - Methods, systems, and apparatus, including computer program products, for reconciling data on a set of virtual machines, generating a virtual machine snapshot of the set of shadow virtual machines, identifying a set of virtual disks and containers of the set of virtual disks, wherein the set of virtual disks operates as storage for the set of shadow virtual machines, producing a set of storage snapshots of the containers of the set of virtual disks, identifying data that has changed within the set of storage snapshots, and reconciling the data back to when the virtual machine snapshot was generated. Using the reconciled data to test a computer application having a first instance, generate a cloned instance of the application, wherein the cloned instance sources storage shared with the first instance, interact with the cloned instance to cause a result without interacting with the first instance, and monitor the result. | 06-11-2009 |
20090158275 | Dynamically Resizing A Virtual Machine Container - Embodiments of the present invention pertain to dynamically resizing a virtual machine container. According to one embodiment, an optimal utilization is determined based on a desired performance for a multi-tiered application and transaction mix information that describes a mix of transactions that result from executing the multi-tiered application. Automatic modification of an amount of a physical resource a virtual machine container is entitled to for executing a particular application component associated with the multi-tiered application is enabled where the amount is automatically modified based on the optimal utilization. | 06-18-2009 |
20090164990 | Apparatus for and Method for Real-Time Optimization of virtual Machine Input/Output Performance - The present invention implements a mechanism to decide when it is beneficial to switch from the current virtual input/output mechanism to a different one. The present invention determines which input/output mechanism each virtual machine should use based on the available input/output resources of the virtual machines (with their respective available input/output adapters), the number of virtual machines running and their input/output needs, and the input/output needs of the virtual machine being considered. The present invention also provides a mechanism for virtual machine to seamlessly switch input/output mechanisms. When beneficial, the standard hot-plug mechanism of the virtual machine and the hypervisor is used to first remove the existing input/output mechanism and then add the new input/output mechanism. | 06-25-2009 |
20090164991 | COMPUTER VIRTUALIZATION APPARATUS AND PROGRAM AND METHOD THEREFOR - A hypervisor prepares a guest RID-physical RID mapping table for dynamically registering and managing items and performs RID conversion using the guest RID-physical RID mapping table. When the mapping table is used, since it is unnecessary to provide a specific information area representing LPARs corresponding to respective guests in an RID to be converted, there is no limitation concerning the number of LPARs and a problem in operation can be eliminated. | 06-25-2009 |
20090164992 | Look ahead of links/alter links - A computationally-implemented method comprises retrieving at least a portion of data from a data source, determining an acceptability of an effect of the retrieved at least a portion of the data at least in part via a virtual machine representation of at least a part of an end user's real machine having one or more end-user specified preferences, and providing at least one data display option to the end user's real machine based on the determining acceptability of the effect of the retrieved at least a portion of the data. | 06-25-2009 |
20090164993 | Look ahead of links/alter links - A computationally-implemented method comprises obtaining at least a portion of data from a data source, determining a content of the data, determining an acceptability of an effect of content of the data at least in part via at least two virtual machine representations of at least a part of a real machine having at least one end-user specified preference, at least one of the at least two virtual machine representations operating at least in part on an individual core of a multi-core system, and displaying at least one data display option based on the determining an acceptability of a content of the data. | 06-25-2009 |
20090164994 | VIRTUAL COMPUTING MANAGEMENT SYSTEMS AND METHODS - Embodiments deliver an operating system and software applications to a personal computer. The operating system and software applications may be managed and configured at a central location prior to delivery. Data that is created or modified on the personal computer may, from time to time, be stored at the central location. When a user switches from one personal computer to another, any and all of the data may be transferred from the central location to the user's current computer. Additionally, the user's current computer may receive suitable versions of the operating system and applications from the central location. In any case, the operating system and software applications may run with a domain of execution that is provided by a hypervisor. Thus, the operating system and software applications may operate within a virtualized machine, perhaps alongside and in isolation from other operating systems and software applications. | 06-25-2009 |
20090172660 | NEGOTIATED ASSIGNMENT OF RESOURCES TO A VIRTUAL MACHINE IN A MULTI-VIRTUAL MACHINE ENVIRONMENT - A system and method are disclosed. In one embodiment the system includes a physical resource that is capable of generating I/O data. The system also includes multiple virtual machines to utilize the physical resource. Among the virtual machines are a resource source virtual machine that is capable of owning the physical resource. The resource source virtual machine is also capable of sending a stream of one or more I/O packets generated from the I/O data that targets a resource sink virtual machine. The resource sink virtual machine is designated as a termination endpoint of the I/O data from the physical device. Also among the virtual machines are one or more resource filter virtual machines. Each of the resource filter virtual machines is capable of filtering I/O packets of a particular type from the stream prior to the stream reaching the resource sink virtual machine. | 07-02-2009 |
20090172661 | METHOD AND SYSTEM FOR ESTABLISHING A ROBUST VIRTUALIZED ENVIRONMENT - A method and system for establishing a virtualized environment includes booting a first virtual machine monitor to establish a first virtual machine and booting a second virtual machine monitor in the first virtual machine. The first and second virtual machine monitors may be hypervisors. The method may also include directing management interrupts, such as system management interrupts and/or platform management interrupts, to the first virtual machine monitor. | 07-02-2009 |
20090172662 | VIRTUAL MACHINE CONFIGURATION SYSTEM - A computing device configures virtual machines using configuration commands. Each of the virtual machines may boot from a corresponding virtual machine image file. As a virtual machine boots or executes, the virtual machine may read from or write to application and operating system files included in the corresponding virtual machine image file. Prior to the virtual machine booting, the computing device may get or set configuration properties of the operating system and applications to be booted in a respective virtual machine by reading from or writing to the application and operating system files included in the corresponding virtual machine image file. The computing device may execute configuration commands to get or set the configuration properties of the operating system and the applications. | 07-02-2009 |
20090172663 | METHOD AND APPARATUS FOR TAMPER RESISTANT COMMUNICATION IN A VIRTUALIZATION ENABLED PLATFORM - A method to communicate information between components in a virtualization enabled platform. In one embodiment, a component exchanges data via a communication page which only integrity protected components can access. In another embodiment, an integrity protected communication broker exchanges data from a communication page of one component to another communication page of another component. | 07-02-2009 |
20090172664 | ADDING A PROFILING AGENT TO A VIRTUAL MACHINE TO PERMIT PERFORMANCE AND MEMORY CONSUMPTION ANALYSIS WITHIN UNIT TESTS - The present invention discloses a solution for adding a profiling agent to a virtual machine (VM), which permits unit test programs to access information relating to memory and performance from runtime data areas of the VM. Operations permitted by the agent can include starting/stopping VM monitor, getting objects from the heap, retrieving an invocation count, determining a size of an instantiated object, getting a current thread time, and the like. Memory and performance information gathered during a test can be stored for later analysis under a test version identifier. A comparison engine can create reports that use the stored performance and memory data, which can help developers compare memory/performance deltas among different unit versions. In one embodiment, the VM can be a JAVA VIRTUAL MACHINE (JVM) and the unit test programs can be JUNIT programs. | 07-02-2009 |
20090172665 | Reducing latency in a segmented virtual machine - Providing data to an application running on a segmented virtual machine (VM) is disclosed. Providing data includes opening an interface between the segmented VM and an external data source, transferring data from the external data source to an interface buffer, transferring a first selected amount of data from the interface buffer to a shell VM buffer, transferring a second selected amount of data from the shell VM buffer to a core VM buffer, and providing portions of the data from the core VM buffer to the application in response to read requests from the application. | 07-02-2009 |
20090172666 | SYSTEM AND METHOD FOR AUTOMATIC STORAGE LOAD BALANCING IN VIRTUAL SERVER ENVIRONMENTS - Methods and systems for periodically analyzing and correcting storage load imbalances in a storage network environment including virtual machines are described. These methods and systems account for various resource types, logical access paths, and relationships among different storage environment components. Load balancing may be managed in terms of input/output (I/O) traffic and storage utilization. The aggregated information is stored, and may be used to identify and correct load imbalances in a virtual server environment in order to prevent primary congestion and bottlenecks. | 07-02-2009 |
20090172667 | METHOD AND COMPUTER SYSTEM FOR SHARING GRAPHICS CARD AMONG MULTIPLE OPERATION SYSTEMS - The present invention provides a method and a computer system for sharing a graphics card among multiple Operation Systems (OSs). The method includes: detecting a first GOS to be displayed, the first GOS being a primary GOS or one of at least one secondary GOS; determining the kind of the first GOS, so that different display control register bank(s) and graphics card memory resources are allocated to the first GOS depending on whether it is a primary GOS or a secondary GOS; controlling the display control register bank(s) allocated to the first GOS to connect to a display output port; obtaining display contents based on the graphics card memory resources allocated to the first GOS; and displaying the display contents based on display mode parameters in the display control register bank(s) connected to the display output port. According to the present invention, it is possible to share the graphics card among the multiple OSs without modifying the drivers of the primary GOS. | 07-02-2009 |
20090178036 | Method and Apparatus for Call Stack Sampling Using a Virtual Machine - A computer implemented method, apparatus, and computer usable program code for sampling call stack information. A flag associated with a target thread is set in a memory work area associated with a virtual machine in response to an interrupt. Monitoring for an event during execution of a plurality of threads created by the virtual machine. A determination is made as to whether the flag is set in response to an occurrence of the event. If the flag is set, the virtual machine makes a call out to a profiler which initiates sampling of the call stack information in response to the flag being set. | 07-09-2009 |
20090178037 | ACCESSING A NETWORK - An information handling system (IHS) includes a hypervisor and a plurality of virtual machines (VMs). The hypervisor includes hypervisor network information. Each of the VMs includes a virtual processor and a virtual memory that is coupled to the virtual processor. The virtual memory includes VM network information and computer readable instructions to synchronize the VM network information with the hypervisor network information. | 07-09-2009 |
20090178038 | OPERATION MANAGEMENT SYSTEM, OPERATION MANAGEMENT METHOD, RECORDING MEDIUM STORING OPERATION MANAGEMENT PROGRAM, AND DATA SIGNAL - An operation management system, which includes: a document management device that manages a document; and a document operation device that requests to view or obtains the document, in which the document management device includes: an operation restriction information management section that manages first operation restriction information for restricting an operation of the document for which viewing is requested and which is operated by a user, and second operation restriction information generated from the first operation restriction information, for restricting the operation of the document obtained from the document management device and operated by the user; and an issuance section that issues the second operation restriction information to the document operation device, and the document operation device includes an operation restriction section that restricts the operation based on the second operation restriction information when the document is obtained from the document management device. | 07-09-2009 |
20090178039 | Segmented virtual machine transport mechanism - Providing data to an application running on a segmented virtual machine (VM) is disclosed. Providing data includes opening an interface between the segmented VM and an external data source, transferring data from the external data source to an interface buffer, transferring a first selected amount of data from the interface buffer to a shell VM buffer, transferring a second selected amount of data from the shell VM buffer to a core VM buffer, and providing portions of the data from the core VM buffer to the application in response to read requests from the application. | 07-09-2009 |
20090183152 | Method to Dynamically Provision Additional Computer Resources to Handle Peak Database Workloads - A method to handle peak database workloads is disclosed. In one form of the disclosure, the method can include requesting resources, receiving virtual-machine information in response to requesting, and allocating first and second portions of a workload according to the virtual-machine information. The method can also include processing the first portion on a virtual machine to generate a first result, processing the second portion on a cloned virtual machine to generate a second result, and aggregating the first and the second results to form a response. | 07-16-2009 |
20090187902 | Caching Binary Translations for Virtual Machine Guest - In one embodiment, a method comprises in response to an intercept of a first instruction in a guest that is controlled by a virtual machine monitor (VMM), updating first tracking data corresponding to the first instruction in an intercept tracking table; determining, from the first tracking data, that a translation of the first instruction into a first routine is to be performed; and caching the first routine to be executed in response to a subsequent intercept of the first instruction, wherein the first routine is formed from instructions defined in a same instruction set architecture as the first instruction. In some embodiments, a routine for an intercepted instruction that is within a merge window of the first instruction in a guest may be merged into the same routine with the first instruction. | 07-23-2009 |
20090187903 | VIRTUAL MULTIPROCESSOR SYSTEM - A virtual multiprocessor system which does not require a memory apparatus for debugging includes: a physical processor, storage units for storing status information indicating respective statuses of logic processors, a dispatch unit which assigns one of the logic processors by switching the logic processors with respect to a physical processor, and an interrupt unit which suspends the processing currently executed by a current logic processor among the logic processors by issuing a debug interrupt request to the current logic processor; in the virtual multiprocessor system, the dispatch unit stores status information corresponding to the current logic processor into one of the storage units in response to the debug interrupt request issued to the current logic processor that is assigned to the physical processor. | 07-23-2009 |
20090187904 | Redirection Table for Virtual Machine Guest - In one embodiment, a processor comprises a redirect unit configured to detect a match of an instruction pointer (IP) in an IP redirect table, the IP corresponding to a guest instruction that the processor has intercepted, wherein the guest is executed under control of a virtual machine monitor (VMM), and wherein the redirect unit is configured to redirect instruction fetching by the processor to a routine identified in the IP redirect table instead of exiting to the VMM in response to the intercept of the guest instruction. | 07-23-2009 |
20090193413 | METHODS AND SYSTEMS FOR PROVISIONING A VIRTUAL DISK TO DISKLESS VIRTUAL AND PHYSICAL MAHCINES - Methods and systems are described for provisioning a common virtual disk to both a physical and a virtual computing machine. These methods and systems can include creating a virtual disk that has binding information for both a paravirtual network interface card and a physical network interface card so that, either a virtual machine having a paravirtual network interface card, or a physical machine having a physical network interface card, may boot from the created virtual disk. Creation of the virtual disk can include exposing the paravirtual network interface card to a physical machine so that an installer program may bind to both the paravirtual network interface card and the physical network interface card. | 07-30-2009 |
20090193414 | Method and System for a Grid-Enabled Virtual Machine with Movable Objects - A method, an apparatus, a computer program product, and a data processing system provide for operation of a virtual machine with embedded functionality for interoperating with other virtual machines in a computational grid. A plurality of virtual machines are run on one or more devices within a data processing system; each virtual machine in the plurality of virtual machines incorporates functionality for interoperating and associating with other virtual machines in a virtual machine cluster in a grid-like manner. Each virtual machine in the virtual machine cluster acts as a node within the virtual machine cluster. A virtual machine manages its objects in association with an object group, and each virtual machine may manage multiple object groups. The virtual machines share information such that the object groups can be moved between virtual machines in the virtual machine cluster, thereby allowing the virtual machine cluster to act as one logical virtual machine. | 07-30-2009 |
20090199177 | VIRTUAL COMPUTING INFRASTRUCTURE - A system has a virtual overlay infrastructure mapped onto physical resources for processing, storage and network communications, the virtual infrastructure having virtual entities for processing, storage and network communications. The system has a mapping manager to dynamically alter the mapping for balancing, performance, and redundancy. There can be more independence from the underlying physical configuration, compared to known methods of virtualizing only some of the entities. The mapping manager can be distributed across a number of entities on different physical servers arranged to cooperate with each other. | 08-06-2009 |
20090199178 | Virtual Application Management - A management system for virtual applications may deploy sets of virtual applications to many client devices by defining and distributing policies. The polices may define which client devices and/or users may permitted to access a virtual application from a server and how the virtual application may be used. A client device may include a virtual application management client that may communicate with a management server to retrieve and implement the policies. The management client may add or remove virtual applications to the client device based on a policy received from the management server. In some embodiments, policies may also be distributed and implemented on a virtual application server. | 08-06-2009 |
20090204959 | METHOD AND APPARATUS FOR VIRTUAL PROCESSOR DISPATCHING TO A PARTITION BASED ON SHARED MEMORY PAGES - The present invention provides a computer implemented method, data processing system, and computer program product for mapping and dispatching virtual processors in a data processing system having at least a first partition and a second partition. The data processing system runs a first partition on a virtual processor during a first timeslice. The data processing system identifies an at least one physical page used by the first partition and the second partition. The data processing system maps the at least one physical page to the first partition and the second partition. The data processing system determines a fitness value based on the mapping. The data processing system dispatches the Virtual processor to the second partition on a second timeslice based on the fitness value, wherein the second timeslice immediately succeeds after the first timeslice, whereby the at least one physical page remains in cache during at least the first timeslice and the second timeslice. | 08-13-2009 |
20090204960 | SYSTEM, METHOD AND COMPUTER PROGRAM PRODUCT FOR ACCESSING A MEMORY SPACE ALLOCATED TO A VIRTUAL MACHINE - A method for accessing a memory space allocated to a virtual machine, the method includes: receiving a request from the virtual machine to generate, for another virtual machine, a memory credential associated with a certain memory space allocated to the virtual machine; generating, in response to the request, a cryptographically signed credential; sending the cryptographically signed credential to the other virtual machine; receiving from the other virtual machine an access request to access at least one memory entry within the certain memory space; and accessing the at least one memory entry, if the access request complies with the memory credential. | 08-13-2009 |
20090204961 | SYSTEMS AND METHODS FOR DISTRIBUTING AND MANAGING VIRTUAL MACHINES - An embodiment relates generally to a method of distributing virtual machines. The method includes specifying a set of requirements for a virtual machine and instantiating a virtual machine based on a lightweight reusable profile, based on the set of requirements as described in the profile. The method also includes importing the profile to a distribution server; and distributing the profile to at least one physical machine in response to a request. Software can be added to the at least one physical machine that can manage the deployment of the physical hosts as a farm to hosting the virtual images | 08-13-2009 |
20090204962 | Saving Unsaved User Process Data In One Or More Logical Partitions Of A Computing System - Methods, systems, and products are disclosed for saving unsaved user process data in one or more logical partitions (‘LPARs’) of a computing system, the computing system having installed upon it a hypervisor for administering the LPARs, each LPAR supporting an operating system, each operating system supporting one or more user processes, that include: detecting, by the hypervisor, a predefined event that the hypervisor interprets as an indication that unsaved data of user processes for at least one LPAR should be saved; transmitting, by the hypervisor in response to detecting the predefined event, a save instruction to the operating system supported by the LPAR; and instructing, by the operating system in response to receiving the save instruction, each user process supported by the operating system to save any unsaved data. | 08-13-2009 |
20090204963 | Reducing memory usage of a data processing task performed using a virtual machine - A method of performing a processing task in a data processing apparatus is provided that reduces memory usage of the processing task. According to this method a Virtual Machine performs the steps of accessing platform-neutral program code in a function repository, executing the processing task on the Virtual Machine and analysing at a current execution point on a function-by-function basis which functions in the function repository are inactive functions. The Virtual Machine performs software-based unloading from the function repository of at least a portion of platform-neutral program code corresponding to one or more inactive functions. A corresponding virtual machine and data processing apparatus are also provided. | 08-13-2009 |
20090204964 | Distributed trusted virtualization platform - A platform architecture shifts the networked computing paradigm from PC+Network to a system using trusted mobile internet end-point (MIEP) devices and cooperative agents hosted on a trusted server. The MIEP device can participate in data flows, arbitrate authentication, and/or participate in implementing security mechanisms, all within the context of assured end-to-end security. The MIEP architecture improves platform-level capabilities by suitably (and even dynamically) partitioning what is done at the MIEP nodes, the network, and the server based infrastructure for delivering services. | 08-13-2009 |
20090204965 | USB PORT SHARED CONTROL METHOD - Virtual USB host controllers are arranged in a plurality of virtual machines constructed inside a physical machine; emulation of the unmounting and mounting of a USB device in a used physical USB port and modifications in the allocation of the USB port utilization rights of a virtual machine are carried out by means of an operation from a control terminal to make possible exclusive utilization; and the physical USB port is utilized jointly among the virtual machines. | 08-13-2009 |
20090210872 | METHOD TO ENHANCE THE SCALABILITY OF NETWORK CACHING CAPABILITY IN VIRTUALIZED ENVIRONMENT - A mechanism is provided to enhance the scalability of network caching capabilities. All network client applications running on a partition in a virtualized environment are configured to query information from a single virtual input/output server (VIOS) network caching daemon. Thus, the illustrative embodiments provide a 1:n model where a VIOS partition has a network caching daemon, and each of the n partitions uses the network caching daemon of the VIOS partition. The mechanism of the illustrative embodiments only requires the system administrator to control one copy of the local files on the VIOS server. The system administrator does not need to monitor all of these local files on each individual partition. The system administrator consolidates the entries used by the different individual partitions into one single file on the VIOS server side. | 08-20-2009 |
20090210873 | RE-TASKING A MANAGED VIRTUAL MACHINE IMAGE IN A VIRTUALIZATION DATA PROCESSING SYSTEM - Embodiments of the present invention provide a method, system and computer program product for virtualization of application lifecycles. In an embodiment of the invention, a virtualization data processing system can be provided. The system can include a hypervisor configured for execution in a host computing platform, a VM image managed by the hypervisor, and a configuration applied to the VM image. The configuration can specify a set of resources in the host computing platform accessible by applications executing in the VM image. Finally, the system can include re-tasking logic coupled to the hypervisor. The logic can include program code enabled to select a new role for the VM image, to determine a new configuration for the new role, and to apply the new configuration to the VM image. | 08-20-2009 |
20090210874 | Non-native program execution across multiple execution environments - A data processing system | 08-20-2009 |
20090210875 | Method and System for Implementing a Virtual Storage Pool in a Virtual Environment - A system and method for implementing distributed data storage. In particular, the system includes a plurality of virtualization servers, each of which is associated with available data resources. Each virtualization server supports one or more application virtual machines through a virtualization layer. In addition, a plurality of storage virtual machines is included in the system. Each storage virtual machine is associated with a corresponding and participating virtualization server. A virtual storage pool is included in the system, wherein each storage virtual machine allows the export and aggregation of available data resources within a corresponding virtualization server into the virtual storage pool. | 08-20-2009 |
20090217262 | PLUGGABLE EXTENSIONS TO VIRTUAL MACHINE MONITORS - The functionality of a virtualization layer interposed between computer system hardware and a plurality of applications can be altered by pluggable extensions. According to one embodiment of the present invention, a virtualization layer is divided into a privileged portion and an unprivileged portion. While the privileged portion remains untouched, the functionality of the unprivileged portion can be modified by one or more pluggable extensions. Furthermore, file images operating on top of the virtualization layer, and in some cases unaware of the virtual nature of the virtualization layer, can be supplemented using pluggable extensions. | 08-27-2009 |
20090217263 | VIRTUAL APPLIANCE FACTORY - Methods and apparatus, including computer program products, are provided for generating virtual appliances. In one aspect, there is provided a computer-implemented method. The method may include receiving, from a user interface, information representative of one or more selections of solutions. The one or more solutions may represent a configuration of a virtual appliance. The virtual appliance may be generated based on the received information. The generated virtual appliance may be provided to another machine to enable the other machine to execute the virtual machine. Related apparatus, systems, methods, and articles are also described. | 08-27-2009 |
20090217264 | METHOD, SYSTEM AND COMPUTER PROGRAM PRODUCT FOR PROVIDING FILTERING OF GUEST2 QUIESCE REQUESTS - A method, system and computer program product for providing filtering of level two guest (G2) quiesce requests. The method includes receiving a G2 quiesce interruption request at a processor currently or previously executing a G2 running under a level two hypervisor in a logical partition. The G2 includes a current zone and G2 virtual machine (VM) identifier. The quiesce interruption request specifies an initiating zone and an initiating G2 VM identifier. It is determined if the G2 quiesce interruption request can be filtered by the processor. The determining is responsive to the current G2 VM identifier, the current zone, the initiating zone and the initiating G2 VM identifier. The G2 quiesce interruption request is filtered at the processor in response to determining that the G2 quiesce interruption request can be filtered. Thus, filtering between G2 virtual machines running in the logical partition is provided. | 08-27-2009 |
20090217265 | INFORMATION PROCESSING APPARATUS, METHOD OF CONTROLLING THEREFOR, AND PROGRAM - A virtual machine is transferred between an information processing apparatus and another information processing apparatus via a communication unit which communicates with the other information processing apparatus via a network. For a virtual machine which operates in the virtual machine operating environment of the information processing apparatus, correspondence relationship information representing the correspondence relationship between the virtual machine and a storage unit which stores a virtual disk file to be accessed by the virtual machine is managed using a storage correspondence table. Access from the virtual machine which has issued an access request to the virtual disk file is controlled by looking up the storage correspondence table. | 08-27-2009 |
20090222814 | SELECTIVE EXPOSURE TO USB DEVICE FUNCTIONALITY FOR A VIRTUAL MACHINE - A virtual machine (VM) application may run a guest operating system (OS) and allow the guest OS to connect to USB devices connected to a computer. The VM application may filter the functions associated with the USB device so that only some of the functions of the USB device are exposed to the guest OS. | 09-03-2009 |
20090222815 | Fault tolerant virtual machine - A virtual machine communicates with one or more additional virtual machines to establish membership for a virtual lockstep computing system, wherein each virtual machine is hosted by a node in a network. The virtual machine communicates with the one or more additional virtual machines to determine a membership configuration, wherein a first virtual machine in the membership configuration is an active machine, and all remaining virtual machines in the membership configuration are standby machines. A single step operation is executed by the virtual machine upon obtaining an operational token that includes contents of the single step operation, wherein the operational token is generated by the active machine. The operational token is passed to a next virtual machine in the membership configuration, wherein the operational token is passed between the virtual machine and the additional virtual machines according to the membership configuration. | 09-03-2009 |
20090222816 | Data processing apparatus and method for controlling access to secure memory by virtual machines executing on processing circuirty - A data processing apparatus and method are provided for controlling access to secure memory by virtual machines executing on processing circuitry. The processing circuitry executes hypervisor software to support the execution of multiple virtual machines on the processing circuitry. A memory system is provided for storing data for access by the processing circuitry, the memory system comprising secure memory for storing secure data and non-secure memory for storing non-secure data, the secure memory only being accessible via a secure access request. Address translation circuitry is responsive to an access request issued by a current virtual machine specifying a virtual address, to perform an address translation process to identify a physical address in the memory, and to cause a modified access request to be issued to the memory system specifying the physical address. A trusted virtual machine identifier is maintained and managed by the hypervisor software, with the hypervisor software setting the trusted virtual machine identifier if the current virtual machine is to be trusted to access the secure memory. Accordingly, in response to the access request issued by the current virtual machine, the address translation circuitry is only able to cause the modified access request to be issued as a secure access request specifying a physical address within the secure memory if the trusted virtual machine identifier is set. By such an approach, the hypervisor software is able to support multiple virtual machines at least some of which have access to secure memory under conditions controlled by the hypervisor software. | 09-03-2009 |
20090235247 | APPARATUS AND METHOD FOR CHECKING IDLE PERIOD OF VIRTUAL MACHINE, AND COMPUTER READABLE RECORDING MEDIUM FOR EMBODYING THE METHOD - An apparatus and method for checking an idle period of a virtual machine, and a computer readable recording medium for embodying the method. The apparatus includes a thread counting unit which counts a total number of threads that are under execution and a monitor thread which detects the idle period of the virtual machine based on the total number of threads that are under execution. | 09-17-2009 |
20090241108 | VIRTUAL COMPUTING INFRASTRUCTURE - A system has a virtual overlay infrastructure mapped onto physical resources for processing, storage and network communications, the virtual infrastructure having virtual entities for processing, storage and network communications. Virtual infrastructures of different users share physical resources but are isolated. Each infrastructure has its own infrastructure controller to create and configure the infrastructure. It has a user accessible part (CFC) for configuration of that user's infrastructure, and a user inaccessible part (UFC) able to access the mapping and the physical resources. This increases user control to ease system administration, while maintaining security by limiting access to the mapping. | 09-24-2009 |
20090241109 | Context Agent Injection Using Virtual Machine Introspection - A computer implemented method, apparatus, and computer usable program code for executing a process within a virtual machine. A module is injected into an operating system for the virtual machine to form an injected module. The injected module is executed to load an agent process within an application space within the virtual machine. Execution of the agent process is initiated by the injected module. | 09-24-2009 |
20090241110 | VIRTUAL ENVIRONMENT SYSTEM AND METHOD FOR OPERATING THE SAME - A virtual environment system and a method of operating the same, the virtual environment system including: a virtual operating system (OS) unit to operate a virtual OS installed in a mobile storage medium in a host OS installed in a host computer, to attach a virtual resource included in the virtual OS to the host OS, and to perform arbitration so that a virtual process operated in the virtual OS uses the virtual resource; and a user interface unit to provide a virtual desktop screen so that a user can use the virtual process in the virtual environment provided by the virtual OS. | 09-24-2009 |
20090241111 | RECORDING MEDIUM HAVING INSTRUCTION LOG ACQUIRING PROGRAM RECORDED THEREIN AND VIRTUAL COMPUTER SYSTEM - A computer-readable medium on which is recorded a program for causing an information processing device to execute, a holding process, in a judgment information holder, judgment information indicating an instruction from which log information may be acquired; a acquiring process for instructions of instruction addresses in a range determined on the basis of the instruction addresses of instructions which were finally executed by a plurality of virtual computers when control rights of a plurality of real CPUs is returned from the virtual computers to the virtual computer monitor; a judging process for whether the acquired instruction is an instruction indicated by the held judgment information; and a recording process, in a log information holder, log information containing the instruction address of an acquired instruction and a acquiring frequency at which the instruction concerned is acquired in the acquiring step when the acquired instruction is judged as the indicated instruction. | 09-24-2009 |
20090241112 | RECORDING MEDIUM RECORDING VIRTUAL MACHINE CONTROL PROGRAM AND VIRTUAL MACHINE SYSTEM - A computer-readable medium on which is recorded a program for causing an information processing device to execute, setting each of the plurality of physical CPUs as a physical CPU for first process or a physical CPU for second process; setting a first CPU time and a second CPU time to different values; and when the control right of a physical CPU for the first process is assigned to any one of the plurality of virtual machines, setting the first CPU time for the virtual machine and assigning the control right to the virtual machine, and, when the control right of a physical CPU for the second process is assigned to any one of the plurality of virtual machines, setting the second CPU time for the virtual machine and assigning the control right to the virtual machine. | 09-24-2009 |
20090241113 | METHOD AND SYSTEM FOR SUPPORTING WAKE-ON-LAN IN A VIRTUALIZED ENVIRONMENT - A method and system for supporting Wake-on-LAN technology in a virtualized environment is described. An improved virtual switch comprises a listener, which receives a power-on message on behalf of virtual machines, extracts addresses of the virtual machines to be powered on, which are embedded in the power-on message, compares the extracted addresses with addresses of the virtual machines stored in a database, and selectively powers on those virtual machines for which the extracted and stored addresses match. | 09-24-2009 |
20090241114 | INFORMATION PROCESSING APPARATUS AND METHOD, COMPUTER-READABLE RECORDING MEDIUM, AND EXTERNAL STORAGE MEDIUM - There is realized such a method for safely using and storing secret data that electronic copies of the secret data do not flow out of a particular external storage medium. In the present invention, a special format area which cannot be recognized from an ordinary PC is created in an external storage medium, and secret data is stored therein. By making a configuration in which a function of mounting the special format area is provided, and secret data in the special format area is edited and used on a work OS on which network access is inhibited and writing to a secondary storage device is inhibited, the destination of storing the secret data after it is used is limited to the special format area on the external storage medium so that outflow of the secret data from the external storage medium is prevented. | 09-24-2009 |
20090249329 | LIMITED SERVICE LIFE THROUGH VIRTUALIZED SERVICE IMAGES - Techniques for limited service life through virtualized service images are presented. A software application is embodied as a service within a virtual machine (VM) image. Licensing restrictions for the software application are carried with or accessible from VM image. Each time the VM is instantiated on a target processing environment from the VM image, the licensing restrictions are enforced against the software application to thereby limit the service life of the software application embedded within the VM image. | 10-01-2009 |
20090249330 | METHOD AND APPARATUS FOR HYPERVISOR SECURITY CODE - Disclosed is a computer implemented method, apparatus, and computer program product for regulating received data in a multiple operating system environment on an I/O adapter. The method includes a hypervisor for determining that the I/O adapter indicated a receive completion. The hypervisor, responsive to retrieving the receive completion, determines that the receive completion is associated with a successful status. The hypervisor, determines in hypervisor space whether an at least one data packet satisfies a security criterion. The hypervisor, routes the data packet to at least one selected from a group consisting of an operating system partition of the multiple operating system environment and a network address on a local area network. | 10-01-2009 |
20090249331 | APPARATUS, SYSTEM, AND METHOD FOR FILE SYSTEM SHARING - An apparatus, system, and method are disclosed for file system sharing. A Read-Only File System (ROFS) organization module organizes a single ROFS for a plurality of operating systems. Each operating system executes on a distinct virtual machine. Each virtual machine executes on a computer. A Read/Write File System (RWFS) organization module organizes an RWFS for each operating system. An access module accesses the RWFS and ROFS for each operating system. The RWFS and ROFS appear to each operating system as a single, private file system. Each RWFS comprises at least one pointer to the ROFS. | 10-01-2009 |
20090249332 | METHOD AND A COMPUTING DEVICE - The invention relates to a method and a computing device. A first computing device and a second computing device are connected, wherein the first computing device includes a first virtual machine monitor that hosts a first virtual machine. A boot image is provided on the first computing device, wherein the boot image includes a second virtual machine monitor that is adapted to host the first virtual machine. The second computing device is triggered to boot the boot image from the first computing device. A storage network is established between the first computing device and the second computing device, wherein the storage network includes storage space of the first computing device. Lastly, the first virtual machine is migrated from the first computing device to the second computing device, wherein the first virtual machine is executed by the second computing device but still located on the first virtual machine. | 10-01-2009 |
20090249333 | RECORDING MEDIUM HAVING VIRTUAL MACHINE MANAGING PROGRAM RECORDED THEREIN AND MANAGING SERVER DEVICE - A virtual machine managing program manages plural physical machines and makes a computer device execute processing through virtual machines developed on each physical machine. Virtual machines are assigned to physical machines on the basis of CPU usage rate and temperature, and are moved among the physical machines as needed, to maintain acceptable operating conditions. | 10-01-2009 |
20090249334 | RECORDING MEDIUM RECORDING THEREON VIRTUAL MACHINE MANAGEMENT PROGRAM, MANAGEMENT SERVER DEVICE, AND METHOD FOR MANAGING VIRTUAL MACHINE - A virtual machine managing method includes: a dependence relation list generation step of detecting a dependence relation among virtual machines implemented on a physical machine and generating a dependence relation list, based on a detection result; a target management table generation step of reading, when a selection instruction for selecting a target virtual machine to be halted among the plurality of implemented virtual machines is detected; and a halted target presentation step of reading the virtual machine identification information on all of the virtual machines in dependence relation with the target virtual machine to be halted, and visually presenting the read virtual machine identification information on all of the target virtual machines to be halted. | 10-01-2009 |
20090249335 | Delivery of Virtualized Workspaces as Virtual Machine Images with Virtualized Hardware, Operating System, Applications and User Data - Embodiments deliver an operating system and software applications to a personal computer. The operating system and software applications may be managed and configured at a central location prior to delivery. Data that is created or modified on the personal computer may, from time to time, be stored at the central location. When a user switches from one personal computer to another, any and all of the data may be transferred from the central location to the user's current computer. Additionally, the user's current computer may receive suitable versions of the operating system and applications from the central location. In any case, the operating system and software applications may run with a domain of execution that is provided by a hypervisor. Thus, the operating system and software applications may operate within a virtualized machine, perhaps alongside and in isolation from other operating systems and software applications. | 10-01-2009 |
20090249336 | Facility for Centrally Managed and Locally Managed Workspaces on the Same Computer - Embodiments deliver an operating system and software applications to a personal computer. The operating system and software applications may be managed and configured at a central location prior to delivery. Data that is created or modified on the personal computer may, from time to time, be stored at the central location. When a user switches from one personal computer to another, any and all of the data may be transferred from the central location to the user's current computer. Additionally, the user's current computer may receive suitable versions of the operating system and applications from the central location. In any case, the operating system and software applications may run with a domain of execution that is provided by a hypervisor. Thus, the operating system and software applications may operate within a virtualized machine, perhaps alongside and in isolation from other operating systems and software applications. | 10-01-2009 |
20090249337 | Running Multiple Workspaces on a Single Computer with an Integrated Security Facility - Embodiments deliver an operating system and software applications to a personal computer. The operating system and software applications may be managed and configured at a central location prior to delivery. Data that is created or modified on the personal computer may, from time to time, be stored at the central location. When a user switches from one personal computer to another, any and all of the data may be transferred from the central location to the user's current computer. Additionally, the user's current computer may receive suitable versions of the operating system and applications from the central location. In any case, the operating system and software applications may run with a domain of execution that is provided by a hypervisor. Thus, the operating system and software applications may operate within a virtualized machine, perhaps alongside and in isolation from other operating systems and software applications. | 10-01-2009 |
20090254901 | SYSTEMS AND METHODS FOR USING OPERATING SYSTEM (OS) VIRTUALISATION FOR MINIMIZING POWER CONSUMPTION IN MOBILE PHONES - A mobile phone that uses OS virtualization for minimizing power consumption in mobile phones is provided. A mobile phone according to the invention may include a plurality of application stacks and a plurality of processor cores. Each of the application stacks preferably corresponds to one of the plurality of processor cores. The mobile phone also includes a hypervisor. In a first state, the hypervisor allocates tasks from each of the application stacks to the processor core that corresponds to the respective application stack. In a second state, the hypervisor allocates selected tasks from the plurality of application stacks to a single processor core. The second state may be an idle state and the tasks that are allocated to the single processor core may be periodic tasks. | 10-08-2009 |
20090260006 | Virtualizing Embedded Systems - This description provides tools and techniques for virtualizing embedded systems. Systems are described for embedding into a vehicle, with the systems including subsystems and centralized physical platforms that include computing resources operating on behalf of the subsystems. Systems may also include shared bus systems that place the centralized physical platforms and the subsystems in communication with one another. The centralized physical platforms may also include virtualization layers for operating virtual machines, with the virtual machines being associated respectively with the subsystems. | 10-15-2009 |
20090260007 | Provisioning Storage-Optimized Virtual Machines Within a Virtual Desktop Environment - A computer implemented method, a computer program product, and data processing system clone virtual machines in a virtual desktop environment. A request to clone a new virtual machine is intercepted, wherein the request was initially sent to a virtual management server. Responsive to intercepting the request, the new virtual machine is cloned from a snapshot of a master virtual machine. A delta file is created for the new virtual machine, wherein the delta file includes a base disk reference and a copied delta file. The new virtual machine is configured to read a base disk image from a snapshot of the master virtual machine. The new virtual machine is configured to write data to the copied delta file in the delta file. | 10-15-2009 |
20090260008 | VIRTUAL MACHINE MANAGEMENT SYSTEM AND METHOD FOR MANAGING PROCESSOR RESOURCES THEREOF - A virtual machine management system, the system including: at least one virtual machine to request a processor to drive a software application or component, and a virtual machine monitor to determine whether allocating the requested processor is possible, to create a waiting list if the allocating of the requested processor is determined to not be possible, and to allocate an obtained processor according to the waiting list. Accordingly, the processor is dynamically allocated and the processor resources are efficiently utilized. | 10-15-2009 |
20090265706 | COMPUTING MACHINE MIGRATION - Systems and methods for migration between computing machines are disclosed. The source and target machines can be either physical or virtual; the source can also be a machine image. The target machine is connected to a snapshot or image of the source machine file system, and a redo-log file is created on the file system associated with the target machine. The target machine begins operation by reading data directly from the snapshot or image of the source machine file system. Thereafter, all writes are made to the redo-log file, and subsequent reads are made from the redo-log file if it contains data for the requested sector or from the snapshot or image if it does not. The source machine continues to be able to run separately and simultaneously after the target machine begins operation. | 10-22-2009 |
20090265707 | OPTIMIZING APPLICATION PERFORMANCE ON VIRTUAL MACHINES AUTOMATICALLY WITH END-USER PREFERENCES - A virtual machine management/monitoring service can be configured to automatically monitor and implement user-defined (e.g., administrator-defined) configuration policies with respect to virtual machine and application resource utilization. In one implementation, the monitoring service can be extended to provide user-customized alerts based on various particularly defined events that occur (e.g., some memory or processing threshold) during operation of the virtual machines and/or application execution. The user can also specify particularly tailored solutions, which can include automatically reallocating physical host resources without additional user input on a given physical host, or moving/adding virtual machines on other physical hosts. For example, the monitoring service can be configured so that, upon identifying that a virtual machine's memory and processing resources are maxed out and/or growing, the monitoring service adds memory or processing resources for the virtual machine, or adds a new virtual machine to handle the load for the application program. | 10-22-2009 |
20090265708 | Information Processing Apparatus and Method of Controlling Information Processing Apparatus - According to one embodiment, an information processing apparatus in which virtual machine run under a hypervisor, includes a device manager configured to create a device model including information that is used when assigning an I/O device to the virtual machine in accordance with a device profile and an arrangement of I/O devices, and an address conversion circuit configured to perform address conversion for the virtual machine to make an MMIO access to the assigned I/O device and to perform address conversion to conduct a DMA transfer between the assigned I/O device and the virtual machine, wherein the hypervisor assigns the I/O device to the virtual machine based on the device model, and the virtual machine utilizes, when making the MMIO access to the assigned I/O device or conducting the DMA transfer with the assigned I/O device, the address conversion circuit to make the access or conducts the DMA transfer. | 10-22-2009 |
20090265709 | METHOD AND APPARATUS FOR FACILITATING RECOGNITION OF AN OPEN EVENT WINDOW DURING OPERATION OF GUEST SOFTWARE IN A VIRTUAL MACHINE ENVIRONMENT - In one embodiment, a method includes transitioning control to a virtual machine (VM) upon receiving a request from a virtual machine monitor (VMM), determining that the request to transition control is associated with a request to be informed of an open event window, performing an event window check to determine whether an even window of the VM is open, and transitioning control to the VMM if the event window check indicates that the event window of the VM is open. | 10-22-2009 |
20090271784 | Executing A Distributed Java Application On A Plurality Of Compute Nodes - Methods, systems, and products are disclosed for executing a distributed Java application on a plurality of compute nodes. The Java application includes a plurality of jobs distributed among the plurality of compute nodes. The plurality of compute nodes are connected together for data communications through a data communication network. Each of the plurality of compute nodes has installed upon it a Java Virtual Machine (‘JVM’) capable of supporting at least one job of the Java application. Executing a distributed Java application on a plurality of compute nodes includes: tracking, by an application manager, JVM environment variables for the JVMs installed on the plurality of compute nodes; and configuring, by the application manager, the plurality of jobs for execution on the plurality of compute nodes in dependence upon the JVM environment variables for the JVMs installed on the plurality of compute nodes. | 10-29-2009 |
20090271785 | INFORMATION PROCESSING APPARATUS AND CONTROL METHOD - According to one embodiment, an information processing apparatus capable of controlling a plurality of operating systems, includes an activation module which activates an activation program, a management module which manages the plurality of operating systems to be activated by the activation module, a storage module which stores a main operating system that runs on the management module and a guest operating system that runs on the management module via a virtual activation program, and a notification module which, if a monitoring program that runs on the main operating system activated determines that a configuration of the information processing apparatus has changed, causes a notification program running on the main operating system to notify the guest operating system activated from the storage module via the virtual activation program of change information of the configuration of the information processing apparatus. | 10-29-2009 |
20090271786 | SYSTEM FOR VIRTUALISATION MONITORING - A system for virtualization monitoring is provided as a hardware interface provided on a physical machine supporting a virtualization layer. The interface comprises an indication of the state of virtualization on the physical machine to monitor any virtual machines running on the physical machine. The interface also comprises means for interacting with the virtualization layer, for example for activating a maintenance mode by a migration of virtual servers running on a physical machine. | 10-29-2009 |
20090271787 | LINKING VIRTUALIZED APPLICATION NAMESPACES AT RUNTIME - A method for linking a plurality of virtualized application packages for execution on a computer system is described. A runtime executable of a primary virtualized application package is launched. A secondary virtualized application package to be merged with the primary virtualized application package is identified. In one embodiment, application settings and file data for the first and second virtualized application packages are merged into a single data structure. An execution layer is created by starting execution of one of the application files associated with the primary virtualized application package. Requests from the execution layer to the operating system for configuration settings and contents of application files of either the primary virtualized application package or secondary virtualized application package and providing requested configuration settings are satisfied using configuration settings and file information from both the primary and secondary virtualized application packages. | 10-29-2009 |
20090276772 | VIRTUALIZATION PLATFORM CONFIGURED WITH VIRTUAL CONNECT CONTROL - A data processing system enables configuration of a virtual connect functioning in combination with a virtualization platform. The illustrative data processing system is used with a virtualization platform which is configured to run multiple operating systems simultaneously on one or more physical servers and a virtual connect device which is communicatively coupled to the virtualization platform. The virtual connect device virtualizes connections between the physical server or servers and a network infrastructure. The data processing system comprises a configuration manager that integrates migration and failover of virtual machines operating under the virtualization platform with migration and failover of physical servers operative under the virtual connect device for management of virtual and physical migration and failover using common commands. | 11-05-2009 |
20090276773 | Multi-Root I/O Virtualization Using Separate Management Facilities of Multiple Logical Partitions - Mechanisms are provided for implementing a multi-root PCI manager (MR-PCIM) in a multi-root I/O virtualization management partition (MR-IMP) to control the shared functionality of an multi-root I/O virtualization (IOV) enabled switch fabric and multi-root IOV enabled I/O adapter (IOA) through the base functions (BF) of the switches and IOAs. A hypervisor provides device-independent facilities to the code running in the I/O Virtualization Management Partition (IMP), Multi-Root (MR)-IMP and client partitions. The MR-IMP may include device specific code without the hypervisor needing to sacrifice its size, robustness, and upgradeability. The hypervisor provides the virtual intermediary functionally for the sharing and control of the switch and IOA's control functions. | 11-05-2009 |
20090276774 | Access control for virtual machines in an information system - An information system includes host computers having virtual machine programs running thereon for generating virtual machines. A storage system in communication with the host computers stores an image file corresponding to each virtual machine running on the host computers. In some embodiments, when the storage system receives an access request to a particular image file corresponding to a particular one of the virtual machines running on one of the host computers, the storage system determines whether the access request is authorized based upon an identifier of the particular virtual machine and a location of the particular virtual machine. In some embodiments, the storage system sends an inquiry to a management computer when determining whether the access request is authorized and, based upon the location of the particular virtual machine and the identifier of the particular virtual machine, the management computer sends a reply as to whether the access request is authorized. | 11-05-2009 |
20090282404 | Provisioning of Computer Systems Using Virtual Machines - A provisioning server automatically configures a virtual machine (VM) according to user specifications and then deploys the VM on a physical host. The user may either choose from a list of pre-configured, ready-to-deploy VMs, or he may select which hardware, operating system and application(s) he would like the VM to have. The provisioning server then configures the VM accordingly, if the desired configuration is available, or it applies heuristics to configure a VM that best matches the user's request if it isn't. The invention also includes mechanisms for monitoring the status of VMs and hosts, for migrating VMs between hosts, and for creating a network of VMs. | 11-12-2009 |
20090288082 | SYSTEM AND METHOD FOR PERFORMING DESIGNATED SERVICE IMAGE PROCESSING FUNCTIONS IN A SERVICE IMAGE WAREHOUSE - System and method for performing processing functions on a warehoused service image. In one embodiment, the system comprises a service image warehouse for storing at least one service image; means for modifying the at least one service image by performing at least one of a plurality of service image processing functions on a service image contemporaneously with a user's checking the at least one service image out of the service image warehouse; means for checking the modified service image back into the service image warehouse; and a plurality of compute nodes connected to the service image warehouse to which the at least one service image may be deployed. Each of the compute nodes comprises one of a physical machine and a virtual machine host. | 11-19-2009 |
20090288083 | Method and System for Dynamic Virtual Environment Migration - An incompatibility problem during a virtual environment migration from a first host to a second host can be addressed by changing a configuration of the virtual environment and/or by migrating the virtual environment to a host other than the second host. A migration advisor module is configured to take such action automatically upon detection of the incompatibility problem. Alternatively, the migration advisor module may take such action with assistance and/or input from an operator. The migration advisor module can change the configuration of the virtual environment by removing unnecessary components of the virtual environment and causing the reconfigured virtual environment to be migrated to the second host. Alternatively, the migration advisor module can cause the virtual environment to be migrated to a third host with sufficient physical system resources to meet the requirements of the virtual environment. | 11-19-2009 |
20090288084 | MULTITENANT HOSTED VIRTUAL MACHINE INFRASTRUCTURE - A multi-tenant virtual machine infrastructure (MTVMI) allows multiple tenants to independently access and use a plurality of virtual computing resources via the Internet. Within the MTVMI, different tenants may define unique configurations of virtual computing resources and unique rules to govern the use of the virtual computing resources. The MTVMI may be configured to provide valuable services for tenants and users associated with the tenants. | 11-19-2009 |
20090293054 | Streaming Virtual Disk for Virtual Applications - A sparsely populated, streaming virtual disk may be used with a virtual application to enable fast launching of a virtual application from a network server. The virtual disk may be configured and populated in blocks, enabling those blocks that are used at a particular time to be downloaded and stored in the virtual disk. As other blocks are requested by the virtual application, those blocks may be fetched from the network server. Each block may be validated using a hash tree or some other function so that the recipient may verify authenticity and completeness. In some embodiments, a virtual application may be configured and deployed as a single file, enabling simple version control among other things. | 11-26-2009 |
20090293055 | Central Office Based Virtual Personal Computer - A virtual personal computer is implemented in a communication system comprising a plurality of central offices each of which communicates with a plurality of client devices over a corresponding access network. A given one of the central offices comprises at least one compute server and at least one storage server. The virtual personal computer is configured by allocating physical processing resources of the compute server and physical storage resources of the storage server to that virtual personal computer. User access is provided to the virtual personal computer via one of the client devices. The virtual personal computer can be dynamically reconfigured by altering the allocation of at least one of the physical processing resources and the physical storage resources to the given virtual personal computer responsive to particular applications selected by the user to run on the given virtual personal computer. | 11-26-2009 |
20090293056 | METHODS AND SYSTEMS FOR AUTOMATIC SELF-MANAGEMENT OF VIRTUAL MACHINES IN CLOUD-BASED NETWORKS - A cloud management system can insert a self-management module in virtual machines. The self-management module can be configured to automatically perform management functions on the virtual machine in which it is inserted. The management functions can include activation, suspension, or termination of the virtual machine. The management functions can also include tracking and monitoring the virtual machine. The management functions can also include providing messages to the cloud management system regarding the status and usage of the virtual machine. | 11-26-2009 |
20090293057 | System and method for managing code isolation - A computing system is configured to use a trampoline to isolate sensitive code in a virtual environment and in other applications. An import table may describe the entry points of a privileged code module or driver that generates privileged code. A system and method loads a shadow kernel to facilitate isolating the linkage between drivers and the rest of the system. The shadow kernel may be a copy of the operating system kernel that does not have the same integral position in the operation of the computing device. The shadow kernel may be used as a template for creating a jump table to redirect more critical privileged resource access requests from specially loaded kernel mode drivers to the PVM. All requests may pass through the PVM, which redirects non-critical functions to the original kernel. Multiple copies of a given driver or code module may be loaded in a given session. | 11-26-2009 |
20090293058 | VIRTUAL SYSTEM AND METHOD OF RESTRICTING USE OF CONTENTS IN THE VIRTUAL SYSTEM - Provided is a method of restricting use of contents in a virtual system comprising at least one virtual machine implemented by applying virtualization technology to a predetermined device. The method includes: reading a first device identifier from the device in order to identify the device; reading a second device identifier, which is a device identifier allocated to the at least one virtual machine, from the at least one virtual machine; determining whether the first device identifier is identical to the second device identifier; and selectively restricting use of contents in the at least one virtual machine based on a result of the determining. | 11-26-2009 |
20090300605 | VIRTUAL COMPUTING INFRASTRUCTURE - A system has a virtual overlay infrastructure mapped onto physical resources for processing, storage and network communications, the virtual infrastructure having virtual entities for processing, storage and network communications. Each virtual infrastructure can be passivated by suspending applications, stopping operating systems, and storing state, to enable later reactivation. This is simpler for a complete virtual infrastructure than for groups of virtual entities and physical entities. It enables cloned virtual infrastructure to be created for testing, upgrading or sharing without risk to the parent. On failure, reversion to a previous working clone is feasible. | 12-03-2009 |
20090300606 | VIRTUAL MACHINE MIGRATION WITH DIRECT PHYSICAL ACCESS CONTROL - A data processing system facilitates virtual machine migration with direct physical access control. The illustrative data processing system comprises a software-programmable trap control associated with hardware registers of a computer that selectively vectors execution control of a virtual machine (VM) between a host and a guest. The data processing system further comprises a logic which is configured for execution on the computer that programs the trap control to enable the virtual machine to directly access the hardware registers when the virtual machine is not migrated and to revoke direct access of the hardware registers in preparation for virtual machine migration. | 12-03-2009 |
20090300607 | SYSTEMS AND METHODS FOR IDENTIFICATION AND MANAGEMENT OF CLOUD-BASED VIRTUAL MACHINES - Embodiments relate to systems and methods for identification and management of cloud-based virtual machines. A user requests the instantiation of a set of virtual machines from a cloud computing environment. A cloud management system requests the resources necessary to build the machines from a set of resource servers. After populating the set of virtual machines from the cloud, the cloud management system inserts a token ID into one of the virtual machines to designate that machine as a management instance. An image of that machine can be stored in the cloud management system to represent the configuration of the set of virtual machines, even when the cloud itself lacks permanent storage. When the user wishes to update the set of virtual machines, the cloud management system can insert another token ID into another virtual machine, reconfigure the software, processing, or other resources of that machine as a revised management instance. | 12-03-2009 |
20090300608 | METHODS AND SYSTEMS FOR MANAGING SUBSCRIPTIONS FOR CLOUD-BASED VIRTUAL MACHINES - A cloud management system can track the usage of the virtual machines in order to determine the fees associated with the user's subscription to the cloud computing environment. The usage can include the resources consumed to support the virtual machines and can include the utilization of the virtual machines by the user or third parties. The cloud management system can determine the fees charged to the user for utilizing the cloud computing environment based on the tracked usage. | 12-03-2009 |
20090300609 | Combining system blueprints, functional layer, and software bits in parallel development of machines - A self-replicating machine includes a virtualization tool, a provisioning tool, and a configuration tool, stored in a distributable self-contained repository of the machine. The machine is able to automatically rebuild itself solely from the tools stored in the distributable self-contained repository. The virtualization tool is configured to build one or more virtual machines on the machine. Each virtual machine has a corresponding operating system and environment. The provisioning tool is configured to provision the one or more virtual machines. The configuration tool is to configure the one or more provisioned virtual machines. A custom configuration management tool further customize and configure the physical machine for specific users. A source code management tool stored in the distributable self-contained repository is configured to develop each virtual machine independently from each other in parallel, and to merge back the developments to a corresponding parent virtual machine. | 12-03-2009 |
20090300610 | Self-replicating machines - A self-replicating machine includes a virtualization tool, a provisioning tool, and a configuration tool, stored in a distributable self-contained repository of the machine. The machine is able to automatically rebuild itself solely from the tools stored in the distributable self-contained repository. The virtualization tool is configured to build one or more virtual machines on the machine. Each virtual machine has a corresponding operating system and environment. The provisioning tool is configured to provision the one or more virtual machines. The configuration tool is to configure the one or more provisioned virtual machines. A custom configuration management tool further customize and configure the physical machine for specific users. | 12-03-2009 |
20090300611 | In-place Shadow Tables for Virtualization - In a computing system having virtualization software including a guest operating system (OS), a method for providing page tables that includes: providing a guest page table used by the guest OS and a shadow page table used by the virtualization software wherein at least a portion of the guest page table and the shadow page table share computer memory. | 12-03-2009 |
20090300612 | Distributing Virtualization Software Address Space in Guest OS Address Space - In a computing system having virtualization software including a guest operating system (OS), a method for operating wherein virtualization software address space is distributed in guest OS address space that includes: granting the guest OS execute, but not read or write, access, to pages in the virtualization software address space. | 12-03-2009 |
20090300613 | INPUT/OUTPUT EMULATION SYSTEM FOR VIRTUAL MACHINE - An I/O emulation system for a virtual machine includes a command interpretation portion including a programmable logic device that detects completion of a plurality of device operating instructions, which corresponds to a device operating request for the virtual machine, output from the guest device driver included, a device control portion that converts the plurality of device operating instructions, which is notified by a virtual machine monitor, into an I/O command and a host device driver that outputs a device operating instruction for the actual device in accordance with the I/O command. | 12-03-2009 |
20090300614 | VIRTUAL-MACHINE CONTROL SYSTEM AND VIRTUAL-MACHINE MOVING METHOD - A virtual-machine control program includes an operational-status inquiry unit that inquires of another machine about a memory usage status of virtual machines realized on another machine; a swapping-target selecting unit that selects a virtual machine capable of being swapped for a virtual machine realized on a machine from among the virtual machines realized on another machine based on information obtained by the operational-status inquiry unit; and a swapping performing unit that swaps the virtual machine realized on the machine for the virtual machine selected by the swapping-target selecting unit. The virtual-machine control program enables to move the virtual machine to another machine without preparing a free space in advance. | 12-03-2009 |
20090307686 | SELECTIVE MEMORY DONATION IN VIRTUAL REAL MEMORY ENVIRONMENT - A method, system, and computer usable program product for selective memory donation in a virtual real memory environment are provided in the illustrative embodiments. A virtual machine receives a request for memory donation. A component of the virtual machine determines whether a portion of a memory space being used for file caching exceeds a threshold. The determining forms a threshold determination, and the portion of the memory space being used for file caching forms a file cache. If the threshold determination is false, the component ignores the request. If the threshold determination is true, a component of the virtual machine releases a part of the file cache that exceeds the threshold. The part of the file cache forms a released file cache. In response to the request, the virtual machine makes the released file cache available to a requester of the request. | 12-10-2009 |
20090307687 | Systems and methods for application mobility using virtual real memory services - Systems, methods and media for sharing an application among multiple server systems are disclosed. In one embodiment, the application is stored on a fast disk paging device. The paging device is in communication with each of a plurality of servers so that each server may be given access to the application. Virtual real memory (VRM) is allocated to a first virtual client of the first server. A portion of this VRM is allocated to the application. The application is executed by a virtual client of a first server. During execution a condition may occur that requires taking the first server off line. In that event, VRM is allocated to a second virtual client of a second server. A portion of this VRM is allocated to the application. The application is then executed by the second virtual client of the second server. | 12-10-2009 |
20090307688 | Virtual Cluster Proxy to Virtual I/O Server Manager Interface - An interface is provided between a virtual cluster proxy and a virtual I/O server on a logical partitioned platform. The virtual I/O server is configured to support virtual real memory. A command is received from a virtual cluster proxy. The virtual cluster proxy identifies whether the command is a virtual real memory command or a virtualization command. Virtual real memory commands and virtualization commands are marked by the virtual cluster proxy with a virtualization marker. The virtualization marker indicates that the command is a virtual real memory command or a virtualization command. The command is then forwarded to a logical partitioned platform. A virtual memory manager intercepts the command and identifies whether the command has been marked with the virtualization marker. If the command is marked with the virtualization marker, the virtual memory manager reroutes the command to the virtual I/O server. | 12-10-2009 |
20090307689 | VIRTUAL MACHINE COMMUNICATIONS - Apparatus, systems, and methods may operate to emulate a virtual device with bidirectional communication capability using a hypervisor. A virtual machine, started by the hypervisor, is capable of receiving hypervisor information from, and transmitting virtual machine information to the hypervisor via the bidirectional communication capability. Further activity may include detecting the existence of the hypervisor information by detecting a logical connection of the virtual device, and masking the existence of the virtual device from a virtual machine user inside the virtual machine. Additional apparatus, systems, and methods are disclosed. | 12-10-2009 |
20090307690 | Managing Assignment of Partition Services to Virtual Input/Output Adapters - Automated management of partition service assignment to a virtual input/output (VIO) adapter is provided. Responsive to creation of a new partition service in a data processing system, a partition priority number is determined for the new partition service, and, for each VIO adapter, the partition priority numbers of the partition services currently assigned to that VIO adapter are summed. For a VIO adapter with a lowest sum of partition priority numbers, logic determines whether assigning the new partition service to that VIO adapter results in its summed partition priority number being above a predefined threshold, and the new partition service is assigned to a VIO adapter based, at least in part, on whether assigning the new partition service to the VIO adapter with the lowest sum of partition priority numbers results in that VIO adapter's summed partition priority number exceeding the predefined threshold. | 12-10-2009 |
20090313620 | SYNCHRONIZING VIRTUAL MACHINE AND APPLICATION LIFE CYCLES - A virtual environment can be configured to coordinate life cycles of virtual machines and application programs executing therein. In one implementation, the virtual environment includes an application layer and a virtual machine layer. The application layer communicates with the virtual machine layer to coordinate and directs virtual machine creation and deletion in a coordinated fashion with application programs. For example, the application layer receives a request to initiate an application program. The application layer determines from associated application properties the type and/or number of virtual machines to be created. The application layer then directs creation of the appropriate virtual machines (through the virtual machine layer), and further directs installation of the requested application programs therein. When detecting removal of the application program from the created virtual machines, the application layer can automatically direct removal or decommissioning of the corresponding virtual machine. | 12-17-2009 |
20090313621 | INFORMATION PROCESSING DEVICE, INFORMATION PROCESSING METHOD, RECORDING MEDIUM, AND PROGRAM - An information processing apparatus, an information processing method, a recording medium, and a program that can run an application for playing an optical disc and an application that provides a unique function of the apparatus in cooperation with each other. A JVM converts JAVA™ bytecode into native code and executes the native code. An API library is a set of commands and functions that can be used when developing a navigation program and a set of protocols defining procedures. The navigation program is a program that reproduces data recorded on an optical disc and can control hardware of a reproducing apparatus only within the range of the API library. An application program provides a function unique to the reproducing apparatus. The navigation program and the application program execute a process in cooperation with each other using IXC. | 12-17-2009 |
20090320020 | Method and System for Optimising A Virtualisation Environment - A mechanism for advising users on how to optimize their virtualisation infrastructure to minimise their software costs. There are two aspects to solution. The first aspect considers the distribution of software in the virtualised environment. The second aspect determines the proper licence types (e.g., sub-capacity or full capacity) for the virtualised environment, based on the usage of the software products therein. | 12-24-2009 |
20090328033 | SEAMLESS INTEGRATION OF MULTIPLE COMPUTING ENVIRONMENTS - A unification system for integrating multiple computing environments, which are available for simultaneous use by a single user, into a base environment includes a unification supervisor and a plurality of agents, where each agent is associated with a respective computing environment. The unification supervisor monitors information received from the agents regarding the multiple computing environments, and performs centralized direction of the computing environments in accordance with the received information. The centralized direction serves to incorporate the other computing environments into the base environment, and may be guided by policies designed to provide the user with a unified environment. The unification supervisor also issues instructions to the various agents. Each of the agents provides information regarding a respective computing environment to the unification supervisor, and may also manage the respective computing environment as directed by the unification supervisor. The base environment is typically the user's endpoint work environment. | 12-31-2009 |
20090328034 | ESTABLISHING A BI-DIRECTIONAL GRID COMPUTING NETWORK - A method of establishing a bi-directional grid computing network includes submitting a processing job from a job requester to a central repository, querying nodes associated with the job requester to process the processing job, identifying suitable nodes associated with the job requester to process the processing job, transmitting the processing job from the job requester to at least one of the suitable nodes associated with the job requester, processing the processing job on the at least one suitable node associated with the job requester, and returning results from processing the processing job to the job requester. | 12-31-2009 |
20090328035 | Lazy Handling of End of Interrupt Messages in a Virtualized Environment - Techniques enable reducing a number of intercepts performed by a hypervisor by reducing a number of End Of Interrupt (EOI) messages sent from a virtual central processing unit (CPU) to a virtual advanced programmable interrupt controller (APIC). The EOI path of the guest operating system running on the virtual CPU is altered to leave a marker indicating that the EOI has occurred. At some later time the hypervisor inspects the marker and lazily updates the virtual APIC state. | 12-31-2009 |
20090328036 | SELECTION OF VIRTUAL COMPUTING RESOURCES USING HARDWARE MODEL PRESENTATIONS - A user can configure and purchase virtual computing resources in much the same way buyers now configure and purchase physical computers. One embodiment allows a user to select various performance parameters that are commonly known and used by the average user of a personal computer. For example, a baseline computer system can be selected and then customized by choosing performance parameter such as a processor brand name, processor clock speed, random-access memory (RAM) capacity, hard disk drive capacity, etc. The performance parameters can then be used to configure virtual computing resources to achieve a virtual computer that substantially meets the performance parameters. | 12-31-2009 |
20090328037 | 3D GRAPHICS ACCELERATION IN REMOTE MULTI-USER ENVIRONMENT - Systems and methods for providing graphics acceleration to one or more terminal systems are disclosed. In one embodiment, a virtual machine session is created and one or more cores of a graphics accelerator with a plurality of cores is assigned to a virtual machine session in order to render a virtual desktop for display at a terminal system. | 12-31-2009 |
20090328038 | Computer System and Device Controlling Method for Computer System - According to one embodiment, a computer system configured such that a virtual machine including a guest operating system running on a source computer connected to a network migrates to a destination computer connected to the network, where the virtual machine then running on the destination computer wherein, the source computer comprises first hardware, a first backend driver running in a first hypervisor running on the first hardware, and configured to directly control the device in association with communication performed via a first interface, the virtual machine comprises a frontend driver configured to run in the guest operating system, and to control the device, the destination computer comprises second hardware, the second hypervisor running on the second hardware, and to manage the virtual machine, and a second backend driver configured to run in the second hypervisor and including a second interface which is the same as the first interface. | 12-31-2009 |
20100005464 | EFFICIENT RECORDING AND REPLAYING OF NON-DETERMINISTIC INSTRUCTIONS IN A VIRTUAL MACHINE AND CPU THEREFOR - The output of a non-deterministic instruction is handled during record and replay in a virtual machine. An output of a non-deterministic instruction is stored to a buffer during record mode and retrieved from a buffer during replay mode without exiting to the hypervisor. At least part of the contents of the buffer can be stored to a log when the buffer is full during record mode, and the buffer can be replenished from a log when the buffer is empty during replay mode. | 01-07-2010 |
20100005465 | VIRTUAL MACHINE LOCATION SYSTEM, VIRTUAL MACHINE LOCATION METHOD, PROGRAM, VIRTUAL MACHINE MANAGER, AND SERVER - A virtual machine location system includes a resource conflict detection unit for detecting a resource conflict using measurement data regarding a resource usage state of the entirety of a single server and a resource usage state of virtual machines running on the server, and a virtual machine relocation determination unit for transferring the virtual machine on the server for which a resource conflict has been detected to another server having a lower resource usage rate are included. | 01-07-2010 |
20100011355 | Apparatus for Facilitating Control of a Target Computer by a Remote Computer - A device for permitting control of a target computer by a remote computer is operatively connectable to the remote computer so as to receive remote computer mouse and keyboard signals and transmit video update data to the remote computer. The device is operatively connectable to the target computer so as to provide the remote computer keyboard and mouse signals as control inputs to the target computer and so as to receive a target computer video signal for generation of video update data. The device includes a virtual memory disk, wherein the virtual floppy disk includes a memory space associated with the device. The device is configured to permit a user of the remote computer to mount the device to the remote computer, transfer data to the virtual memory disk, and unmount the virtual memory disk. | 01-14-2010 |
20100011356 | INTELLIGENT DISTRIBUTED CONTROLLER - A network of intelligent distributed controls adapted to appear to a programmer as a single virtual device for controlling a system having a pool of all of the inputs and outputs of the various intelligent distributed controllers in the network. | 01-14-2010 |
20100011357 | SYSTEM AND METHOD FOR GARBAGE COLLECTION IN A VIRTUAL MACHINE - A method includes initializing a virtual machine; and defining a garbage collector configured to perform garbage collection in a process separate from the virtual machine, without a stop-the-world phase. A system and a computer program product are also provided. | 01-14-2010 |
20100011358 | Virtualization Based Personalizable Consumer Electronics Platform - A simple to customize IT infrastructure architecture. The IT infrastructure architecture includes a general-purpose virtualized architecture platform. The IT infrastructure architecture is well suited for delivering simple pre-packaged software solutions to the small business segment as plug and play type appliances. In certain preferred embodiments, the IT infrastructure architecture includes a virtual appliance memory device such as a virtual appliance universal serial bus (USB) key. The IT infrastructure architecture uses embedded server virtualization technology to host business applications as a virtual appliance. | 01-14-2010 |
20100011359 | METHOD AND APPARATUS FOR MANAGING OWNERSHIP OF VIRTUAL PROPERTY - A method and apparatus for managing ownership of virtual property accessible to users is provided by a computer-implemented system connected to a network. This method and apparatus further comprises maintaining an inventory of virtual properties, managing ownership of virtual properties, and allowing use of virtual properties by corresponding property owners within corresponding network spaces. In particular, virtual properties are purchased from a system by user computers, wherein virtual properties may be accessed by a user and/or sold or traded to other users which may include auction websites | 01-14-2010 |
20100017800 | METHOD, COMPUTER PROGRAM PRODUCT, AND HARDWARE PRODUCT FOR SUPPORTING VIRTUAL MACHINE GUEST MIGRATION OVERCOMMIT - Virtual machine guest migration overcommit is supported by providing a virtual machine with an unschedulable resource that is not available for system scheduling. The unschedulable resource may, but need not, be provided in the form of a memory buffer, or one or more network resources, or one or more resources that are accessible from a network. The unschedulable resource is utilized in one or more domains to temporarily conceal one or more user environments that do not fit into a set of currently available resources, such that the one or more temporarily concealed user environments are not terminated or paged out. | 01-21-2010 |
20100017801 | PROFILE BASED CREATION OF VIRTUAL MACHINES IN A VIRTUALIZATION ENVIRONMENT - A method of creating a new virtual machine in a hypervisor server using a virtual machine setup profile is disclosed. A virtual machine setup profile method is selected from a plurality of virtual machine setup profiles. Then, system information is retrieved from the hypervisor server. The system information includes available computing resources in the hypervisor server. Thereafter a number of virtual machines that can be hosted in the hypervisor server based on the available computing resources is calculated and a number of new virtual machines are created in the hypervisor server. | 01-21-2010 |
20100017802 | NETWORK SYSTEM AND METHOD FOR CONTROLLING ADDRESS SPACES EXISTING IN PARALLEL - The present invention relates to a network system having a large number of network elements which are connected via network connections and also to a method for controlling address spaces which exist in parallel. Network systems and methods of this type are required in order to organise distributed memories in an efficient manner which are connected via network connections, in particular in order to accelerate the memory access in the case of parallel distributed computing. | 01-21-2010 |
20100023939 | Virtual-machine generating apparatus, virtual-machine generating method, and virtual-machine generation program - A virtual-machine generating apparatus includes a configuration-information storage unit that stores hardware resources and hardware configuration information in association with unique information of virtual machines. When accepting resources as many as the number of virtual machines to be newly introduced, the apparatus determines whether the resources identical to the accepted resources are stored in the configuration-information storage unit. When it is determined that the identical hardware resources are stored, the apparatus performs a process of copying an OS of the virtual machines having the identical resources, as many times as the number of those having the resources determined as being stored in the configuration-information storage unit. | 01-28-2010 |
20100023940 | VIRTUAL MACHINE SYSTEM - A virtual machine system includes a virtual battery manager, a discharge calculator, and a discharger. The virtual battery manager manages a virtual battery for running a virtual machine. The virtual battery holds electric energy used by the virtual machine. The virtual battery is capable of discharging and being charged. The discharge calculator calculates a discharged amount of the virtual battery by calculating energy consumption of the virtual machine with reference to a consumption policy and a consumption allocation table when a resource quota for the virtual machine satisfies a predefined condition for discharging. The consumption policy defines a maximum amount of total energy consumption of the plurality of virtual machines. The consumption allocation table contains an allocated ratio of energy consumption for each of the plurality of virtual machines. The discharger decreases a battery level of the virtual battery. | 01-28-2010 |
20100023941 | VIRTUAL MACHINE MONITOR - A mapping data generator determines a virtual page number of a virtual page where an executable code in an object file is mapped. A virtual page manager manages a virtual page record containing the virtual page number, a first object ID corresponding to the virtual page number, and a detection flag indicative of existence of another virtual page record containing a second object ID identical with the first object ID. A machine page manager manages a machine page record containing the first object ID, and a machine page number to which the virtual page number is mapped. A sharable page detector determines whether a detection flag indicates existence of another virtual page record containing the first object ID and determines whether a machine page number has been set. A page mapper maps a set machine page number to the virtual page number of the access page. | 01-28-2010 |
20100023942 | ACCELERATING VIRTUAL MACHINE RESUME TIME USING A PRE-CACHED WORKING SET - A client transitions between a suspended virtual machine (VM) state and an active VM state by employing a working set comprising a VM state file and a working set index file. The VM state file serializes each of the VM components that is saved to storage. The working set index file contains indirect information that records the offset, length, and region of various pieces of the VM state and contains a VM working set. The VM suspend state serialization is implemented as either a monolithic or an incremental process. | 01-28-2010 |
20100023943 | INTERFACE FOR PROCESSING CLIENT-SERVER METHOD CALLS WITHIN A SINGLE VIRTUAL MACHINE - The present invention concerns a method and system for enabling a server application to be executed in the same Java Virtual Machine (VM) as a client application using direct Java method calls without the need for remote communications protocol processing of the method calls. This is achieved by providing an application program interface (API) that exposes objects comprising the server application program to the client application program, whereby a client application method call is reduced by the interface to a Plain Old Java Objects (POJO) method call. | 01-28-2010 |
20100031252 | Method And System For Monitoring The Performance Of An Application And At Least One Storage Device For Storing Code Which Performs The Method - A method and system of monitoring the performance of an application running across multiple virtual machines using thread instance data are provided. The application runs or executes in an environment in which a first thread is processed on a first virtual machine in response to an invocation process and a second thread is processed on a second virtual machine in response to a request to invoke from the first thread. The method includes automatically generating first and second sets of thread instance data. The first set of thread instance data is based on the processing of the first thread and the second set of thread instance data is based on the processing of the second thread. The method also includes correlating the first and second sets of thread instance data to tie the invocation and performance of the processing of the first thread to the performance of the processing of the second thread. The invocation process is followed across the threads of execution of the multiple virtual machines. | 02-04-2010 |
20100031253 | SYSTEM AND METHOD FOR A VIRTUALIZATION INFRASTRUCTURE MANAGEMENT ENVIRONMENT - A secure network architecture. The secure network architecture includes a plurality of data processing system servers connected to communicate with a physical switch block, each of the data processing system servers executing a virtual machine software component. The secure network architecture also includes a data processing system implementing a virtualized logical compartment, connected to communicate with the plurality of data processing system servers via the physical switch block. The virtualized logical compartment includes a plurality of virtual components each corresponding to a different one of the virtual machine components. | 02-04-2010 |
20100031254 | Efficient detection and response to spin waits in multi-processor virtual machines - Various aspects are disclosed herein for attenuating spin waiting in a virtual machine environment comprising a plurality of virtual machines and virtual processors. Selected virtual processors can be given time slice extensions in order to prevent such virtual processors from becoming de-scheduled (and hence causing other virtual processors to have to spin wait). Selected virtual processors can also be expressly scheduled so that they can be given higher priority to resources, resulting in reduced spin waits for other virtual processors waiting on such selected virtual processors. Finally, various spin wait detection techniques can be incorporated into the time slice extension and express scheduling mechanisms, in order to identify potential and existing spin waiting scenarios. | 02-04-2010 |
20100031255 | Modular Virtual Machine Server - A simple to customize IT infrastructure architecture. The IT infrastructure architecture includes a general-purpose virtualized architecture platform. The IT infrastructure architecture is well suited for delivering simple pre-packaged software solutions to the small business segment as plug and play type appliances. In certain preferred embodiments, the IT infrastructure architecture includes a virtual appliance memory device such as a virtual appliance universal serial bus (USB) key. The IT infrastructure architecture uses embedded server virtualization technology to host business applications as a virtual appliance. | 02-04-2010 |
20100031256 | METHOD FOR ESTABLISHING VIRTUAL OPERATING SYSTEM - The present invention provides a method for establishing a virtual operating system (VOS). The method comprises: obtaining an instruction message for loading a VOS, wherein the instruction message comprises identification information of application programs corresponding to the VOS to be loaded; obtaining configuration information of a VOS plug-in required for executing each application program corresponding to the identification information from a virtual environment database; and establishing a link between the VOS plug-ins required for executing an application program and a VOS core part according to the configuration information, and loading the VOS plug-ins into user-mode memory space. The present invention decompose a VOS into one core and many plug-ins, for code sharing, which is a great flexibility because independent functions can be combined on demand. The present invention facilitates followed-up dynamic updating and upgrading of the VOS, enables every application program to have fittest VOS and dynamically adjusts the functionality of the VOS according to requirements so as to fulfill the current situation. | 02-04-2010 |
20100031257 | COMPUTER SYSTEM, VIRTUAL COMPUTER SYSTEM, COMPUTER ACTIVATION MANAGEMENT METHOD AND VIRTUAL COMPUTER ACTIVATION MANAGMENT METHOD - A computer system, a virtual computer system and a computer activation management method for each system are disclosed. In the virtual computer system, a virtualization mechanism includes a WWN management table for storing the correspondence between a virtual HBA assignable to each virtual computer and the WWN, and a LPAR activation unit for checking the WWN corresponding to the virtual HBA assigned to the virtual computer based on the WWN management table at the time of activating the virtual computer and in the case where the WWN assumes a value indicating the activation suppression, suppressing the activation of the virtual computer. The virtual computer or the computer with the device identification information changeable, if triggered at a timing not intended by the user, can be prevented from being activated. | 02-04-2010 |
20100031258 | VIRTUAL MACHINE SYSTEM AND CONTROL METHOD OF THE VIRTUAL MACHINE SYSTEM - In a control method of a virtual machine system, when a virtual machine operating in a physical machine is transferred to another physical machine, a management server stops the virtual machine of transfer source and defines a virtual machine of transfer destination in the other physical machine. A virtual network address and a virtual storage interface address of the virtual machine of transfer source is exchanged with a virtual network address and a virtual storage interface address defined in the virtual machine of transfer destination in the state that the virtual machine is prevented from being activated and then prevention of activation of the virtual machine of transfer destination is canceled, so that transfer processing can be made safely by simple procedure. | 02-04-2010 |
20100031259 | ESTIMATING POWER CONSUMPTION OF A VIRTUAL SERVER - Embodiments of the invention disclose a system and a method for estimating the power consumption of virtual servers that operate in various hardware configurations and making a charge. The system consists of a resource utilization measurement unit that measures utilization of a hardware resource by an operation of a virtual server. The system also includes a conversion unit that converts the utilization of the hardware resource measured by the resource utilization measurement unit to utilization of a hardware resource in a case where the operation of the virtual server is performed in a reference server including a preset hardware configuration. The system further includes a virtual power consumption calculation unit that calculates, on the basis of the utilization in the reference server obtained by the conversion by the conversion unit, as power that can be assumed to be consumed by the operation of the virtual server, power consumption in a case where a similar operation is performed in the reference server. The system further has an accounting unit that makes a charge for the operation of the virtual server on the basis of the power consumption calculated by the virtual power consumption calculation unit. Other embodiments are also provided. | 02-04-2010 |
20100037220 | System and Method for Creating and Associating a Virtual Pseudo TTY with a Running Process - Creating and associating a virtual pseudo tty device with a running process. A pool of virtual pseudo tty devices composed of a number of special character-oriented device files is created and associated with a sub-directory in the device file system. In response to receiving a process id (PID) of a running process, an unassociated virtual pseudo tty device in the pool of virtual pseudo tty devices is assigned to the running process. The association of the running process and the virtual pseudo tty device is accomplished by locating and modifying the running process's controlling tty in the running process's u-block to point to the assigned unassociated virtual pseudo tty device. Following which, the running process id and the associated virtual pseudo tty device are saved in a virtual pseudo tty mapping table thus allowing the running process to be disassociated from a physical console or pseudo tty device. | 02-11-2010 |
20100037221 | METHOD AND SYSTEM FOR BUILDING VIRTUAL ENVIRONMENT - The present invention relates to a method and a system for building a virtual environment. The method for building a virtual environment includes: a virtual environment sever obtaining the number of virtual environments to be built; and building the virtual environments with the corresponding number according to the number. Through obtaining the number of the virtual environments to be built and building the virtual environments with the corresponding number by calling directly operating system resources, the system resources occupied by a plurality of heavyweight virtual machines are reduced and the execution efficiency of application programs and the whole efficiency of the operating system are improved. | 02-11-2010 |
20100042992 | Remote Access to Workspaces in a Virtual Computing Environment with Multiple Virtualization Dimensions - The present invention provides methods and systems for virtualization of workspaces in a computer system. The computer system may include an operating system that may abstract the computer's hardware and other applications. Further, the operating system may contain and isolate operating system services from each other and applications and may facilitate virtualized execution of one or more applications. In addition, the virtualization of workspace may contain and isolate user data from the hardware, the operating system and the applications, and may use a server to provide remote access to the virtualized workspace from a client device. | 02-18-2010 |
20100042993 | Transportation of a Workspace from One Machine to Another in a Virtual Computing Environment without Installing Hardware - The present invention provides methods and systems for transporting a virtual workspace from one computer to another, without installing hardware elements on the second computer. The virtual workspace may be embodied as a set of virtual disk images that facilitate the transportation of the workspace to another computer without installation of hardware elements. | 02-18-2010 |
20100042994 | Transportation of a Workspace from One Machine to Another in a Virtualized Computing Environment without Installing an Operating System - The present invention provides methods and systems for transporting a virtual workspace from one computer to another, without installing an operating system on the second computer. The virtual workspace may be embodied as a set of virtual disk images that facilitate the transportation of the workspace to another computer for operating the workspace without necessitating the installation of an operating system. | 02-18-2010 |
20100050170 | Access to Data for Virtual Devices - One embodiment of the present invention is a method for a virtual machine to access data from a virtual device, the method including: (a) attaching the virtual device to the virtual machine with a backing store that is a virtual image of a file system conforming to a predetermined file system format, wherein: (i) file system data is stored in one or more files, (ii) the virtual image includes metadata stored apart from the file system data, which metadata corresponds to the predetermined file system format, (iii) the metadata includes one or more directory records, and (iv) the one or more directory records include information that points directly or indirectly to the file system data; (b) issuing a read request for a block of data from the file system as if stored in the predetermined file system format; (c) accessing the metadata and determining the location of the requested block of data in the file system data; and (d) retrieving the requested block of data from the file system data. | 02-25-2010 |
20100050171 | RESOURCE MANAGEMENT SYSTEM AND APPARATUS - A computer implemented method for efficiently allocating resources for an enterprise server system through a proportional integral derivative scheme is provided. The method includes defining a set point parameter for a resource being allocated and defining a proportional gain parameter, a proportional integral (PI) gain parameter and a proportional integral derivative (PID) gain parameter in terms of the proportional gain parameter. The method further includes calculating an initial maximum allocation for the resource based on a product of the proportional gain parameter with a difference of an initial operating parameter and the set point parameter and adjusting the initial operating parameter to the initial maximum allocation. A next allocation of the resource is calculated based on a product of the proportional gain parameter with the difference of an initial operating parameter and the set point parameter and a difference of the set point with a current operating parameter. The initial maximum allocation is adjusted with a next allocation. | 02-25-2010 |
20100050172 | METHODS AND SYSTEMS FOR OPTIMIZING RESOURCE USAGE FOR CLOUD-BASED NETWORKS - A cloud marketplace system can be configured to communicate with multiple cloud computing environments in order to ascertain the details for the resources and services provided by the cloud computing environments for optimizing resources utilized by virtual machines. The cloud marketplace system can be configured to determine the resource and service data for the cloud computing environments and select a set of resource servers for instantiating the virtual machines based specifications of the virtual machines and parameters of the instantiation. The cloud marketplace system can be configured to periodically monitor the cloud's resources and migrate the virtual machines if resources become available that more closely match the parameters of the virtual machines. | 02-25-2010 |
20100050173 | Provisioning Virtual Resources Using Name Resolution - A data string including a resource identifier and one or more resource attributes is parsed at a name resolution module and provided to a computing resource provisioning system. The computer resource provisioning system provisions a resource that corresponds to the resource identifier and that has the at least one. A handle is returned to provide access to the resource at a client system. | 02-25-2010 |
20100050174 | HEAP ORGANIZATION FOR A MULTITASKING VIRTUAL MACHINE - A heap organization for a multitasking virtual machine is described. The heap organization may comprise an execution engine to concurrently execute a plurality of tasks and a plurality of heaps coupled to the execution engine. In some embodiments, the plurality of heaps may comprise a system heap and a task heap separated from the system heap. The system heap may store system data accessible by the plurality of tasks. The task heap may store task data only accessible by one task of the plurality of tasks. | 02-25-2010 |
20100058334 | METHOD AND SYSTEM FOR POLICY BASED LIFECYCLE MANAGEMENT OF VIRTUAL SOFTWARE APPLIANCES - A system and method for managing dynamically allocated resources assigned to a service includes providing a service to be used by a plurality of sites in a federation. Usage information associated with the service is communicated to each of the plurality of sites. A disposition of the service is determined based on local policies and the usage information received from other sites by a service owner. The disposition of the service is updated across a plurality of sites in accordance with messages sent by the service owner to reduce resource usage. | 03-04-2010 |
20100058335 | METHODS AND SYSTEMS FOR INTEGRATED STORAGE AND DATA MANAGEMENT USING A HYPERVISOR - Methods and systems for integrated data management and block level storage management in a storage system having a controller with a multi-core processor. A hypervisor manages the multi-core processor such that at least one processor is used in a first virtual machine on which a block level storage management process is operable. The first virtual machine may also operate under the control of a real-time operating system. The hypervisor also defines a second virtual machine using one or more other processor cores and operates a data management application process optionally under control of a general purpose operating system. The optional general purpose operating system and the data management application process access storage devices by communicating through the hypervisor with the block level storage management process operable in the first virtual machine. | 03-04-2010 |
20100058336 | ASSIGNMENT, AT LEAST IN PART, OF AT LEAST ONE VIRTUAL MACHINE TO AT LEAST ONE PACKET - In an embodiment, an apparatus is provided that may include circuitry to be comprised in a platform. The circuitry may be to determine, at least in part, at least one virtual machine (VM) resident in the platform to be assigned to process, at least in part, at least one packet. The circuitry may determine, at least in part, the at least one VM based at least in part upon (1) comparison, at least in part, of at least one destination of the at least one packet to at least one predetermined destination, and (2) at least one value based at least in part upon both at least one port associated with the at least one packet and at least one source of the at least one packet. Of course, many alternatives, variations, and modifications are possible without departing from this embodiment. | 03-04-2010 |
20100058337 | SYSTEMS AND METHODS FOR SHARED OBJECT LOCK UNDER STATE MACHINE CONTROL - Embodiments relate to systems and methods for a shared object lock under state machine control. An operating system or virtual machine environment can host a set of multiple executing threads, and provide those threads with mutual access to one or more objects such as storage objects, memory objects, or others. The threads can independently request that the object be locked or unlocked, and the locked or unlocked state can be shared between the threads. Rather than communicate with the object(s) directly, in embodiments the threads communicate with a state machine that in turn controls the state of the object(s). When a request to change the state of the object(s) is received, the state machine can permit the object(s) to change between locked, unlocked, or other states based on the current state of the machine and the received message. Contention between threads can be reduced or eliminated. | 03-04-2010 |
20100058338 | CALLBACKS IN VIRTUAL MACHINES - The use of callback functions when executing intermediate instructions in a virtual machine is described. The virtual machine receives and evaluates intermediate instructions. The virtual machine evaluates the instructions based a function table referencing definitions of the functions. A callback is loaded into the virtual machine platform, and a callback loader modifies the function table to cause a function in the table to point to the callback instead of the standard definition. Thus, when the intermediate code is evaluated, it is evaluated based on the modified functionality of the callback rather than the standard definition. | 03-04-2010 |
20100058339 | METHOD AND SYSTEM FOR PROVIDING A HOMOGENEOUS VIEW OF A DISTRIBUTED COMMON INFORMATION MODEL (CIM) WITHIN A HETEROGENEOUS VIRTUAL SYSTEM ENVIRONMENT - A method and system for providing a homogeneous view of a distributed common information model (CIM) within a heterogeneous virtual system environment is disclosed. The virtual system environment includes local and remote host systems, each of which includes a CIM Object Model (CIMOM) and a CIM repository of provider applications. The method includes selecting one of the CIMOMs to serve as a single access point to the CIMOMs for all client requests and configuring provider applications of the selected CIMOM to communicate with non-selected CIMOMs. The configuration includes using a discovery or registration process to identify all of the local and remote host systems in the virtual system environment and provide access points between the provider applications of the selected CIMOM and the hosts. In response to a client request, the selected CIMOM uses the access points to retrieve, aggregate, and forward the information to a client application as a homogeneous view. | 03-04-2010 |
20100058340 | Access Controlling System, Access Controlling Method, and Recording Medium Having Access Controlling Program Recorded Thereon - An access controlling system include a managing domain which generates a virtual file for each domain and each resource, determines whether a label can be provided to the generated virtual file, and determines contents of the labels provided to the domain | 03-04-2010 |
20100058341 | APPARATUS AND METHOD FOR SETTING INPUT/OUTPUT DEVICE IN VIRTUALIZATION SYSTEM - A server in the virtualization system includes a plurality of virtual machines (VM) to construct a computing environment for every user, and allocates any one of the plurality of virtual machines to a user, when the user has access to the server. At this time, a plurality of peripheral apparatuses that are adjacent to the user are set as input/output devices of the virtual machines. According to an embodiment of the present invention, it is possible to construct an input/output computing environment of a virtual machine provided in a virtualization system by dynamically connecting a plurality of peripheral apparatuses around a user, thereby constructing a computing environment that is suitable for a peripheral situation of the user. | 03-04-2010 |
20100058342 | PROVISIONING SYSTEM, METHOD, AND PROGRAM - A shared server | 03-04-2010 |
20100070970 | Policy-Based Hypervisor Configuration Management - At least one target configuration of a host at a virtualization software level is automatically assembled based on a source configuration. A policy based profile describing the source configuration is processed. A configuration specification describing the target configuration is automatically created, based on the policy based profile describing the source configuration. The configuration specification is automatically applied to target configurations, such that the target configuration(s) are compliant with the policy based profile. | 03-18-2010 |
20100070971 | METHOD FOR ENABLING THE INSTALLATION OF SOFTWARE APPLICATIONS ON LOCKED-DOWN COMPUTERS - A method for enhancing functionality of locked-down computers by allowing installation of software applications on the locked-down computers operated by users having limited administrator permissions. The method comprises detecting an attempt for installation of a software application on a locked-down computer; generating a virtual software application corresponding to the software application, wherein the virtual software application is generated in a virtual environment; and enabling the execution of the virtual software application in the virtual environment. | 03-18-2010 |
20100070972 | APPARATUS, METHOD, AND COMPUTER PROGRAM PRODUCT FOR PROCESSING INFORMATION - Port numbers allocated to each HTTP server are registered in association with identification information of the corresponding HTTP server in a port managing table. A worker HTTP server refers to the port managing table and determines unique port numbers as internal ports thereof that do not overlap with port numbers allocated to other HTTP servers. | 03-18-2010 |
20100077394 | COALESCING PERIODIC TIMER EXPIRATION IN GUEST OPERATING SYSTEMS IN A VIRTUALIZED ENVIRONMENT - Techniques are disclosed for coalescing timer ticks generated by timers used to service guest operating systems executing in virtual machines. By coalescing timer ticks a logical processor can enter a low power mode thereby reducing power consumed by the system. | 03-25-2010 |
20100077395 | VIRTUAL MACHINE LIVENESS CHECK - A data center can share processing resources using virtual networks. A hosting program | 03-25-2010 |
20100077396 | PORTABLE STORAGE DEVICE FOR SUPPORTING PORTABLE COMPUTING SYSTEM AND PORTABLE COMPUTING BASED SYSTEM USING THE SAME - Provided are a portable computing system that allows computing operations anywhere an operating system (OS)-installed host computer exists by using a portable storage device storing a virtual machine, an OS image, a portable software image, etc. and a portable computing based system using the portable computing system. The portable storage device for supporting a portable computing system includes: a portable computing system storage storing portable software for running and operating of a portable computing system, wherein a user may not directly access the portable software for deletion and modification; a portable software storage storing portable software images usable in the portable computing system, wherein a user may not directly access the portable software images for deletion and modification; and a portable data storage capable of adding, deleting, and modifying data. | 03-25-2010 |
20100077397 | Input/output (I/O) device virtualization using hardware - According to embodiments of the present invention a computer system that is capable of sharing physical devices among several virtual machines (VM) includes hardware assisted logic to allow requests from guest operating systems (guest OS) to circumvent a virtual machine monitor (VMM) and be processed by the hardware assisted logic. | 03-25-2010 |
20100083247 | System And Method Of Providing Multiple Virtual Machines With Shared Access To Non-Volatile Solid-State Memory Using RDMA - A processing system includes a plurality of virtual machines which have shared access to a non-volatile solid-state memory (NVSSM) subsystem, by using remote direct memory access (RDMA). The NVSSM subsystem can include flash memory and other types of non-volatile solid-state memory. The processing system uses scatter-gather lists to specify the RDMA read and write operations. Multiple reads or writes can be combined into a single RDMA read or write, respectively, which can then be decomposed and executed as multiple reads or writes, respectively, in the NVSSM subsystem. Memory accesses generated by a single RDMA read or write may be directed to different memory devices in the NVSSM subsystem, which may include different forms of non-volatile solid-state memory. | 04-01-2010 |
20100083248 | OPTIMIZING A PREDICTION OF RESOURCE USAGE OF MULTIPLE APPLICATIONS IN A VIRTUAL ENVIRONMENT - Described herein is a method for optimizing a prediction of resource usage of multiple applications running in a virtual environment, comprising: providing a predetermined set of benchmarks; executing the predetermined set of benchmarks in a native hardware system in which the application natively resides; executing the predetermined set of benchmarks in the virtual environment; collecting first traces of first resource utilization metrics in the native hardware system based on the execution of the predetermined set of benchmarks in the native hardware system; collecting second traces of second 10 resource utilization metrics in the virtual environment based on the execution of the predetermined set of benchmarks in the virtual environment; generating a first prediction model that maps a first selected set of the first traces of a selected one of the first resource utilization metrics to the second traces of resource utilization metrics; generating a second prediction model that maps a second different selected set of the first traces of the selected first resource utilization metric to the second traces of resource utilization metrics; collecting first application traces of resource utilization metrics in the native hardware system based on an execution of a first application in the native hardware system; collecting second application traces of resource utilization metrics in the native hardware system based on an execution of a second application in the native hardware system; aggregating the first application traces of the first application and the second application traces of the second application into combined application traces of resource utilization metrics; and predicting a combined resource usage of the first and second applications running in the virtual environment by applying the first and second prediction models to the combined application traces of resource utilization metrics. | 04-01-2010 |
20100083249 | Managing diverse hardware using common tools - Methods and apparatus involve managing a plurality of hardware platforms with common tools despite actual or potential non-uniformity of vendor items. Representatively, hardware platforms include a minimalist operating system upon which a management agent and vendor-specific providers are deployed. From a console common to the platforms, local or remote management occurs. In a paradigm shift when initially deploying or redeploying platforms, for example, a “just enough” operating system exists to configure the hardware of different vendors so virtual machines can be thereafter deployed without needing pre-configured operating systems, bundled management packages, etc. A common embedded hypervisor on the platform is but one technique to achieve a minimalist operating system as is a common CIMOM or SNMP agent but one technique to achieve a management agent. Other features include computing systems and computer program products, to name a few. | 04-01-2010 |
20100083250 | Virtual machine system, and method for managing thereof - According to an aspect of the embodiment, a host virtual machine operated on the CPU controls the virtual machine system and a guest virtual machine, and has save information of system information which defines a save location to save the system information of the guest virtual machine. The save location is in the storage device used by the host virtual machine. A virtual machine monitor operated on the CPU controls the plurality of virtual machines, and includes monitoring unit which monitors the guest virtual machine to detect occurrence of a failure in the guest virtual machine, and collecting unit which collects the system information from the save location based on the save information of system information when the monitoring unit detects the failure in the guest virtual machine. | 04-01-2010 |
20100083251 | Techniques For Identifying And Comparing Virtual Machines In A Virtual Machine System - A technique for identifying virtual machines (VMs) in a VM system includes determining a configuration file location on a data store for the VM. A VM manager (for the VM) and an associated VM identification assigned to the VM (by the VM manager) are determined. A unique VM identification is then created based on the configuration file location on the data store, the VM manager, and the associated VM identification. | 04-01-2010 |
20100088697 | Content Distribution System - Distributing content over a network includes providing an information handling system (IHS) with an interface module used to couple to a signal from a content provider. A virtual IHS management engine dynamically creates a virtual IHS. The virtual IHS then receives the signal. An interface for transmitting the signal from the virtual IHS to a network is also provided. | 04-08-2010 |
20100088698 | TECHNIQUES FOR MANAGING COMMUNICATION SESSIONS - Techniques for managing communication sessions are provided. Secure communication sessions are authenticated via a third-party service and the authenticated responses are broadcasts to multiple virtual machines within a secure network. Each session associated with a principal that is accessing a protected resource of the secure network. The virtual machines assume ownership roles and backup roles for managing the communication session to provide failover support for the communication sessions and in some instances load balancing of the communication sessions. | 04-08-2010 |
20100088699 | VIRTUAL MACHINE OPERATION SYSTEM, VIRTUAL MACHINE OPERATION METHOD AND PROGRAM - It makes possible to accomplish by distributing only a partial disk image to be substituted among three areas in the disk image area of a virtual machine image, i.e., the OS area, the application area, and the user data area. A virtual machine image generation means | 04-08-2010 |
20100095297 | METHOD, SYSTEM AND COMPUTER PROGRAM PRODUCT FOR SOLUTION REPLICATION - A configuration model for an existing software solution, which is distributed over a plurality of existing machines, is discovered. The model includes a plurality of configuration items, which in turn include a plurality of independent attributes and a plurality of dependent attributes, with the plurality of dependent attributes being derivable from the plurality of independent attributes based on a plurality of constraints. The configuration model is stored in a repository, together with disk images, resource configuration details, and logical names of pertinent ones of the existing machines. Specifications of new values of the independent attributes are obtained, and new values of the dependent attributes are computed from the new values of the independent attributes, based on executing the constraints on the configuration model. The images are deployed onto a plurality of new machines for operation in accordance with the new values of the independent attributes and the new values of the dependent attributes, to obtain a re-deployed solution. The machines can be virtual machines or physical machines. | 04-15-2010 |
20100100877 | STATISTICAL PACKING OF RESOURCE REQUIREMENTS IN DATA CENTERS - A computer-implemented method of managing resources in a virtual machine environment can include determining a specification of provisioning success corresponding to each of multiple jobs in the virtual machine environment, determining a prediction of resource needs corresponding to each of the jobs, and determining a resource specification corresponding to each of the jobs based on the specification of provisioning success and the prediction of resource needs. | 04-22-2010 |
20100100878 | METHOD AND APPARATUS FOR RESOURCE PROVISIONING - Embodiments of the invention provide a method and apparatus for resource provisioning for a new virtual machine to be provided in a computer device. In one embodiment, at least one storage subsystem, the computer device, and a storage management server are connected via a network. A unique virtual port name for the new virtual machine is created by one of a first storage subsystem of the at least one storage subsystem or the storage management server. A volume for the new virtual machine is provided in the first storage subsystem. The first storage subsystem includes a unique port name for a port through which the volume for the new virtual machine has I/O connection via the network. The unique virtual port name is assigned to the new virtual machine in the computer device under NPIV (N_Port ID Virtualization). | 04-22-2010 |
20100100879 | METHODS AND SYSTEMS FOR CONVERTING A RELATED GROUP OF PHYSICAL MACHINES TO VIRTUAL MACHINES - A computer implemented method for processing virtualization of physical computers that are part of a group into virtual computers is provided. The method includes obtaining relationship data from the physical computers, where the relationship data identifies parameters used to communicate within the group. Then, the method analyzes utilization parameters for each of the physical computers of the group. A visual model for proposed virtualization of the group of physical computers is then generated. The visual model identifies hosting machines designated to define a virtual computer for each of the physical computers, where the visual model provides a graphical illustration of the group of physical computers once converted to virtual computers. The method enables adjustment of the proposed virtualization of the group of physical computers. Then, an execution sequence of virtualization operations to be carried out is generated, if execution of the proposed virtualization is triggered, and the execution sequence is saved to storage and accessed upon execution. | 04-22-2010 |
20100100880 | VIRTUAL SYSTEM CONTROL METHOD AND APPARATUS - A virtual system control apparatus includes a configuration information storage device that stores configuration information for operational systems of virtual systems; a first virtual machine image storage device that stores virtual machine images for the operational systems of the virtual systems; a configuration change information storage device that stores configuration change information that represents configuration information concerning difference between the operational system and a test system of the virtual system; a second virtual machine image storage device that stores virtual machine images for the virtual machine relating to the difference; and a controller. Thus, by adopting data configuration of the operational system and the test system, it becomes possible to easily and smoothly switch the virtual system between the operational system and the test system. | 04-22-2010 |
20100100881 | VIRTUAL SYSTEM CONTROL METHOD AND APPARATUS - A virtual system control apparatus includes: a configuration information storage device to store configuration information for an operational system of a virtual system; a first virtual machine image storage device to store first virtual machine images for the operational system of the virtual system; a configuration change information storage device to store configuration change information that represents configuration information concerning difference between the operational system of the virtual system and plural types of test systems; a second virtual machine image storage device to store second virtual machine images for a virtual machine relating to the difference; and a controller. By adopting such data configuration of the operational system and the test system, it becomes possible to switch easily and smoothly between the operational system and the test system. | 04-22-2010 |
20100107158 | LOW OVERHEAD FAULT TOLERANCE THROUGH HYBRID CHECKPOINTING AND REPLAY - A virtualized computer system provides fault tolerant operation of a primary virtual machine. In one embodiment, this system includes a backup computer system that stores a snapshot of the primary virtual machine and a log file containing non-deterministic events occuring in the instruction stream of the primary virtual machine. The primary virtual machine periodically updates the snapshot and the log file. Upon a failure of the primary virtual machine, the backup computer can instantiate a failover backup virtual machine by consuming the stored snapshot and log file. | 04-29-2010 |
20100107159 | Virtual Machine Scheduling Methods and Systems - A method for managing virtual machines in an information handling system (IHS) is disclosed. The method may include receiving a characteristic of a first virtual machine from a connection broker and hosting the first virtual machine on a virtualization server. The method may further include determining availability of more than one virtual machine and if more than one virtual machine is available, hosting a second virtual machine having the characteristic of the first virtual machine. | 04-29-2010 |
20100107160 | Protecting computing assets with virtualization - Methods and apparatus protect computing assets of a hardware platform hosting a plurality of guest virtual machines. One of the virtual machines is configured as a management domain that determines whether other virtual machines comply with a predetermined policy before they can be guested on the hardware platform. In one instance, an open virtual machine format (OVF) for virtual machines has attendant metadata that the management domain examines for the presence of a signature. If authentic, the management domain allows the installation of the virtual machine. If not, the management domain prevents its installation. In this manner, end-users are prevented from installing unapproved guest operating systems on corporate-owned hardware. Still other features contemplate preventing users from installing applications into existing domains by assigning various user and administrative rights. Computer program products for assisting in the foregoing are also disclosed. | 04-29-2010 |
20100107161 | Method of Improving or Managing Performance of Storage System, System, Apparatus, and Program - A computer system including a server computer providing plural virtual servers, a management computer, and a storage system having plural storage controllers, providing plural logical units. The server computer controls I/O processing of each virtual server according to the I/O priorities for the virtual servers to arbitrate I/O processing performance between the virtual servers. Cooperative settings between the server computer and the storage system are made or information about the storage system corresponding to a virtual server with which cooperative configurations are made is displayed. | 04-29-2010 |
20100107162 | ROUTING ACROSS A VIRTUAL NETWORK - A data center can share processing resources using virtual networks. A virtual machine manager ( | 04-29-2010 |
20100107163 | MOVABLE VIRTUAL MACHINE IMAGE - The present invention provides the movable virtual machine image using the OS level virtualization and the method for creating and utilizing the movable virtual machine image. The movable virtual machine image is independent of the hardware of PCs and easy to attach or detach from the OS, thereby presents easy and quick moving, distributing, sharing and initializing. | 04-29-2010 |
20100115508 | PLUG-IN ARCHITECTURE FOR HYPERVISOR-BASED SYSTEM - In a hypervisor-based computing system, each guest operating system (GOS) is associated with multiple plug-in modules, with each module being configured to execute a respective function. The hypervisor also includes plug-in modules mirroring those of the GOS to provide for enhanced functionality on a module-by-module basis. | 05-06-2010 |
20100115509 | POWER OPTIMIZATION VIA VIRTUALIZATION OPPORTUNITY - Embodiments of the present invention provide a method, system and computer program product for power optimization via virtualization opportunity determination. In an embodiment of the invention, a method for power optimization via virtualization opportunity determination can be provided. The method can include monitoring power utilization in individual server hosts in a cluster and determining a set of the server hosts in the cluster demonstrating low power utilization. The method also can include selecting a subset of server hosts in the set and migrating each VM in non-selected server hosts in the set to the subset of server hosts. Finally, the method can include powering down the non-selected server hosts. | 05-06-2010 |
20100115510 | VIRTUAL GRAPHICS DEVICE AND METHODS THEREOF - An information handling system is disclosed that is configured to execute multiple virtual machines simultaneously. The information handling system can assign graphical processing resources to each virtual machine based on the estimated workload for each machine. In addition, the information handling system can change the amount of graphical resources assigned to each virtual machine in response to changing workload estimations. | 05-06-2010 |
20100115511 | SYSTEM AND METHOD FOR PROVIDING PERSONALIZATION OF VIRTUAL MACHINES FOR SYSTEM ON DEMAND (SOD) SERVICE - Disclosed is a system for providing personalization of virtual machines for a system on demand (SoD) service includes an SoD server, wherein the SoD server includes an aspect measuring unit that measures user's use habits and aspects with respect to the peripheral devices on the basis of use or not of the peripheral devices; a preference generating unit that calculates preference for each attribute with respect to attributes included in the peripheral devices on the basis of the use habits and aspects measured by the aspect measuring unit; and a configuration unit that calculates satisfaction for each device with respect to peripheral devices which can be used in the virtual machine on the basis of the preference for each attribute calculated by the preference generating unit and configures the peripheral devices of the virtual machine on the basis of the calculated satisfaction for each device. | 05-06-2010 |
20100115512 | Virtual machine system, management method of virtual machine system, and recording medium - According to an aspect of the embodiment, an agent is provided on a policy management server, and manages update information indicating an update of a guest OS and modification information for modifying the guest OS. The agent is provided on each host OS. The agent migrates a guest OS image stored in a storage device of a virtual machine server VMSA to a storage device of a virtual machine server VMSB, and modifies the migrated guest OS image according to the update information and the modification information. | 05-06-2010 |
20100115513 | VIRTUAL MACHINE CONTROL METHOD AND VIRTUAL MACHINE SYSTEM - Provided is a virtual machine including a first virtualization module operating on a physical CPU, for providing a first CPU, and a second virtualization module operating on the first CPU, for providing second CPU. The second virtualization module includes first processor control information holding a state of the first CPU obtained at a time of execution of the user program. The first virtualization module includes second processor control information containing a state of the physical CPU obtained at the time of the execution of the second virtualization module, third processor control information containing a state of the physical CPU obtained at the time of the execution of the user program, and prefetch entry information in which information to be prefetched from the third processor control information is set, and, upon detection of a event, the information set in the prefetch entry information is reflected to the first processor control information. | 05-06-2010 |
20100115514 | Mechanism for managing resources shared among virtual machines - According to one embodiment, a system is disclosed. The system includes a virtual machine monitor (VMM) having a vector of memory addresses to monitor one or more virtual machines, and a virtual machine to perform an operating environment and to access the vector of memory addresses associated with the VMM upon receiving an interrupt in order to service the interrupt. | 05-06-2010 |
20100122248 | CLONING VIRTUAL MACHINES - While current solutions for cloning virtual machines can involve copying and duplicating files associated to a virtual machine (VM), systems and techniques can be devised to create thin clones of a VM using the VM's associated storage system to copy and deduplicate storage for the VMs. One can create a base VM in a directory of a storage container attached to a hypervisor, and then map the storage container to a volume on a storage system. One can clone the base VM using a snapshot of respective files associated to the base VM, which can comprise creating metadata that identified a physical storage location of the files. Further, the metadata can then be copied to a desired location on the storage container, to create a VM clone. Once copied, the cloned VM can be customized and registered within the hypervisor. | 05-13-2010 |
20100122249 | ON-DEMAND ALLOCATION OF VIRTUAL ASYNCHRONOUS SERVICES INTERFACES - An on-demand allocation of virtual asynchronous services interface adapters is provided. A determination is made as to whether an operation request requires that a set of virtual I/O service partitions have a required number of virtual asynchronous services interface adapters. If a required number of virtual asynchronous services interface adapters is required, a determination is made as to whether the set of virtual I/O service partitions have the required number of virtual asynchronous services interface adapters. Responsive to a failure to have the required number of virtual asynchronous services interface adapters, a set of new virtual asynchronous services interface adapters is defined in partition management firmware and in the set of virtual I/O service partitions. The set of new virtual asynchronous services interface adapters is configured in the set of virtual I/O service partitions and the operation is issued to the set of virtual I/O service partitions. | 05-13-2010 |
20100122250 | Apparatus, System, and Method for Granting Hypervisor Privileges - An apparatus, system, and method are disclosed for granting hypervisor privileges. An installation module installs a monitor hypervisor wherein only the monitor hypervisor is granted the hypervisor privileges by the computer. An authentication module authenticates a second hypervisor. An eviction module evicts the monitor hypervisor if the second hypervisor is authenticated. The installation module further installs the second hypervisor after the monitor hypervisor is evicted so that only the second hypervisor is granted hypervisor privileges by the computer | 05-13-2010 |
20100125842 | EFFICIENT VOLUME MANAGER HOT SWAPPING - Methods of hot-swapping a logical volume manager (LVM) and updating an associated extent map are presented. Methods for migrating a virtual machine running an application using an LVM from one physical machine to another physical machine are also presented. The LVM can be used by a database application or other applications in a single-node or multi-node environment. The LVM is shut down, version numbers for each segment of its associated extent map are saved, and a new LVM is started. The new LVM's extent map is compared with the old extent map, and segments in the LVM which have changed are selectively updated. | 05-20-2010 |
20100125843 | VIRTUAL SERVER SYSTEM, PHYSICAL CPU AND METHOD FOR ALLOCATING PHYSICAL MEMORY - The present invention prevents performance degradation due to memory latency in automatically allocating physical CPUs and memories to logical servers. Having information on arrangement of the physical CPUs and the memories, automatic allocation of physical CPUs to a logical server is implemented in consideration of reduction in memory latency. Moreover, the logical servers and the physicals CPU are grouped. Thereby, to each of the logical servers, a physical CPU in the same group as that of the logical server is allocated, and a memory under the memory controller to which the physical CPU belongs is allocated. In this way, the physical CPUs are allocated in consideration of reduction in memory latency. | 05-20-2010 |
20100125844 | RESOURCE BROKER SYSTEM FOR DEPLOYING AND MANAGING SOFTWARE SERVICE IN A VIRTUAL ENVIRONMENT - A system and method can deploy and manage software services in virtualized and non-virtualized environments. The system provides an enterprise application virtualization solution that allows for centralized governance and control over software and Java applications. The system includes a plurality of resource broker agents. Each resource broker agent resides on one of the plurality of virtualized and non-virtualized machines in the computing environment and can be used to manage the software processes and compute resources running in the computing environment. Each resource broker agent is responsible for starting a set of Virtual Machines running on a specific compute resource and performing resource metering control. The system also includes a virtual machine pool that communicates with the plurality of resource broker agents to create and manage the set of virtual machines for each resource broker agent and collect data. | 05-20-2010 |
20100125845 | METHOD FOR DYNAMIC LOAD BALANCING ON PARTITIONED SYSTEMS - Methods, systems and apparatuses to dynamically balance execution loads on a partitioned system among processor cores or among partitions. | 05-20-2010 |
20100131944 | Graphics Hardware Resource Usage In A Fully Virtualized Computing Environment - Methods, systems and computer program products for graphics hardware resource usage in a fully virtualized computing environment. Exemplary embodiments include a method in a virtual data center having a first host and a second host, a graphics hardware resource usage the method including receiving a request for graphics hardware features that are unavailable on the first host, generating a first request to a provisioning broker to migrate a virtual machine residing on the first host to the second host having the graphics hardware features, migrating the virtual machine and a client connection to the second host, generating a second request to the provisioning broker to find a suitable host to which the virtual machine can migrate and migrating the virtual machine to the suitable host. | 05-27-2010 |
20100131945 | SYSTEM AND METHOD OF IMPLEMENTING A CONCURRENCY PROFILER - In one embodiment the present invention includes a computer-implemented method of measuring bottlenecks in a computer program implemented in a hardware client-server environment. The method includes defining an execution parameter to measure of the hardware client-server environment. The method further includes modifying code blocks to include instrumentation code. The instrumentation code relates to the execution parameter. The method further includes executing, in the hardware client-server environment, the code blocks having been modified. The method further includes generating instrumentation data, related to the execution parameter, that result from executing the code blocks. The method further includes outputting the instrumentation data having been generated. In this manner, the bottlenecks may be measured; the measured bottlenecks may be sorted; noteworthy bottlenecks may be identified; and the aspects of the hardware client-server environment may be adjusted to address the bottlenecks. Sorting is helpful because thousands of synchronization points may be detected and measured, but many of them do not represent a problem that requires correction. | 05-27-2010 |
20100131946 | SYSTEMS AND METHODS FOR HEALTH BASED SPILLOVER - The present solution provides a spillover management technique for virtual servers of an appliance based on health. Using a health based spillover technique, a network appliance may direct requests to a backup or second virtual server upon determining that a predetermined percentage of services being load balanced are down. In this manner, the spillover will occur based on a user controlled determination of a level of services being down to the number of services enabled. Instead of waiting for a last service of a virtual server to be marked down to spillover to another virtual server, the spillover may occur based on a user specified percentage. For example, the appliance may spillover from one virtual server to another virtual server when the number of services marked down relative to the number of enabled services falls below a specified percentage. | 05-27-2010 |
20100131947 | System and method for enabling a local user of a real-life simulation environment to interact with a remote user of a corresponding virtual environment - Disclosed are systems and methods for enabling a local user of a real-life simulation environment to interact with a remote user of a corresponding virtual environment. In one embodiment, such a system comprises a venue including the real-life simulation environment for use by the local user, a venue management system configured to control real events occurring within the real-life simulation environment, and a multi-user experience server interactively linked to the venue management system. The multi-user experience server includes a virtual environment generator configured to produce the virtual environment corresponding to the real-life simulation environment, and the system further comprises a communication network enabling the local user and the remote user to access the multi-user experience server concurrently. The multi-user experience server is configured to enable the local user to perceive the remote user and to affect virtual events in the virtual environment corresponding to the real-life simulation environment. | 05-27-2010 |
20100131948 | METHODS AND SYSTEMS FOR PROVIDING ON-DEMAND CLOUD COMPUTING ENVIRONMENTS - A cloud management system can be configured to provide a cloud computing environment in response to a request for an execution platform. The cloud management system can be configured to determine a set of resources from non-dedicated cloud controlled resources or third-party resources that meet specifications of the requested execution platform. The cloud management system can be configured to create the on-demand cloud from the determined set of resources to serve as the execution platform. | 05-27-2010 |
20100131949 | METHODS AND SYSTEMS FOR PROVIDING ACCESS CONTROL TO USER-CONTROLLED RESOURCES IN A CLOUD COMPUTING ENVIRONMENT - A cloud computing environment can be configured to allow third party, user-controlled resources to be included in the pool of resources available in the cloud. The user-controlled resources can include a cloud application to communicate with a cloud management system to coordinate access to the user-controlled resources. The cloud application allows the user to specify the specific set of resources that the cloud can access, such as specific hardware and software resources. | 05-27-2010 |
20100131950 | STORAGE SYSTEM AND VIRTUAL INTERFACE MANAGEMENT METHOD - Identifiers of a plurality of physical HBAs disposed in a real host are grouped into a virtual HBA(s) for each work application executed in the real host. A storage device of a management server manages a virtual HBA ID which is an identifier of the virtual HBA and physical HBA IDs which are identifiers of the grouped physical HBAs, which are associated with each other. A display device of the management server displays information on a virtual host in which the virtual HBA is virtually disposed. | 05-27-2010 |
20100138827 | HASHING STORAGE IMAGES OF A VIRTUAL MACHINE - Virtual machine images are transferred from a source storage location to a target storage location over a network. In one embodiment, a host at the source storage location computes signature values of a plurality of disk blocks that contain a plurality of virtual machine images. Each computed signature value corresponds to one of the disk blocks. A subset of the disk blocks, all of which have different signature values, is then transferred from the source storage location to the target storage location. Only one copy of duplicate disk blocks is transferred. | 06-03-2010 |
20100138828 | Systems and Methods for Facilitating Virtualization of a Heterogeneous Processor Pool - A system for facilitating virtualization of a heterogeneous processor pool includes a processor allocation component and a hypervisor, each executing on a host computer. The processor allocation component identifies a plurality of physical processors available for computing and determines a set of flags, each of the set of flags identifying a type of functionality provided by each of a subset of the plurality of physical processors. The hypervisor, in communication with the processor allocation component, allocates, to at least one virtual machine, access to one of the subset of the plurality of physical processors. | 06-03-2010 |
20100138829 | Systems and Methods for Optimizing Configuration of a Virtual Machine Running At Least One Process - A system for optimizing configuration of a virtual machine running at least one process includes at least one virtual resource in a virtual machine executing on a computing device, an agent executing within the virtual machine, and a hypervisor. The at least one virtual resource has a configuration parameter. The agent identifies a name of at least one process currently executing on the virtual machine. The hypervisor alters, in response to receiving the identified name from the agent, a value of the configuration parameter. | 06-03-2010 |
20100138830 | MULTITENANT HOSTED VIRTUAL MACHINE INFRASTRUCTURE - A multi-tenant virtual machine infrastructure (MTVMI) allows multiple tenants to independently access and use a plurality of virtual computing resources via the Internet. Within the MTVMI, different tenants may define unique configurations of virtual computing resources and unique rules to govern the use of the virtual computing resources. The MTVMI may be configured to provide valuable services for tenants and users associated with the tenants. | 06-03-2010 |
20100138831 | VIRTUAL MACHINE SYSTEM, HYPERVISOR IN VIRTUAL MACHINE SYSTEM, AND SCHEDULING METHOD IN VIRTUAL MACHINE SYSTEM - Attempts are made to reduce the system overhead generated at the time of context save/restore processing to perform process switching in a virtual machine system. In a CPU occupancy mode that a physical CPU is exclusively allocated to virtual machines, a logical CPU process running on the physical CPU is static, so that it is not necessary to save/restore the context every time the processes are switched. When a switching source process is a logical CPU process in a CPU occupancy mode, a context save is temporarily suspended. When switching to the same logical CPU process is made again continuously, save/restore is skipped. When the logical CPU process of a VMM control VM runs in that period, the logical CPU process whose save is delayed is recorded and saved late. | 06-03-2010 |
20100138832 | APPARATUS AND METHOD FOR PROVIDING SERVICES USING A VIRTUAL OPERATING SYSTEM - Provided are an apparatus and method for providing services using a virtual operating system (OS). The apparatus classifies virtual resources into a plurality of mutually exclusive regions and manages the virtual resources based on the provides services regions. The plurality of provides services regions include a virtual region whose resources are stored in and used on an external storage, and an overlay region whose resources refer to host resources. The apparatus uses the region information for virtual resources and a list of resources accessed for each application process to manage the virtual resources. | 06-03-2010 |
20100146501 | METHOD AND APPARATUS FOR THE SECURE PROCESSING OF CONFIDENTIAL CONTENT WITHIN A VIRTUAL MACHINE OF A PROCESSOR - A secure virtual machine system, method, and computer program product implemented on a processor are provided for processing a third party's content for output. At least one processor is provided. Additionally, at least one secure virtual machine implemented on the processor is provided for interpreting a second party's program that processes and outputs a third party's content. The virtual machine system abstracts the underlying processor hardware allowing implementation variations across products to execute the same program identically. Furthermore, the scope of the programmable operations, the types of input & output variables, and execution of programs within the processor, is deliberately constrained within the virtual machine environment, in order to mitigate potential security leaks by programs, and to ensure confidentiality of second party's secrets, and third party's content as managed by the second party's program. | 06-10-2010 |
20100146502 | PLATFORM DEPENDENT REPLACEMENT OF DIGITAL CONTENT ASSET COMPONENTS - Systems and methods provide an operation of digital content assemblages such as video games with a digital processor so as to control platform dependent replacement of digital asset components. In an example embodiment, a video game package is operated on a first platform. The video game includes one or more first digital asset components such musical and/or graphical works. Platform association data is accessed to evaluate an association between the first digital asset component and the first platform. The platform association data is checked for a concurrence between the platform association data and platform data. A second digital asset component is substituted for the first digital asset component in accordance with the check of the platform association data to permit the operating of the digital content assemblage with the second digital asset component as a replacement for the first digital asset component. | 06-10-2010 |
20100146503 | SCHEDULER OF VIRTUAL MACHINE MODULE, SCHEDULING METHOD THEREFOR, AND DEVICE CONTAINING COMPUTER SOFTWARE - A scheduler of a virtual machine (VM) module, a scheduling method thereof, and a device containing computer software are provided. The scheduler has a classification module and a scheduling module. The classification module receives at least one VM module and analyzes a resource proportion parameter to generate classification result information. The scheduling module has a first schedule queue and a second schedule queue, sort an immediately resource-required VM module to the first schedule queue and a non immediately resource-required VM module to the second schedule queue, and determine whether the VM module of the first schedule queue exists or not, and if yes, it outputs the VM module of the first schedule queue to a processor; otherwise, outputs the VM module of the second schedule queue to the processor. | 06-10-2010 |
20100146504 | VIRTUAL MOBILE INFRASTRUCTURE AND ITS BASE PLATFORM - The invention published here covers a virtual mobile infrastructure (VMI) and its base platform. The base platform comprises several hosts. On a host OS, a QEMU process is used to virtualize at least one guest cell phone operating system. A data center manages these cell phone OSes generated by this base platform, allocates the OSes to users, and allows communication between cell phone client and server via mobile terminal protocol similar to RDP. A virtual machine (VM) switch contains a method to use the VMI of this published invention, and to acquire adapted cell phone screen and inexpensive cell phone VM. In one embodiment, a VMI product is able to encapsulate the third-party VDI products (such as Citrix XenDesktop, LeoStream, etc.), henceforth to acquire screens from PC VM as well. Moreover, a VM manager allows administrators of enterprises or telcos to manage thousands of VMs. | 06-10-2010 |
20100146505 | Multi-monitor, multi-JVM Java GUI infrastructure with layout via XML - A method and system are provided which allows enhanced support to graphical user interface (GUI) displays on multiple monitors. An extended markup language file provides code to configure each GUI. GUI software need not be re-compiled in order to implement changes in the layout of displays or to accommodate additional monitors. Potential display event handling delays are minimized by providing multiple Java Virtual Machines (JVMs) for GUIs. In one aspect, one JVM is provided for each GUI. | 06-10-2010 |
20100146506 | SYSTEM AND METHOD FOR OFFERING SYSTEM ON DEMAND (SoD) VIRTUAL-MACHINE - There are provided a system for offering a SoD virtual-machine includes a main server that includes: a server communication unit that transmits and receives data to and from a plurality of peripheral devices and a plurality of user terminals; a peripheral device detection unit that detects one or more peripheral devices among peripheral devices around the user terminal on the basis of user information received from the user terminal connected through the server communication unit and peripheral device information around the user terminal; and a server control unit that sets one or more peripheral devices detected by the peripheral device detection unit as IO devices of a virtual machine allocated to the connected user terminal. | 06-10-2010 |
20100146507 | SYSTEM AND METHOD OF DELIVERY OF VIRTUAL MACHINE USING CONTEXT INFORMATION - There is provided a system of delivery of a virtual machine using context information. The system of delivery of a virtual machine includes: a context information manager that receives one or more user context information from a user terminal device; and a virtual machine generator that generates a virtual machine suitable for the user terminal device in accordance with the one ore more received user context information and outputs the generated virtual machine to the user terminal device. | 06-10-2010 |
20100153945 | SHARED RESOURCE SERVICE PROVISIONING USING A VIRTUAL MACHINE MANAGER - A virtual machine manager (VMM) enables provisioning of services to multiple clients via a single data processing system configured as multiple virtual machines. The VMM performs several management functions, including: configuring/assigning each virtual machine (VM) for/to a specific, single client; scheduling the time and order for completing client services via the assigned client VM; instantiating a client VM at a scheduled time and triggering the execution of services tasks required for completing the specific client services on the client VM; monitoring and recording historical information about the actual completion times of services on a client VM; and updating a scheduling order for sequential instantiating of the multiple client VMs and corresponding client services, based on one or more of (i) pre-established time preferences, (ii) priority considerations, and (iii) historical data related to actual completion times of client services at a client VM. | 06-17-2010 |
20100153946 | DESKTOP SOURCE TRANSFER BETWEEN DIFFERENT POOLS - A method, apparatus, and system of desktop source transfer between different pools are disclosed. In one embodiment, a machine-readable medium includes determining that a transfer request is associated with a desktop source, accessing the desktop source from a source pool, and automatically transferring the desktop source from the source pool to a destination pool. | 06-17-2010 |
20100153947 | INFORMATION SYSTEM, METHOD OF CONTROLLING INFORMATION, AND CONTROL APPARATUS - Provided is an information system including a server apparatus having a virtualization control unit which implements a virtual machine, and a storage apparatus having a virtual logical volume management unit which provides a virtual logical volume (VLU) configured by using a real logical volume (RLU). In the system, the VLU is allocated to each of the virtual machines implemented in the same server apparatus, the RLUs configuring the VLU for each virtual machine differ depending on the virtual machine, an identifier of the virtual machine is added to an I/O request of the virtual machine, the I/O request with the identifier is transmitted to the storage apparatus, and the RLU as a target in the I/O request is identified based on the identifier by the storage apparatus. | 06-17-2010 |
20100153948 | COMBINED WEB AND LOCAL COMPUTING ENVIRONMENT - A system and method enabling two way communication between a virtual hosted operating system running in a web page and the local operating system and applications in order to allow a user to combine the advantages of both systems. | 06-17-2010 |
20100153949 | LIVE STREAMING MEDIA AND DATA COMMUNICATION HUB - A method for delivering multimedia services by providing a virtual machine having preconfigured components unique for a client and saving software image of the virtual machine under a special code that serves as a tag that uniquely identifies a networking site of the client. A local partition on the client's physical machine such as a laptop is isolated and the isolate local partition is virtualized to one or many virtual machines to allow the transport of media to a web server of choice that has the capability of streaming back to the interface constantly and instantly for full round trip interactions. The isolated partition of a user can become a live T.V. or radio station via a virtual channel. | 06-17-2010 |
20100162235 | VIRTUALIZATION SYSTEM WITH A REMOTE PROXY - A remote proxy provides remote control to a virtualization system. The virtualization system includes a computer having virtualization software executing on the computer, and one or more virtual machines executing on the computer by way of the virtualization software, each of the virtual machines having a guest operating system. The remote proxy receives over a network connection a plurality of logical channels of a multi-channel remote control protocol at a first domain of a plurality of domains of the virtualization system. Each of the logical channels convey information corresponding to one of the domains. For each logical channel, the remote proxy identifies the corresponding domain. Further, for each of the logical channels corresponding to a domain other than the first domain, the remote proxy forwards the logical channel to the corresponding domain. | 06-24-2010 |
20100162236 | Using Stored State To Instantiate A Virtual Computer - Methods for instantiating virtual operating systems are presented. A virtual operating system can be aggregated from a pool of services as a function of a virtual operating system state. The state comprises a service, a location of the service, and a starting point from which the service should begin to offer services. | 06-24-2010 |
20100162237 | NETWORK ADMINISTRATION IN A VIRTUAL MACHINE ENVIRONMENT THROUGH A TEMPORARY POOL - A method, apparatus, and system of network administration in a virtual machine environment through a temporary pool are disclosed. In one embodiment, a method includes determining that a desktop source requires maintenance, creating a temporary pool, accessing the desktop source requiring maintenance, automatically transferring the desktop source requiring maintenance from a source pool to the temporary pool, determining that an issue with the desktop source transferred to the temporary pool is rectified and automatically transferring the desktop source from the temporary pool to the source pool when the issue is rectified. | 06-24-2010 |
20100162238 | Systems and Methods for Controlling, by a Hypervisor, Access to Physical Resources - A system for controlling, by a hypervisor, access to physical resources during execution of a virtual machine includes a physical disk and a hypervisor. The physical disk is provided by a computing device and stores at least a portion of a virtual disk. The hypervisor executes on the computing device. The hypervisor allocates, to the virtual disk, an amount of access to the physical disk. The hypervisor determines that a level of utilization of the physical disk has exceeded a threshold. The hypervisor limits, in response to the determination, access by the virtual disk to the physical disk. | 06-24-2010 |
20100162239 | Systems and Methods for Optimizing a Process of Determining a Location of Data Identified by a Virtual Hard Drive Address - A system for optimizing a process of determining a location of data identified by a virtual hard drive address includes a virtual hard drive, a hypervisor, a plurality of chained files and a table. The plurality of chained files includes a first file comprising a delta of a master file and each subsequent file comprising a delta of a previous file in the plurality of chained files. The table enumerates associations between each of a plurality of virtual hard drive addresses with one of the master file and a file in the plurality of chained files. The virtual hard drive stores the master file, the plurality of chained files, and the table. The hypervisor intercepts a request to access data at a virtual hard drive address and identifies, based on the table, a file containing the requested data and responds to the request with access to the requested data. | 06-24-2010 |
20100162240 | CONSISTENT SECURITY ENFORCEMENT FOR SAFER COMPUTING SYSTEMS - Security can be enforced in a consistent manner with respect to various computing environments that may be operable in a computing system. Consistent security criteria can be generated, based on input security criterion, in a computer readable and storable form and stored in a computer readable storage medium, thereby allowing the consistent security criterion to be effectively provided to a computing system for enforcement of the input security criterion in a consistent manner with respect to, for example, (a) a first executable computer code effectively supported by an Operating System (OS), and (b) a second computer code effectively supported by the Virtual Computing Environment (VCE). A Trusted Component (TC) can effectively provide a consistent security criterion as a part and/or form that is suitable for a particular computing environment. The TC can, for example, be an automated tool that performs various functions including: verifying the consistency of security criteria, generation and deployment of consistent security criteria, and transformation of security criteria to parts and/or forms suitable for various computing environments. In addition, a Virtual Computing Environment (VCE) can obtain from the Operating System (OS) one or more security criteria. The Virtual Computing Environment (VCE) can be operable in a Trusted Computing Environment (TCE) and interface with a Trusted Operating System (TOS) that effectively enforces Mandatory Access Control (MAC), thereby allowing the Virtual Computing Environment (VCE) to leverage the security provided by the OS. The OS can, for example, be a Security-Enhanced Linux (SELinux) Operating System operating as a Trusted Component in a Trusted Environment that includes a Trusted Security Agent (TSA) operable to deploy consistent security criteria. | 06-24-2010 |
20100162241 | ADDRESS ASSIGNMENT METHOD, COMPUTER, AND RECORDING MEDIUM HAVING PROGRAM RECORDED THEREIN - An address assignment method includes a first notification step of selecting a range of use of addresses to be assigned to the virtual network interface card from the set of addresses, and notifying another physical machine of the range of use via a network, the first notification step being carried out by the physical machine that creates the virtual machine; a storage step of storing the range of use, the storage step being carried out by the physical machine notified of the range of use at the first notification step; and a second notification step of selecting the range of use of addresses to be assigned to the virtual network interface card in the virtual machine to be created from the set of addresses excluding the stored range of use, and notifying another physical machine of the range of use via the network. | 06-24-2010 |
20100162242 | Virtual Machine Monitor - A method for managing virtual machines, the method comprising providing a virtual Advanced Configuration and Power Interface, ACPI, arranged to interact with the virtual machines, and interacting with a real ACPI based on interaction between the virtual ACPI and the plurality of virtual machines. (FIG. | 06-24-2010 |
20100169880 | VIRTUAL INPUT-OUTPUT CONNECTIONS FOR MACHINE VIRTUALIZATION - A computing method includes specifying a virtual computer system including at least one virtual or physical compute node, which produces data packets having respective source attributes. At least one Virtual Input-Output Connection (VIOC) that is uniquely associated with the values of the source attributes is defined. A policy specifying an operation to be performed with regard to the VIOC is defined. The virtual computer system is implemented on a physical computer system, which includes at least one physical packet switching element. The physical packet switching element is configured to identify the data packets whose source attributes have the values that are associated with the VIOC and to perform the operation on the identified data packets, so as to enforce the policy on the VIOC. | 07-01-2010 |
20100169881 | METHOD AND SYSTEM FOR EFFICIENT IMAGE CUSTOMIZATION FOR MASS DEPLOYMENT - A system and method for creating N customized disk images from N identical disk images. A computing system creates N identical disk images from a master image. The computing system customize a first identical disk image according to a customization written in customization scripts. While customizing the first identical disk image, the computing system monitors block-level operations applied on the first identical disk image. The computing system applies the monitored block-level operations simultaneously to other N−1 identical disk according to similar customizations written in customization scripts. After applying the block-level operations, the N identical disk images become N customized disk images. Identical Virtual Machines (VMs) operating in the N identical disk images become N customized VMs by operating based on the N customized disk images. | 07-01-2010 |
20100169882 | Multiple Layers of Virtualization in a Computing System - In accordance with one embodiment, a method of nesting a second virtual machine (VM) on a first VM running on a physical machine is provided. The method comprises receiving a first instruction from the physical machine, wherein the first instruction originates from the first VM, wherein a first control structure is associated with the first VM, and wherein a second control structure is associated with the second VM; creating a secondary control structure based on the second control structure associated with the second VM; providing the first instruction and the secondary control structure to the physical machine, wherein the physical machine executes the first instruction according to information included in the secondary control structure; and updating the secondary control structure to correspond to current state or execution environment of the physical machine. | 07-01-2010 |
20100169883 | PROVIDING MULTIPLE VIRTUAL DEVICE CONTROLLERS BY REDIRECTING AN INTERRUPT FROM A PHYSICAL DEVICE CONTROLLER - Embodiments of apparatuses, methods, and systems for providing multiple virtual device controllers by redirecting an interrupt from a physical device controller are disclosed. In one embodiment, an apparatus includes a processor, a physical device controller, and virtualization logic. The virtualization logic is to receive a first interrupt from the physical device controller, and in response, send a second interrupt to the processor from one of a plurality of virtual device controllers. | 07-01-2010 |
20100169884 | INJECTING TRANSACTIONS TO SUPPORT THE VIRTUALIZATION OF A PHYSICAL DEVICE CONTROLLER - Embodiments of apparatuses, methods, and systems for injecting transactions to support the virtualization of a physical device controller are disclosed. In one embodiment, an apparatus includes a processor, system memory, a physical device controller, and a virtualization agent. The physical device controller is to be shared by a plurality of virtual machines created by a virtual machine monitor installed on a processor. The virtualization agent is coupled to the system memory through a first interface and coupled to the physical device controller through a second interface, to represent the physical device controller as a plurality of virtual device controllers available to be allocated to the plurality of virtual machines, and to inject transactions onto the first interface and the second interface on behalf of the plurality of virtual device controllers. | 07-01-2010 |
20100169885 | Paging instruction for a virtualization engine to local storage - Embodiments of apparatuses, methods, and systems for paging instructions for a virtualization engine to local storage are disclosed. In one embodiment, an apparatus includes a processor, a physical device controller, a virtualization engine, system memory, and local storage. The physical device controller is to be shared by a plurality of virtual machines created by a virtual machine monitor installed on a processor. The virtualization engine is to represent the physical device controller as a plurality of virtual device controllers available to be allocated to the plurality of virtual machines. The local storage is separate from the physical memory to store instructions transferred from the system memory for execution by the virtualization engine. | 07-01-2010 |
20100175063 | Detection and Management of Dynamic Migration of Virtual Environments - A mechanism is provided to detect that a dynamic migration of a virtual environment is in progress or has been executed during a hardware or software scan without requiring instrumentation of applications running within the virtual machine. The mechanism relies on a particular sequencing and usage of application programming interfaces and commands that scanning technology provides, associated with the modularity of the scans and on the external virtual machine enabler component running on the host. The mechanism may detect a dynamic migration and take proper actions based on the system configuration. The actions may include discarding the output of the scan executed across a dynamic migration and repeat the scan. The actions may also include notifying the initialization subsystem of the non-migration-safe application to execute a script or program to address migration safety issues. One particular program or script may be an agent ID regeneration program or script. | 07-08-2010 |
20100175064 | SYSTEM AND METHOD FOR RAW DEVICE MAPPING IN TRADITIONAL NAS SUBSYSTEMS - A method is provided for data storage management in a virtualized information handling system that includes a first and second network storage resource, a hypervisor allowing multiple virtual machines to run on the virtualized information handling system, and a data access agent. The data access agent may intercept a data access request initiated by a virtual machine and intended for the first network storage resource. In response to intercepting the data access request, the data access agent may establish a connection with the first network storage resource, and retrieve from the first network storage resource metadata associated with the data access request. The retrieved metadata may identify a location of the second network storage resource. Additionally, the data access may establish a connection with the second network storage resource using the location of the second network storage resource identified by the retrieved metadata. The virtual machine may complete the intercepted data access request via the established connection with the second network storage resource. | 07-08-2010 |
20100180273 | Virtualized operating system - Multiple operating systems that run at the same time. A virtualization layer can run on a multiple core operating system to run the different operating systems. The cores can be powered down, for example, when one of the operating systems is not running. | 07-15-2010 |
20100180274 | System and Method for Increased System Availability in Virtualized Environments - A method may include a hypervisor instantiating a virtual machine including an initiator client and the hypervisor determining whether a virtualized information handling system is interfaced to an associated storage resource via an offload host bus adapter (HBA). If the virtualized information handling system is interfaced to the associated storage resource via an offload HBA, the hypervisor may execute an adapter driver for the HBA, the adapter driver for communicating input/output communications between the virtual machine and the associated storage resource via the offload HBA. If the virtualized information handling system is interfaced to the associated storage resource via another adapter other than an offload HBA, the hypervisor may execute an emulator, the emulator for emulating the functionality of the adapter driver for the HBA and for communicating input/output communications between the virtual machine and the associated storage resource via the other adapter. | 07-15-2010 |
20100180275 | TECHNIQUES FOR PLACING APPLICATIONS IN HETEROGENEOUS VIRTUALIZED SYSTEMS WHILE MINIMIZING POWER AND MIGRATION COST - N applications are placed on M virtualized servers having power management capability. A time horizon is divided into a plurality of time windows, and, for each given one of the windows, a placement of the N applications is computed, taking into account power cost, migration cost, and performance benefit. The migration cost refers to cost to migrate from a first virtualized server to a second virtualized server for the given one of the windows. The N applications are placed onto the M virtualized servers, for each of the plurality of time windows, in accordance with the placement computed in the computing step for each of the windows. In an alternative aspect, power cost and performance benefit, but not migration cost, are taken into account; there are a plurality of virtual machines; and the computing step includes, for each of the windows, determining a target utilization for each of the servers based on a power model for each given one of the servers; picking a given one of the servers with a least power increase per unit increase in capacity, until capacity has been allocated to fit all the virtual machines; and employing a first fit decreasing bin packing technique to compute placement of the applications on the virtualized servers. | 07-15-2010 |
20100180276 | APPLICATION PARTITIONING ACROSS A VIRTUALIZED ENVIRONMENT - A processor including an execution core for executing instructions. In one embodiment, the instructions cause the core to enable two or more virtual machine guests to execute under the control of a virtual machine monitor. A first virtual machine guest includes a first portion of an application executing in the context of a first guest operating system. The first portion of the application creates a guest virtual machine applet that executes in the context of a second virtual machine guest. The first portion of the application and the guest virtual machine applet are part of a single application. To create a guest virtual machine applet, the first portion of the application executes a call to the first guest operating system and in response to receiving the call from the first portion of the application, the first guest operating system makes a system call to the virtual machine monitor. | 07-15-2010 |
20100180277 | Platform Independent Replication - Methods and apparatus, including computer program products, for platform independent replication. Methods can include capturing a virtualized software application residing on a virtual machine, capturing a guest operating system residing on the virtual machine, and storing the captured virtualized software application including the guest operating system as a virtualization image in a flat file on a physical computing device. | 07-15-2010 |
20100186010 | Dynamic Checking of Hardware Resources for Virtual Environments - Embodiments that dynamically check availability of hardware resources for applications of virtual environments are contemplated. Various embodiments comprise one or more computing devices having various hardware resources available to applications of a virtual environment. Hardware resources may comprise, for example, amounts of memory, amounts or units of processing capability of one or more processors, and various types of peripheral devices. The embodiments may store hardware data pertaining to a specified amount of hardware recommended or required for an application to execute within the virtual environment. The embodiments may generally monitor for changes to the hardware configuration, which may affect amount of hardware available to the virtual environment and/or application. If the changes to the hardware reduce the amount of available hardware to a point beyond the specified amount of hardware, the embodiments may prevent the application from being executed or prevent the changes to the hardware configuration. | 07-22-2010 |
20100186011 | METHODS AND SYSTEMS FOR IMPLEMENTING TRANSCENDENT PAGE CACHING - This disclosure describes, generally, methods and systems for implementing transcendent page caching. The method includes establishing a plurality of virtual machines on a physical machine. Each of the plurality of virtual machines includes a private cache, and a portion of each of the private caches is used to create a shared cache maintained by a hypervisor. The method further includes delaying the removal of the at least one of stored memory pages, storing the at least one of stored memory pages in the shared cache, and requesting, by one of the plurality of virtual machines, the at least one of the stored memory pages from the shared cache. Further, the method includes determining that the at least one of the stored memory pages is stored in the shared cache, and transferring the at least one of the stored shared memory pages to the one of the plurality of virtual machines. | 07-22-2010 |
20100186012 | VIRTUAL MACHINE SYSTEM AND VIRTUAL MACHINE CONTROL METHOD - A user interface function for a virtual machine system based on a server or a PC is provided only by applying software without using SVP or the like. A control virtual machine is provided for controlling a virtual machine control screen which is displayed for defining virtual machines and instructing operations to the virtual machines. A virtual machine control program exclusively displays either a screen for each virtual machine or the virtual machine control screen in response to a screen switching instruction from an input device. | 07-22-2010 |
20100192148 | USER DEFINED DATA PARTITIONING (UDP) - GROUPING OF DATA BASED ON COMPUTATION MODEL - Methods, systems, and computer program products are provided for generating application-aware data partitioning to support parallel computing. A label for a user defined data partitioning (UDP) key is generated by a labeling process to configure data partitions of original data. The UDP is labeled by the labeling process to include at least one key property excluded from the original data. The data partitions are evenly distributed to co-locate and balance the data partitions and corresponding computations performed by computational servers. A data record of the data partitions is retrieved by performing an all-node parallel search of the computational servers using the UDP key. | 07-29-2010 |
20100192149 | POWER MANAGER FOR VIRTUAL MACHINES - A virtual power manager provides for, when plural virtual machines are running, accessing these virtual machines to determine their respective virtual-machine power settings and determining hardware power settings at least in part as an aggregate function of the virtual-machine power settings. | 07-29-2010 |
20100192150 | EXCLUSIVE ACCESS FOR SECURE AUDIO PROGRAM - Executing a monitor on a platform, the monitor capable of providing exclusive, secure access to an audio I/O device of the platform, executing a first partition on the platform, providing an audio device model in the first partition by directly mapping the audio I/O device from the monitor to the first partition for applications executing in the first partition, and providing exclusive, secure access to the audio I/O device to a program performing an audio function in a secure mode in the first partition. | 07-29-2010 |
20100199275 | SERVER SWITCH INTEGRATION IN A VIRTUALIZED SYSTEM - A switch, a system and operational method for packet switching between virtual machines running in a server and a network. The server comprises a switch with swappable, virtual ports. The switch routes packets to and from the various virtual machines resident in the server memory. | 08-05-2010 |
20100199276 | Methods and Systems for Dynamically Switching Between Communications Protocols - A method for dynamically switching between communications protocols used in communicating with each of a plurality of physical computing devices includes configuring, by a storage delivery management service, a storage system in a storage area network, to communicate, according to a first communications protocol with a first physical computing device executing a virtual machine, the storage system providing, to the virtual machine, access to a virtual storage resource. The storage delivery management service receives a request to migrate the virtual machine from the first physical computing device to a second physical computing device. The storage delivery management service configures the storage system to communicate with the second physical computing device according to a second communications protocol. The storage delivery management service transmits, to the second physical computing device, an identification of the storage system providing access to the virtual storage resource for the virtual machine. | 08-05-2010 |
20100199277 | Processor Control Register Virtualization to Minimize Virtual Machine Exits - A processor includes a processor control register with a control flag that determines an operating mode of the processor. A pointer to a guest virtual machine context in a portion of a random access memory (RAM) is coupled to the processor. An execution control unit tests a first flag in the guest virtual machine context, the first flag to indicate whether the control flag is owned by a guest virtual machine associated with the guest virtual machine context. The execution control unit maintains the control flag in the processor control register if the control flag is owned by the guest virtual machine and maintains the control flag in a shadow location in the guest virtual machine context if the control flag is not owned by the guest virtual machine. | 08-05-2010 |
20100205600 | SIMULATION METHOD FOR REALIZING LARGE BATCHES AND DIFFERENT KINDS OF BASEBOARD MANAGEMENT CONTROLLERS USING A SINGLE SERVER - A simulation method for realizing large batches and different kinds of baseboard management controllers using a single server includes providing a virtual baseboard management controller by a target terminal according to different customized virtual baseboard management controller resources correspondingly. The simulation method includes initializing large batches and different kinds of virtual baseboard controller programs according to a customizable virtual baseboard controller resource. If a client requests to access one baseboard controller or multiple baseboard controllers, which virtual baseboard controller will provide a service is determined according to the information of the accessing request of the client. Then an output result is generated according to the behaviors defined in the customized resource. Finally, the output result is transferred to the client. Therein, the client may connect to the target terminal through Internet or a bus. | 08-12-2010 |
20100205601 | RUNTIME ENVIRONMENT FOR VIRTUALIZING INFORMATION TECHNOLOGY APPLIANCES - A system for virtualizing information technology (IT) appliances can include an IT appliance hosting facilities software. The IT appliance hosting facilities software can be implemented at a layer of abstraction above a virtual machine host, which is implemented in a layer of abstraction above a hardware layer of a computing system. The IT appliance hosting facilities software can include programmatic code functioning as virtualized hardware upon which a set of IT appliance software modules are able to concurrently run. The IT appliance hosting facilities software can provide caching, application level security, and a standardized framework for running the IT appliance software modules, which are configured in conformance with the standardized framework. | 08-12-2010 |
20100205602 | Mechanism for Scheduling Execution of Threads for Fair Resource Allocation in a Multi-Threaded and/or Multi-Core Processing System - A thread scheduling mechanism is provided that flexibly enforces performance isolation of multiple threads to alleviate the effect of anti-cooperative execution behavior with respect to a shared resource, for example, hoarding a cache or pipeline, using the hardware capabilities of simultaneous multi-threaded (SMT) or multi-core processors. Given a plurality of threads running on at least two processors in at least one functional processor group, the occurrence of a rescheduling condition indicating anti-cooperative execution behavior is sensed, and, if present, at least one of the threads is rescheduled such that the first and second threads no longer execute in the same functional processor group at the same time. | 08-12-2010 |
20100211946 | METHOD AND SYSTEM FOR NETWORK ABSTRACTION AND VIRTUALIZATION FOR A SINGLE OPERATING SYSTEM (OS) - A device may abstract access to hardware resources in the device to a single operating system (OS) running in the device utilizing an abstraction layer and a software partition. The abstraction layer and the software partition may enable virtualizing the hardware resources during interfacing between the single OS and the hardware resources, such that the actual characteristics and/or number of the hardware resources may be abstracted. The abstraction layer may comprise a hypervisor, which may be optimized to support network abstraction based operations. The software partition may enable managing configuration and/or use of the hardware resources via the abstraction layer. The hardware resources may comprise networking resources. Accordingly, operations of a plurality of virtual drivers within the OS corresponding to each of one or more of the plurality of hardware resources may be supported. Data transfers between the OS and the hardware resources may be performed via the software partition. | 08-19-2010 |
20100211947 | ZERO-COPY NETWORK I/O FOR VIRTUAL HOSTS - Techniques for virtualized computer system environments running one or more virtual machines that obviate the extra host operating system (0/S) copying steps required for sending and receiving packets of data over a network connection, thus eliminating major performance problems in virtualized environment. Such techniques include methods for emulating network I/O hardware device acceleration-assist technology providing zero-copy I/O sending and receiving optimizations. Implementation of these techniques require a host 0/S to perform actions including, but not limited to: checking of the address translations (ensuring availability and data residency in physical memory), checking whether the destination of a network packet is local (to another virtual machine within the computing system), or across an external network; and, if local, checking whether either the sending destination VM, receiving VM process, or both, supports emulated hardware accelerated-assist on the same physical system. This optimization, in particular, provides a further optimization in that the packet data checksumming operations may be omitted when sending packets between virtual machines in the same physical system. | 08-19-2010 |
20100218183 | POWER-SAVING OPERATING SYSTEM FOR VIRTUAL ENVIRONMENT - Principles for enabling power management techniques for virtual machines. In a virtual machine environment, a physical computer system may maintain management facilities to direct and control one or more virtual machines executing thereon. In some techniques described herein, the management facilities may be adapted to place a virtual processor in an idle state in response to commands from a guest operating system. One or more signaling mechanisms may be supported such that the guest operating system will command the management facilities to place virtual processors in the idle state. | 08-26-2010 |
20100218184 | Information processing device, method, and computer program product - A setting storage unit stores first setting information that defines an operation of a first application that runs on a guest OS and second setting information that defines an operation of a second application that runs on at least one of another guest OS and a host OS. A correspondence storage unit stores first identification information for identifying the first setting information and second identification information for identifying the second setting information, in association with each other. An alternative access gaining unit reads from the correspondence storage unit the second identification information corresponding to the first identification information of the first setting information for which an access request is received, and accesses the second setting information of the second identification information that is read out, in place of the first setting information for which the access request is received. | 08-26-2010 |
20100223611 | REDUCING THE LATENCY OF VIRTUAL INTERRUPT DELIVERY IN VIRTUAL MACHINES - The latency of virtual interrupt delivery in virtual machines is reduced by normalizing and exposing the virtual interrupt routing information of each VM to a privileged domain such as the VMkernel in an organized manner to enable virtual interrupt delivery that minimizes the number of VCPU hops. A computer implemented method of processing the virtual I/O request comprises receiving the virtual I/O request, responsive to completing a physical I/O corresponding to the virtual I/O request, referring to a virtual CPU set including information on a destination virtual CPU designated by the guest operating system for handling a virtual interrupt corresponding to the virtual I/O request, and generating the virtual interrupt corresponding to the virtual I/O request to the destination virtual CPU determined by referring to the virtual CPU set. | 09-02-2010 |
20100223612 | VIRTUALIZATION OF STORAGE BUFFERS USED BY ASYNCHRONOUS PROCESSES - The amount of host real storage provided to a large guest storage buffer is controlled. This control is transparent to the guest that owns the buffer and is executing an asynchronous process to update the buffer. The control uses one or more indicators to determine when additional host real storage is to be provided. | 09-02-2010 |
20100223613 | PER PROCESS VIRTUAL MACHINES - A system and method for isolating processes executing within a computing device. A process is loaded into a virtual machine operating under the control of a hypervisor communicatively interfaced with an operating system kernel. A subset of an application programming interface (API) is exposed to the virtual machine enabling the process to interface with the operating system kernel via the subset of the API. The process is then executed in the virtual machine. | 09-02-2010 |
20100223614 | Mechanism for Implementation of Endpoints as JMX MBeans in an Integrated Media and JAVA EE Application Server - In one embodiment, a mechanism for implementation of endpoints as Java™ Management Extensions (JMX) MBeans in an integrated media and Java™ Enterprise Edition (JAVA EE) application server is disclosed. In one embodiment, a system includes an integrated application server including a media server and Java™ Enterprise Edition (JAVA EE) deployed in a single Java™ virtual machine (JVM), and one or more endpoints in the media server deployed as Java Management Extension (JMX) Mbeans. | 09-02-2010 |
20100223615 | Method and apparatus for distributed processing - There is provided a distributed data processing system comprising: an application server; and a multiplicity of computers. Each computer has a network connection to the application server and is operative to execute at least one host process. The network connection opens when the computer falls idle. The application server is operative to transmit a VM, a data processing algorithm and data to the computer on detecting an open network connection. The VM is operative to execute a virtual process wherein said data are processed by said algorithm and processed data from the virtual process are transmitted to the server. The network connection is configured to close and the VM is configured so as to be destroyed when a host process interrupts the virtual process. | 09-02-2010 |
20100229168 | DATA PROCESSING DEVICE, DATA PROCESSING METHOD, DATA PROCESSING PROGRAM, RECORDING MEDIUM, AND INTEGRATED CIRCUIT - When notifying virtual machines of a change to shared data, it is impossible to realize power saving for the apparatus if always notifying a virtual machine in the power-saving state. | 09-09-2010 |
20100229169 | Methods and Systems for Merging Virtualization Sublayers - A computer-implemented method may include identifying first and second sublayers of a virtualized application. The first and/or second virtualization sublayers may include a read-write sublayer, a read-only sublayer, a virtual-reset-point sublayer, and/or a patch sublayer. The computer-implemented method may also include merging an instance of the first virtualization sublayer with an instance of the second virtualization sublayer. Various other methods, systems, and computer-readable media are also disclosed. | 09-09-2010 |
20100229170 | HOST COMPUTER, MULTIPATH SYSTEM, PATH ASSIGNMENT METHOD, AND PROGRAM PRODUCT - In a multipath system whereby a host computer having multiple logical partitions is connected to a system resource via multiple paths, and the multiple logical partitions share the system resource, I/O responsiveness is ensured even for the logical partition with less amount of I/O. A host computer of the present invention that is connected to the system resource via n (n>=2) number of paths includes multiple logical partitions that are accessible to the system resource and an assignment unit for assigning paths to the multiple logical partitions. The assignment unit assigns at least m (1<=m09-09-2010 | |
20100229171 | MANAGEMENT COMPUTER, COMPUTER SYSTEM AND PHYSICAL RESOURCE ALLOCATION METHOD - A management computer to allocate a physical resource in a virtual system includes a section to create a physical source management table including physical resource managing data, a section to create an allocation policy table to manage allocation policies for physical resources, a section to create a configuration information management table to manage Logical PARtition (LPAR) configuration information, and a physical resource allocation judge section to select, based on these tables, an appropriate physical resource be allocated to an LPAR. | 09-09-2010 |
20100235830 | PROGRAMMING MODEL FOR APPLICATION AND DATA ACCESS AND SYNCHRONIZATION WITHIN VIRTUAL ENVIRONMENTS - Applications executing on computer systems may execute in a virtual environment, such as a web application executing in a web browser. An application may access the actual computing environment (such as the filesystem), but this accessing may be complicated; e.g., the computing environment may be deployed across many computers and devices, and may be synchronized for offline access via a local cache. A computing environment component may service the complex computing environment (e.g., by managing the cache and retrieving remotely stored data objects) and expose it as a well-organized set of data objects. A virtual environment interface (e.g., a web browser plug-in) may allow applications hosted in the virtual environment to access the computing environment through the computing environment component. Programmatic interfaces may also be implemented to permit such accessing via familiar programming languages and techniques, such as JavaScript libraries exposed to web applications in the web browser. | 09-16-2010 |
20100235831 | Method for dynamic configuration of virtual machine - A set of programs enable the start and the efficient and secure operation of an operating system (OS) installed on a virtual hard disk that is stored on an external storage device. When the external storage device is connected to a host system, a user can start the OS in a virtual machine on the host system. The virtual machine execution is controlled by a virtual machine monitor (VMM) installed on the host system. At startup of the program, the type of VMM installed on the host system is autodetected. Host system parameters that are relevant for an optimal configuration of the virtual machine, such as the amount of available physical memory, are captured. Before launch of the virtual machine the OS installed on the virtual hard disk is dynamically modified to support hardware emulated by the selected VMM. Configuration templates and scripts for supported VMM types are stored on the external storage device. The template or script that corresponds to the autodetected VMM is used to create an optimized virtual machine configuration. Virtual machine configuration parameters that depend upon host settings are adapted. The created virtual machine configuration includes a specific storage configuration: The virtual machine is configured to use three virtual hard disks for system, user, and paging data. The virtual hard disks for system and user data are configured to use copy-on-write (COW) functionality supported by the respective VMM. With the COW configuration the virtual machine's write operations are redirected to a location in a file system on an internally attached storage medium. The non-persistent virtual hard disk used for the page data is entirely stored in the temporary location as an expanding virtual hard disk and is erased after shutdown. If data persistence is required for user and/or system data the respective overlay files are merged with the corresponding virtual hard disk base files on the external storage device after shutdown of the virtual machine. When the program managing the configuration and launch process is closed after shutdown of the virtual machine, all temporary files are deleted. The dynamic COW configuration with deferred, consolidated write operations to the external storage device offers the benefits of improved write performance and security and extended lifetime of the external storage device. The latter is particularly important for flash-memory-based devices with a finite number of sustainable write/erase cycles per storage block. | 09-16-2010 |
20100235832 | Storage Virtualization With Virtual Datastores - Computer implemented methods and systems for providing storage virtualization of physical storage include receiving a request for storage from a virtual machine during provisioning of the virtual machine. The request includes a capability and quota requirement for storage as required by the virtual machine. A virtual datastore that satisfies the capability and quota requirements of the request is identified. If not found, one or more volumes, that satisfy the storage requirements, are discovered or created. A virtual datastore is created and maps to one or more volumes which have unique volume identifiers. A unique virtual datastore identifier is generated for the virtual datastore. The virtual datastore identifier is distinct from the volume identifiers of the underlying volumes. The volumes are mapped to the virtual datastore while retaining the virtual datastore identifier for the virtual datastore. The virtual datastore identifier for the virtual datastore is not changed when modifications are made to the physical storage entities mapped to the virtual datastore. | 09-16-2010 |
20100235833 | METHODS AND SYSTEMS FOR PROVIDING SECURE IMAGE MOBILITY - A system and method allows a virtual server to be assigned to any of a plurality of physical computes hosts in a networked computing system. Each physical compute host includes a motherboard and a secure management controller that includes a secure memory vault for storing virtual server secure profile data and a BIOS switch for loading a BIOS memory with a BIOS image from the secure memory and controlling access to the BIOS memory by the motherboard. The virtual server secure profile data is transmitted to the secure memory under the exclusive control of a secure infrastructure layer including a common system controller a secure network that is distinct from the network over which the operating system and application stack is loaded. | 09-16-2010 |
20100235834 | PROVIDING A MANAGEMENT COMMUNICATION CHANNEL BETWEEN A SOFTWARE LAYER AND PLATFORM LAYER FOR HARDWARE MANAGEMENT CONTROL - A system includes hardware, a software layer, a platform layer, and a management communication channel between the software layer and the platform layer. The management communication channel provides an interface to enable the software layer to issue a hardware management command to the platform layer, where the hardware management command is to specify a change of a setting of the hardware, and where the management communication channel allows a hardware management engine of the platform layer to collaborate with the software layer to perform the change of the setting of the hardware. | 09-16-2010 |
20100235835 | VIRTUAL MACHINE CONTROL APPARATUS, VIRTUAL MACHINE CONTROL METHOD, AND RECORDING MEDIUM THEREFOR - A virtual machine control apparatus that controls operations of a plurality of virtual machines, the virtual machine control apparatus includes a storing part that stores information in a memory used by an application program in a storage unit different from the memory, in association with a virtual machine subject to a suspending command, when the suspending command for the virtual machine in operation; and a selecting part that selects an application program to be preferentially resumed from the storage unit to the memory use area based on the information in the memory used by the application program which is stored in association with the virtual machine subject to the activating command, when the activating command for the virtual machine is accepted. | 09-16-2010 |
20100235836 | METHOD OF EXTERNAL PERFORMANCE MONITORING FOR VIRTUALIZED ENVIRONMENTS - Embodiments of the present invention provide for performance monitoring of virtualized environments by establishing external performance monitoring (in a primary domain) of a virtual machine manager in whose context a virtual machine operates, and simultaneously capturing information on the virtual machine execution states. In accordance with an embodiment of the present invention, the execution states may comprise any combination of a virtualized processor index, execution address, program (task) identifier, and a timestamp. In one embodiment, a primary domain performance monitoring component may initiate time- or event-based profiling of the virtual machine, and a hypervisor may report the virtualized execution states to the performance monitoring component upon reception of each profiling interrupt. Alternatively, the time-based profiling may be initiated in the virtual machine domain. In this case, the hypervisor or virtual machine manager may enable access from within the virtual machine to performance characteristics collected in the primary domain, or communicate the execution states to the external monitoring component upon reception of each profiling interrupt or upon a change in the virtualized execution states. Performance information collected in the primary domain may then be correlated with the execution states of the virtual machine. | 09-16-2010 |
20100235837 | QUERY OPTIMIZATION IN A MULTI-TENANT DATABASE SYSTEM - The present invention provides techniques for managing process space on a multi-tenant database system that features a method that provides to multiple users of two or more organizations, over a network, access to information stored in a database repository shared by the two or more organizations. The database repository implements security protocols to restrict a set of first users to a first sub-portion of the information. The set of first users belongs to one of the two or more organizations. The first sub-portion of the information is different from a second sub-portion accessible by users from another organization. Access of the information by the multiple users is monitored. A first processing space for the set of first users is generated in response to the access of the database repository. The first processing space is distinct from a second processing space for the users from the other organization. | 09-16-2010 |
20100242038 | Providing a Trusted Environment for Provisioning a Virtual Machine - In one embodiment, a mechanism for providing a trusted environment for provisioning a virtual machine is disclosed. In one embodiment, a method includes beginning an initialization process of a virtual machine (VM) hosted by a VM host server, obtaining by the VM as part of the initialization process a one-time password from the VM host server, the one-time password provided to the VM host server from a management server that created the one-time password, and authenticating the VM with an identity server using the one-time password. | 09-23-2010 |
20100242039 | COMPUTER SYSTEM PROVIDED WITH HYPERVISOR - A hypervisor acquires an I/O command that has been issued from a virtual computer. The hypervisor judges whether or not a target of an I/O that complies with the I/O command is an unassigned port associated device that is a device associated with an I/O port that is coupled to an I/O controller that is not assigned to a virtual computer that is an issuing source of the I/O command. In the case in which the result of the judgment is positive, the hypervisor does no execute an I/O to the unassigned port associated device, and returns a virtual execution result to the virtual computer that is an issuing source of the I/O command. | 09-23-2010 |
20100251233 | EMBEDDED COMPUTING SYSTEM USER INTERFACE EMULATED ON A SEPARATE COMPUTING DEVICE - A system for standalone usage of an embedded computing system user interface on a separate heterogeneous computing device. The system may run the same binary software image as the embedded computing device on a PC platform. Because it is virtually the same exact software image as that which is on the device, the PC-hosted version effectively has full fidelity with the device version. Its user interface is virtually identical in appearance and has full navigation and a richer subset of functionality than would be possible with a simulator. Also, it is not necessary to maintain separate simulation and device software since both environments may use the same binary image. | 09-30-2010 |
20100251234 | VIRTUAL NON-UNIFORM MEMORY ARCHITECTURE FOR VIRTUAL MACHINES - Techniques for effectuating a virtual NUMA architecture for virtual machines are disclosed herein. | 09-30-2010 |
20100251235 | TIMER ACCESS FROM USER MODE THROUGH A SHARED MEMORY PAGE - A computing system in which a software component executing on a platform can reliably and efficiently obtain state information about a component supported by the platform through the use of a shared memory page. State information may be supplied by the platform, but any state translation information needed to map the state information as supplied to a format as used may be provided through the shared page. In a virtualized environment, the state translation information can be used to map the value of a virtual timer counter or other component from a value provided by a virtual processor to a normalized reference time that will yield the same result, regardless of whether the software component is migrated to or from another virtual processor. Use of a shared page avoids the inefficiency of an intercept into a virtualized environment or a system calls in native mode operation. | 09-30-2010 |
20100251236 | In-Process Intermediary To Create Virtual Processes - In one embodiment, the tools and techniques can include intercepting with an intermediary module in a running non-virtual process a request from the running non-virtual process to create a requested non-virtual process. In response to the request being intercepted, an appropriate virtual process corresponding to the requested non-virtual process can be identified. In addition, a virtual environment that is configured to host the virtual process can be prepared and the virtual process can be added to the virtual environment. Finally, the request can be fulfilled with the virtual process. | 09-30-2010 |
20100251237 | MANAGING ORPHANED REQUESTS IN A MULTI-SERVER ENVIRONMENT - A request server automatically detects, in response to initialization of the request server, if there are previous generations of requests outstanding for the request server, and if so, immunizes itself against those requests. The request server starts one or more threads that are designed to gather messages associated with requests from previous incarnations of the server and handles those messages without affecting the requestors. While the request server is immunized from earlier generations of requests, the request server is capable of processing new requests. | 09-30-2010 |
20100251238 | FACILITATED INTROSPECTION OF VIRTUALIZED ENVIRONMENTS - Some embodiments provide a system that manages the execution of a software component in a virtualized environment. During operation, the system monitors the execution of the software component from an external location to the virtualized environment. Next, the system assesses an integrity of the software component by comparing the monitored execution to an expected operation of the software component, wherein the expected operation is determined based on source code for the software component. Finally, the system uses the assessed integrity of the software component to facilitate the execution of the software component. | 09-30-2010 |
20100262964 | Virtual Machine Packing Method Using Scarcity - A method for packing virtual machines onto host devices may calculate scarcity values for several different parameters. A host's scarcity for a parameter may be determined by multiplying the host's capacity for a parameter with the overall scarcity of that parameter. The sum of a host's scarcity for all the parameters determines the host's overall scarcity. Hosts having the highest scarcity are attempted to be populated with a group of virtual machines selected for compatibility with the host. In many cases, several different scenarios may be evaluated and an optimal scenario implemented. The method gives a high priority to those virtual machines that consume scarce resources, with the scarcity being a function of the available hardware and the virtual machines that may be placed on them. | 10-14-2010 |
20100269109 | Methods and Systems for Evaluating Historical Metrics in Selecting a Physical Host for Execution of a Virtual Machine - A method for evaluating historical metrics in selecting a physical host for execution of a virtual machine includes receiving, by a host recommendation service, an identification of a virtual machine and a request for an identification of a physical host on which to execute the virtual machine. The method includes retrieving, by an analysis engine in communication with the host recommendation service, a plurality of metrics for each of a plurality of physical hosts available for executing the virtual machine, the plurality of metrics including a first metric identifying a current level of load on each of the plurality of physical hosts and including a second metric identifying a level of load on each of the plurality of physical hosts during a time period prior to the current time period. The method includes retrieving, by the analysis engine, a plurality of metrics associated with the virtual machine, the plurality of metrics including at least one metric identifying a level of load placed on a physical host by the virtual machine during a time period prior to the current time period. The method includes determining, by the analysis engine, a level of priority associated with at least one of the first metric identifying a current level of load on each of the plurality of physical hosts and the second metric identifying a level of load on each of the plurality of physical hosts during a time period prior to the current time period. The method includes assigning, by the analysis engine, a score to each of the plurality of physical hosts, responsive to the retrieved pluralities of metrics and to the determined level of priority. The method includes transmitting, by the host recommendation service, an identification of one of the plurality of physical hosts on which to execute the virtual machine. | 10-21-2010 |
20100275198 | System and apparatus for utilizing a virtual machine to support redundancy in a virtual machine manager pair - A storage array controller may include a virtual machine manager for managing a storage array application virtual machine and a dedicated multiplexer virtual machine. The storage array application virtual machine and the dedicated multiplexer virtual machine may be communicatively coupled via a plurality of virtual machine manager coupling drivers. The storage array controller may also include a dedicated inter controller link for communicatively coupling the storage array controller with a second storage array controller. The dedicated multiplexer virtual machine may be configured for coupling with a second dedicated multiplexer virtual machine included with the second storage array controller via a device driver communicating across the dedicated inter controller link. | 10-28-2010 |
20100275199 | Traffic forwarding for virtual machines - In one embodiment, an apparatus configured for communication with a plurality of virtual machines includes a virtual switch in communication with one or more of the virtual machines, an interface in communication with one or more of the virtual machines and configured for communication with a hardware implemented switch, and a mode selector for assigning to each of the virtual machines, a mode of operation for forwarding data from the virtual machine and switching the assigned mode of operation at one or more of the virtual machines. The mode of operation is selected from a first mode wherein the data is forwarded by the hardware implemented switch and a second mode wherein the data is forwarded by the virtual switch. | 10-28-2010 |
20100275200 | Interface for Virtual Machine Administration in Virtual Desktop Infrastructure - A system includes a plurality of virtualization servers and a virtual desktop interface. The plurality of virtualization servers are configured to be placed in communication with a client. The virtual desktop interface is in communication with the plurality of virtualization servers and with the client. The virtual desktop interface is configured to receive a request for maintenance from the client, and further configured to perform the request for the maintenance on one of the virtualization servers associated with the client. | 10-28-2010 |
20100275201 | End-to-end mapping from operating system running on virtual machine to storage volume of storage-array network (SAN) - An end-to-end mapping from a file system of an operating system running on a virtual machine to a storage volume of a SAN is determined. The virtual machine reported by the operating system is mapped to a definition of the virtual machine as provided by a management component managing the virtual machine. A storage device reported by the operating system is mapped to a definition of a virtual storage device as provided by the management component, such as by using a SCSI controller number and target identifier of the virtual machine storage device to correlate the virtual machine storage device to the definition. The definition of the virtual storage device is mapped to a storage device on a physical computing device on which the management component and the virtual machine are running. The storage device on the physical computing device is mapped to the storage volume of the SAN. | 10-28-2010 |
20100275202 | COPYING SEGMENTS OF A VIRTUAL RESOURCE DEFINITION - The disclosure and claims herein are directed to copying segments of a virtual resource definition from an existing virtual resource to create a new virtual resource definition or modifying an existing one to simplify virtualization management. The virtualization manager divides a virtual resource definition into a number of reusable segments. A user may then select one or more segments and place them into a new or existing virtual resource definition. The user can choose to mix and match segments to quickly create or modify a virtual resource definition such as a virtual server, virtual printer or virtual data storage. Any default information in the new virtual resource or old information in the existing resource is replaced by the information in the copied segment. Any dependencies in the existing virtual resource are resolved with user input to break the dependencies or copy dependent data. | 10-28-2010 |
20100275203 | STORAGE MANAGEMENT SYSTEM AND STORAGE MANAGEMENT METHOD IN VIRTUALIZATION ENVIRONMENT - The management computer creates a connection between a virtual computer and a logical volume of a storage apparatus based on the application management information that stores a connection relationship between an application program and an I/O adapter, before a server computer provided with a hypervisor that logically divides the computer resources that include a CPU, a memory, and an I/O adapter and that operates them as an independent virtual computer starts an application program that has been stored into the storage apparatus on the virtual computer. | 10-28-2010 |
20100275204 | COMPUTING MACHINE - According to one embodiment, a computing machine includes virtual machines configured to simultaneously run on a virtual machine monitor, wherein the virtual machines comprises a user virtual machine, a first virtual machine, and a second virtual machine, the user virtual machine is configured to execute an application program and an operating system, the first virtual machine is configured to provide a shared folder to the user virtual machine, the user virtual machine comprises a specific information storage module configured to store specific information includes system data in the second virtual machine, and the user virtual machine comprises setting data for storing use data of the user virtual machine in the shared folder. | 10-28-2010 |
20100275205 | COMPUTER MACHINE AND ACCESS CONTROL METHOD - According to one embodiment, a computer machine includes a client virtual machine and a file server virtual machine configured to simultaneously run, a virtual machine manager configured to control booting of the client and file server virtual machines, a monitoring module configured to monitor whether a communication with an external file server is possible, an access control module configured to access to a duplicate file which is a duplicate of the file and is stored in a part of a local disk or a part of a memory which are managed by the monitoring module when the monitoring module determines that the communication is impossible after determining that the communication is possible, and a file deletion module configured to delete the duplicate file when the monitoring module detects the communication is impossible in a preset time. | 10-28-2010 |
20100281477 | VM HOST RESPONDING TO INITIATION OF A PAGE SWAP BY TRANSFERRING PAGES FROM HOST-BUT-NON-GUEST-ADDRESSABLE RAM TO HOST-AND-GUEST-ADDRESSABLE RAM - A virtual-machine host responds to a guest operating system's initiation of a page swap by transferring a page from host-but-non-guest-addressable RAM to host-and-guest addressable RAM. | 11-04-2010 |
20100281478 | MULTIPHASE VIRTUAL MACHINE HOST CAPACITY PLANNING - A virtual machine distribution system is described herein that uses a multiphase approach that provides a fast layout of virtual machines on physical computers followed by at least one verification phase that verifies that the layout is correct. During the fast layout phase, the system uses a dimension-aware vector bin-packing algorithm to determine an initial fit of virtual machines to physical hardware based on rescaled resource utilizations calculated against hardware models. During the verification phase, the system uses a virtualization model to check the recommended fit of virtual machine guests to physical hosts created during the fast layout phase to ensure that the distribution will not over-utilize any host given the overhead associated with virtualization. The system modifies the layout to eliminate any identified overutilization. Thus, the virtual machine distribution system provides the advantages of a fast, automated layout planning process with the robustness of slower, exhaustive processes. | 11-04-2010 |
20100281479 | Systems and Methods for Input/Output Isolation - Systems and methods for providing virtual stacks are described. A kernel driver is installed and loaded. Then, a virtual stack is created, the virtual stack having a virtual file system, a virtual physical disk, and one or more virtual mounted volume devices. The virtual file system is configured to mimic characteristics of a host file system. The virtual physical disk is configured for providing an interface of a memory storage device to which the virtual file system device binds. The one or more virtual mounted volume devices are configured for allowing the one or more virtual processes to access data in stored memory through the virtual stack. | 11-04-2010 |
20100287548 | Long Distance Virtual Machine Migration - Methods, systems and computer programs for migrating a virtual machine (VM) executing on a first host to a second host are presented, where the first host and the second are on different networks. One embodiment of a method includes assigning to the VM a VM address (VMA) belonging to a VM network and publishing a first route to an associated network (AN) via a first virtual router executing on the first host. The method further includes migrating the VM from the first host to the second host, the VM maintaining the assigned VMA after migrating, and publishing a second route to the AN via a second virtual router executing on the second host. The first and second virtual routers have addresses belonging to the VM network, and network packets to and from the VM flow through the virtual router where the VM is executing. A temporary tunnel between the virtual routers allows traffic to flow to the VM while the new route to AN is propagated throughout the network. The use of the associated network, which is associated with the VM, and the publishing of a new route to the VM allow the VM to keep the original VMA network address after the migration. | 11-11-2010 |
20100287549 | REDUCING COSTS FOR A DISTRIBUTION OF APPLICATIONS EXECUTING IN A MULTIPLE PLATFORM SYSTEM - Embodiments of the claimed subject matter are directed to methods and a system that allows the reduction of costs attributed to executing applications on a multi-platform system (such as a mainframe) by migrating certain processes operating on one platform to another platform in the system. In one embodiment, the reduction of cost is achieved by a method for migrating an application executing in a partition operating a proprietary operating system to a second partition operating an alternate operating system and leveraging special purpose processors unavailable to the proprietary operating system, which can be much less costly to purchase and/or rent and license. | 11-11-2010 |
20100293542 | SYSTEM AND METHOD FOR USING PARTIAL SOFTWARE OBJECT DEMATERIALIZATION IN A VIRTUAL MACHINE - A system and method for partial object dematerialization within a virtual machine (VM), and particularly Java Virtual Machines. In accordance with an embodiment, the system allows for applying partial object dematerialization to situations where the object is either created locally, or is retrieved from external code where it might have already escaped. In accordance with an embodiment, the system comprises a computer; a virtual machine for executing a software application; memory space for the application byte code and the generated machine code; and a compiler with an object dematerializer, and dematerializer injector. Runtime partial object dematerialization code is injected into the intermediate code representation in such a way that additional or standard optimizer techniques can be applied to it. | 11-18-2010 |
20100293543 | VIRTUAL MACHINE IMPLEMENTATION OF MULTIPLE USE CONTEXTS - Methods, devices, and systems for dynamically changing between contexts running simultaneously on a single communication device, such as a mobile communication device. More particularly, each context can be run as a virtual machine on the same communication device and context-related parameters can be used to determine which context or combination of contexts should be applied at a particular time. | 11-18-2010 |
20100293544 | INTEGRATED VIRTUAL AND PHYSICAL RESOURCE PROVISIONING SYSTEM - A system may receive a request to create a virtual machine, create the virtual machine on a first physical server in response to the request, and receive parameters for configuring a second physical server. The system may also configure the second physical server in accordance with the parameters during an installation of an operating system on the second physical server, and notify a user that the second physical server is ready for use. | 11-18-2010 |
20100293545 | RISC PROCESSOR DEVICE AND ITS INSTRUCTION ADDRESS CONVERSION LOOKING-UP METHOD - An RISC processor and a method for converting and looking-up instruction address in the RISC processor. The device comprises a decoder, which includes a look-up table module for realizing the conversion from an X86 source instruction address to an MIPS target instruction address by using a look-up table. The look-up table module includes: a looking-up sub-module for indexing the look-up table based on content, wherein if looking-up is hit, the corresponding content will be stored in a target register, and if not, an entry address of the not-hit service program will be stored in the target register; and an indexing sub-module for indexing the look-up table based on content and getting an index of the table entry in which the content resides. | 11-18-2010 |
20100299665 | INTERPOSITION METHOD SUITABLE FOR HARDWARE-ASSISTED VIRTUAL MACHINE - One embodiment of the present invention is a method of interposing operations in a computational system that includes a virtualization system executable on an underlying hardware processor that natively supports one or more instructions that transition between host and guest execution modes. The method includes introducing a hooked vector into a supervisor register block of the hardware processor, wherein the hooked vector displaces a system call handler vector otherwise set by a guest computation; read and write protecting at least the hooked vector containing portion of the supervisor register block; initiating execution of a code sequence of the guest computation on the hardware processor using one of the instructions that transition between the host and guest execution modes thereof, wherein the code sequence includes a system call and wherein upon initiation of the system call, the hardware processor transfers execution to a substitute handler in accordance with the hooked vector; and responsive to execution of the substitute handler, initiating a hooked operation and transferring control to the guest system call handler. | 11-25-2010 |
20100299666 | Live Migration of Virtual Machines In a Computing environment - A method for migrating a virtual machine (VM) in a computing environment is provided. The method comprises receiving a request to migrate a VM executing on a source host to a destination host; defining a recovery point to which the VM is restored during recovery from a fault; and iteratively copying a memory of the source host associated with the VM to the destination host. During the copying, the original state of each page in the memory is preserved. At some point, the VM suspends executing on the source host, copies state information associated with the VM to the destination host, and resumes executing on the destination host. If a fault is detected on the source host, the VM is restored to the recovery point using preserved information. | 11-25-2010 |
20100299667 | SHORTCUT INPUT/OUTPUT IN VIRTUAL MACHINE SYSTEMS - Read requests to a commonly accessed storage volume are conditionally issued, depending on whether or not a requested data block is already stored in memory from a prior access or to be stored in memory upon completion of a pending request. A data structure is maintained in memory to track physical memory pages and to indicate for each physical memory page the corresponding location in the storage volume from which the contents of the physical memory were read and the number of virtual memory pages that are mapped thereto. | 11-25-2010 |
20100306763 | Virtual Serial Concentrator for Virtual Machine Out-of-Band Management - A system and method for providing dynamic access through which I/O access such as serial ports, and thus administrators who manage servers, can see, obtain health, state or interact concurrently with multiple VMs on a given physical server. More specifically, in certain embodiments, the system and method includes a dynamic port count virtual serial concentrator coupled with a virtualization device to map emulated serial ports to virtual machines along with a remote plugin that provide dynamic concurrent serial access to many virtual machine serial consoles under a secure and collaborative friendly environment. | 12-02-2010 |
20100306764 | TECHNIQUES FOR MANAGING VIRTUAL MACHINE (VM) STATES - Techniques for managing virtual machine (VM) states are provided. Applications executing within a processing context of a VM communicate outside that processing context with a hypervisor or VM Monitor (VMM) to have the hypervisor perform host operations for the VM. | 12-02-2010 |
20100306765 | METHODS AND SYSTEMS FOR ABSTRACTING CLOUD MANAGEMENT - A cloud management system can utilize an abstraction library for management of clouds. The abstraction library can abstract out the differences between different cloud management schemes. The cloud management system can utilize the abstraction library to perform various processes associated with the virtual machines regardless of the cloud management scheme of the virtual machine. The cloud management system can format, configure, and convert virtual machines to the cloud management scheme of the cloud in which the virtual machine will be instantiated. The cloud management system can utilize the abstraction library to enable communication with virtual machines that are formated according to a different cloud management scheme than the communication. | 12-02-2010 |
20100306766 | ADDING ASPECTS TO VIRTUAL MACHINE MONITORS - A software generated trap is received by a virtual machine monitor running on a computer system, wherein the software generated trap was caused by a process or a guest operating system of a virtual machine hosted by the computer system. The virtual machine monitor performs a service in response to receiving the software generated trap. | 12-02-2010 |
20100306767 | METHODS AND SYSTEMS FOR AUTOMATED SCALING OF CLOUD COMPUTING SYSTEMS - A cloud management system can receive rules for altering the virtual machines based on demands on the virtual machines and/or computing resources supporting the virtual machines. The cloud management system can receive data from the internal monitoring agents and/or external monitoring agents and to determine when the conditions of the rules are met. Once the conditions are met, the cloud managements system can take the appropriate action to alter the instantiated virtual machines. | 12-02-2010 |
20100306768 | Methods for Managing Performance States in an Information Handling System - An information handling system (IHS) is disclosed wherein the system includes a processor associated with at least one performance state (P-state), and a memory in communication with the processor. The memory is operable to store a virtualization software and a basic input/out system (BIOS). The BIOS is configured to report a parameter of the P-state to the virtualization software. In addition, the BIOS is configured to transition the processor into a desired P-state. A method for managing performance states in an information handling system (IHS) is further disclosed wherein the method includes providing a basic input/output system (BIOS) in communication with a processor, the processor associated with an at least one performance state (P-state) and reporting a parameter of the at least one P-state to a virtualization software via the BIOS. The method further includes transitioning the processor to a desired P-state via the BIOS. | 12-02-2010 |
20100306769 | METHOD AND AN APPARATUS TO MIGRATE FUNCTIONALITIES ACROSS SYSTEMS - Some embodiments of a method and an apparatus to migrate functionalities across systems have been presented. In one embodiment, a system call from an application running on a first system is detected. The first system has a first version of an operating system, but the system call requires a functionality that is not available in the first version of the operating system. The functionality is available in a second version of the operating system running on a second system. Therefore, the system call is reflected from the first system to the second system. | 12-02-2010 |
20100306770 | METHOD AND APPARATUS FOR SWAPPING VIRTUAL MACHINE MEMORY - A method and system for swapping memory of a virtual machine are described. In one embodiment, virtual machines are hosted by a server and accessed by remote clients over a network. The server assigns first storage and second storage to each virtual machine, where the first storage is designated for swap memory of a respective virtual machine and the second storage is designated for persistent data of a respective virtual machine. The server monitors events pertaining to the virtual machines. Upon detecting a predefined event pertaining to one of the virtual machines, the server causes the contents of the first storage to be wiped out. | 12-02-2010 |
20100306771 | METHOD AND APPARATUS FOR DISPLAY DEVICE CONFIGURATION IN A VIRTUAL MACHINE ENVIRONMENT - A method and system for display device configuration in a VM environment are disclosed. In one embodiment, the method includes determining one or more display devices of a client and transmitting client display information to a host running one or more VMs. Further, a notification is received by the client from the host indicating that display settings of the VM were configured based on the client display information. | 12-02-2010 |
20100306772 | VIRTUAL SOLUTION COMPOSITION AND DEPLOYMENT SYSTEM AND METHOD - A method and information processing system are provided for creating a virtual part and for composing and deploying a virtual solution with one or more virtual parts. The virtual part includes: a virtual image including a set of compatible software components; a set of configurability points, each configurability point defining at least one parameter of the virtual part that is configurable; a set of virtual ports, wherein each virtual port indicates at least one of a set of virtual parts required by the virtual part and a set of virtual parts that are compatible with the virtual part; and a set of configuration scripts adapted to reconfigure the virtual image. | 12-02-2010 |
20100306773 | Instant on Platform - A method and apparatus allows multiple computer operating systems (OS) and/or personalities to run concurrently. An instant-on platform includes a resource management service, a caching service, a profile manager, a network stack which provides TCP/IP communication to the OS, and at least one appliance. The instant-on platform can be placed in the path of network and disk traffic between said user OS and actual system hardware. User selectable profiles and personalities are also provided. | 12-02-2010 |
20100306774 | Instant-On Computing System - A hypervisor loads a saved virtual machine image to provide an instant-on computing environment. A request may be received to switch from the instant-on computing environment to a native operating system. In response to such a request, the native operating system is executed and a hypervisor is executed on the native operating system. The saved virtual machine image is then loaded by the operating system based hypervisor to thereby provide the instant-on computing environment in conjunction with execution of the native operating system. | 12-02-2010 |
20100313201 | METHODS AND APPARATUS FOR FAST CONTEXT SWITCHING IN A VIRTUALIZED SYSTEM - The present disclosure provides methods and apparatus for fast context switching in a virtualized system. In the disclosed system, a globally unique application-space identifier is associated with each guest application. No two applications share the same application-space identifier, even if the two applications reside in different virtual machines. Domain identifiers are used to ensure that a guest's mappings are only active when that guest is executing. A unique domain identifier is associated with each virtual machine, and all translation lookaside buffer entries thereby mapping the guest's kernel pages with that domain value. All other mappings are tagged with a predefined domain such as zero. In addition, a virtual memory management unit may be configured to support two virtual page table pointers and a configurable boundary between a virtual user page table and a virtual kernel page table. In such an instance, the two virtual page table pointers are presented to a guest operating system, and two physical page table pointers are associated with the two virtual page table pointers. | 12-09-2010 |
20100318990 | METHOD AND APPARATUS FOR SCALABLE MONITORING OF VIRTUAL MACHINE ENVIRONMENTS - A method monitors machine activity of multiple virtual computing devices operating through at least one physical computing device by running a monitoring agent. The monitoring agent monitors performance of the multiple virtual computing devices. The method measures simple operating characteristics of only a base level virtual computing device. The method monitors complex operating characteristics using the monitoring agent by: measuring the complex operating characteristics for each of the multiple virtual computing devices (using each of the multiple virtual computing devices); recording the complex operating characteristics of each of the multiple virtual computing devices on a corresponding memory page of each of the multiple virtual computing devices; and sharing each the corresponding memory page with the base level virtual computing device through an interdomain communications channels to transfer the complex operating characteristics to the monitoring agent. The method identifies simple events and complex events for each of the multiple virtual computing devices by evaluating the simple operating characteristics and the complex operating characteristics and outputs the simple events and the complex events for each of the multiple virtual computing devices. | 12-16-2010 |
20100318991 | Virtual Machine Fault Tolerance - In a computer system running a primary virtual machine (VM) on virtualization software on a primary virtualized computer system (VCS) and running a secondary VM on virtualization software on a secondary VCS, a method for the secondary VM to provide quasi-lockstep fault tolerance for the primary VM includes: as the primary VM is executing a workload, virtualization software in the primary VCS is: (a) causing predetermined events to be recorded in an event log, (b) keeping output associated with the predetermined events pending, and (c) sending the log entries to the virtualization software in the secondary VCS; as the secondary VM is replaying the workload, virtualization software in the secondary VCS is: (a) sending acknowledgements indicating that log entries have been received; (b) when the virtualization software encounters one of the predetermined events, searching the log entries to determine whether a log entry corresponding to the same event was received from the primary VCS, and if so, comparing data associated with the predetermined event produced by the secondary VM with that of the primary VM; if there is a match, the virtualization software in the secondary VCS transmitting an acknowledgement to the virtualization software in the primary VCS; one of the virtualization software in the primary or secondary VCS dropping the event and the other dispatching the output; and if there is no match, performing a checkpoint resynchronization. | 12-16-2010 |
20100318992 | TERMINAL SERVICES APPLICATION VIRTUALIZATION FOR COMPATABILITY - Systems, methods and computer-readable storage media are disclosed for providing a virtual single-user session to a client in a terminal server session. In an embodiment, requests to a resource in the system-space of a system made by an application are intercepted. A determination is made as to whether to virtualize the resource for the application. Where the resource is to be virtualized, a user-specific virtualized resource is created or maintained in user-space and provided to the application. | 12-16-2010 |
20100318993 | METHOD AND APPARATUS FOR PROVIDING VIRTUAL SERVER LADES - Methods and apparatus to provide virtual server blades are described. In one embodiment, a first virtual machine (VM) in a processing system may emulate a first server blade, and a second VM in the processing system may emulate a second server blade. The emulated server blades may be referred to as virtual server blades. A virtual machine monitor (VMM) in the processing system may provide a communication channel to at least one of the virtual server blades. Other embodiments are described and claimed. | 12-16-2010 |
20100325628 | INFORMATION PROCESSING DEVICE - A terminal having a plurality of virtual machines in one-to-one correspondence with a plurality of stakeholders is enabled to activate in compliance with the trust dependency relation among the virtual machines and a virtual machine monitor. The terminal includes: the plurality of virtual machines in one-to-one correspondence with the plurality of stakeholders; a plurality of tamper-resistant modules in one-to-one correspondence with the virtual machines, and a management unit controlling the virtual machines and the tamper-resistant modules in mutually related manner. Each virtual machine securely boots with reference to a certificate having a trust dependency with one or other virtual machines. | 12-23-2010 |
20100325629 | Apparatus, System and Method for a User Profiled-Based OS for Mobile Devices - A tile bar for use in association with a graphical user interface associated with at least one operating system is disclosed. The tile bar includes a plurality of tiles indicative of one or more of an application, a file, a window, a data, and an outcome, an identifying tile among the plurality of tiles, wherein the identifying tile is uniquely indicative of at least one of a user preference and user information of a user logged in to the graphical user interface, and wherein the identifying tile is adjacent to at least one tile of the plurality of tiles, and a scroll for presenting ones of the plurality of tiles not immediately viewable to the user. The tile bar further includes each of said plurality of tiles comprises at least one of rotating about an axis, focused by a magnifying cursor, increased vividness, and blurring upon placement of a cursor provided by the graphical user interface at a predetermined proximation to each tile. | 12-23-2010 |
20100333087 | Allocation and Regulation of CPU Entitlement for Virtual Processors in Logical Partitioned Platform - A system, method, and computer program product for managing processor entitlement of virtual processors in logical partitioned data processing system. One embodiment of the invention provides a method of managing processing resources in a data processing system. The method involves creating a resource set comprising a grouping of virtual processors, and allocating a processing resource entitlement the resource set. The method also includes assigning the resource set to a workload, receiving a request by the workload for utilization of processing resources, and in response to receiving the workload request dispatching the assigned resource set. The method further includes determining whether the dispatched virtual processors of the resource set have exceeded the assigned processing resource entitlement, and in response to determining that the processing resource entitlement has been exceeded, undispatching the resource set. | 12-30-2010 |
20100333088 | VIRTUALIZED MOBILE DEVICES - One embodiment is a virtualized mobile device including virtualization software that supports one or more virtual machines and further includes: (a) device emulation software that communicates with device driver software in the one or more virtual machines; (b) device driver software that communicates with one or more physical devices of the mobile device; and (c) transformer stack software that interacts with the device emulation software and the device driver software. | 12-30-2010 |
20100333089 | COORDINATED RELIABILITY MANAGEMENT OF VIRTUAL MACHINES IN A VIRTUALIZED SYSTEM - A system and methods for reliability management of virtual machines in a host system. The reliability of the host system is monitored and compared with a reliability threshold level for a virtual machine. If the reliability of the host system drops below the reliability threshold level, the virtual machine is migrated to another host system having an appropriate level of reliability. | 12-30-2010 |
20100333090 | METHOD AND APPARATUS FOR PROTECTING TRANSLATED CODE IN A VIRTUAL MACHINE - One embodiment provides a system that protects translated guest program code in a virtual machine that supports self-modifying program code. While executing a guest program in the virtual machine, the system uses a guest shadow page table associated with the guest program and the virtual machine to map a virtual memory page for the guest program to a physical memory page on the host computing device. The system then uses a dynamic compiler to translate guest program code in the virtual memory page into translated guest program code (e.g., native program instructions for the computing device). During compilation, the dynamic compiler stores in a compiler shadow page table and the guest shadow page table information that tracks whether the guest program code in the virtual memory page has been translated. The compiler subsequently uses the information stored in the guest shadow page table to detect attempts to modify the contents of the virtual memory page. Upon detecting such an attempt, the system invalidates the translated guest program code associated with the virtual memory page. | 12-30-2010 |
20110004875 | Method and System for Performance Isolation in Virtualized Environments - A method, a system, an apparatus, and a computer program product for allocating resources of one or more shared devices to one or more partitions of a virtualization environment within a data processing system. At least one user defined resource assignment is received for one or more devices associated with the data processing system. One or more registers, associated with the one or more partitions are dynamically set to execute the at least one resource assignment, whereby the at least one resource assignment enables a user defined quantitative measure (number and/or percentage) of devices to operate when the one or more transactions are executed via the partition. The system enables the one or more devices to execute one or more transactions at a bandwidth/capacity that is less than or equal to the user defined resource assignment and minimizes performance interference among partitions. | 01-06-2011 |
20110004876 | Network Traffic Processing Pipeline for Virtual Machines in a Network Device - Network devices include hosted virtual machines and virtual machine applications. Hosted virtual machines and their applications implement additional functions and services in network devices. Network devices include data taps for directing network traffic to hosted virtual machines and allowing hosted virtual machines to inject network traffic. Network devices include unidirectional data flow specifications, referred to as hyperswitches. Each hyperswitch is associated with a hosted virtual machine and receives network traffic received by the network device from a single direction. Each hyperswitch processes network traffic according to rules and rule criteria. A hosted virtual machine can be associated with multiple hyperswitches, thereby independently specifying the data flow of network traffic to and from the hosted virtual machine from multiple networks. The network device architecture also enables the communication of additional information between the network device and one or more virtual machine applications using an extended non-standard network protocol. | 01-06-2011 |
20110004877 | Maintaining Virtual Machines in a Network Device - Network devices include hosted virtual machines and virtual machine applications. Hosted virtual machines and their applications implement additional functions and services in network devices. Network devices include data taps for directing network traffic to hosted virtual machines and allowing hosted virtual machines to inject network traffic. Network devices include unidirectional data flow specifications, referred to as hyperswitches. Each hyperswitch is associated with a hosted virtual machine and receives network traffic received by the network device from a single direction. Each hyperswitch processes network traffic according to rules and rule criteria. A hosted virtual machine can be associated with multiple hyperswitches, thereby independently specifying the data flow of network traffic to and from the hosted virtual machine from multiple networks. The network device architecture also enables the communication of additional information between the network device and one or more virtual machine applications using an extended non-standard network protocol. | 01-06-2011 |
20110004878 | METHODS AND SYSTEMS FOR SELECTING A DESKTOP EXECUTION LOCATION - Described are methods and systems for dynamically determining to execute a virtual machine on one of a local computing machine and a remote computing machine. A system can include a local computing machine, a remote computing machine and an execution manager that executes on a processor. The execution manager can obtain the characteristics of a local computing machine, and of a network between the local computing machine and the remote computing machine. The execution manager can then apply a policy to the local computing machine characteristics and the network characteristics to determine whether to execute a virtual machine on the local computing machine or the remote computing machine. Responsive to applying this policy, the execution manager can forward an execution instruction to one of either a hypervisor executing on the local computing machine and the remote computing machine, to execute the virtual machine. | 01-06-2011 |
20110010706 | System and Method for Providing Redundancy for Management Controller - Systems and methods for reducing problems and disadvantages associated with traditional approaches to providing redundancy for a management controller are provided. A method may include executing, by a hypervisor executing on a management controller, a first guest OS and second guest OS. The method may additionally include executing, by the first guest OS, one or more first management applications for managing one or more information handling resources communicatively coupled to the management controller. The second guest OS may: (i) execute one or more second management applications for managing the one or more information handling resources communicatively coupled to the management controller; (ii) execute one or more monitoring applications for monitoring redundancy status of the first guest OS and the second guest OS; (iii) sleeping the one or more second management applications; and (iv) monitoring, by the one or more monitoring applications, the operability of the first guest OS. | 01-13-2011 |
20110010707 | VIRTUAL MACHINE DEVICE AND METHODS THEREOF - A data processing device includes one or more state registers to store state information associated with an execution core of the device. Each state register includes an associated “dirty” bit. When a guest program is executed at the execution core, a dirty bit is set in response to a change in the state information at the associated state register. In response to a world switch from the guest program to a VMM, the state information at each state register is stored to memory only if the associated dirty bit is set. In addition, if the VMM changes any stored state information, it clears a “clean” bit associated with the changed information. In response to a world switch from the VMM to a guest, the state information associated with cleared clean bits is retrieved from memory. | 01-13-2011 |
20110010708 | SYSTEM AND METHOD FOR TRANSPORTING CONFIGURATION PARAMETERS - Configuration settings can be transferred from one machine by executing a first client application on a source machine to retrieve the configuration settings of the source machine. The configuration settings may be transformed into a platform and application independent format before being transferred to a target machine where a second client application transforms the configuration settings into platform or application dependent parameters appropriate for the target machine. In one example, the configuration parameters include a power policy that can be applied across a network. | 01-13-2011 |
20110010709 | Optimizing System Performance Using Spare Cores in a Virtualized Environment - A mechanism for optimizing system performance using spare processing cores in a virtualized environment. When detecting a workload partition needs to run on a virtual processor in the virtualized system, a state of the virtual processor is changed to a wait state. A first node comprising memory that is local to the workload partition is determined. A determination is also made as to whether a non-spare processor core in the first node is available to run the workload partition. If no non-spare processor core is available, a free non-spare processor core in a second node is located, and the state of the free non-spare processor core in the second node is changed to an inactive state. The state of a spare processor core in the first node is changed to an active state, and the workload partition is dispatched to the spare processor core in the first node for execution. | 01-13-2011 |
20110010710 | Image Transfer Between Processing Devices - Methods and devices are provided for copying an image of a medium of a first processing device to a virtual medium of a second processing device. Virtual machine configuration information may be created based on hardware configuration information from the first processing device. The image and the virtual machine configuration information may be copied, or written, to the virtual medium. Instructions may be provided to the second processing device for launching a virtual machine to execute an operating system copied from the image to the virtual medium. At least one executable application copied from the image to the virtual medium may be executed by the virtual machine launched on the second processing device. In some embodiments, the second processing device may provide remote access to the virtual machine for one or more remote processing devices. | 01-13-2011 |
20110010711 | Reliable movement of virtual machines between widely separated computers - This invention describes an improved method of transferring running VMs between servers that would allow them to move between datacenters, even ones that are halfway across the world from each other. | 01-13-2011 |
20110010712 | Methods for Improving Atomicity of Runtime Inspections - A method for achieving atomicity while inspecting a running computer process using a copy-on-write process in a supervisor to generate a copy of a target's memory page being written to, prior to executing the write, the copy along with any unwritten to memory pages in the target being mapped to a measurement agent (MA) and used to reflect the state of the target at a particular moment in time which state when observed by the MA provides an atomic runtime inspection. | 01-13-2011 |
20110010713 | COMPUTER SYSTEM, VIRTUAL MACHINE MONITOR AND SCHEDULING METHOD FOR VIRTUAL MACHINE MONITOR - In a computer system according to the background art, when a request to halt a virtual processor was detected, the virtual processor was blocked. In the blocking method, latency of virtual halt exit of the virtual processor was so long that a problem of performance was caused. A virtual machine monitor selects either of a busy wait method for making repeatedly examination until the virtual halt state exits while the virtual processor stays on the physical processor and a blocking method for stopping execution of the virtual processor and scheduling other virtual processors on the physical processor while yielding the operating physical processor and checking off scheduling of the virtual processor to the physical processor, based on a virtual processor halt duration predicted value of the virtual processor which is an average value of latest N virtual processor halt durations of the virtual processor. | 01-13-2011 |
20110010714 | FAST BOOTING A COMPUTING DEVICE TO A SPECIALIZED EXPERIENCE - Described is a technology by which independent computing functions such as corresponding to separate operating systems may be partitioned into coexisting partitions. A virtual machine manager, or hypervisor, manages the input and output of each partition to operate computer system hardware. One partition may correspond to a special purpose operating system that quickly boots, such as to provide appliance-like behavior, while another partition may correspond to a general purpose operating system that may load while the special purpose operating system is already running. The computer system that contains the partitions may transition functionality and devices from one operating system to the other. The virtual machine manager controls which computer hardware devices are capable of being utilized by which partition at any given time, and may also facilitate inter-partition communication. | 01-13-2011 |
20110016466 | VIRTUAL MEDIA WITH FOLDER-MOUNT FUNCTION - A virtual media (VM) method for mounting a folder stored in a storage device of a client for accessed by a server as a virtual drive. The client assigns virtual cluster indices to each file in the folder, and creates a file-cluster index table to store the assigned virtual cluster indices. The client also creates a VM file system complying with the FAT file system standard except that only subdirectories and no data files are stored in the VM FAT file system. The VM FAT table uses virtual cluster indices rather than actual cluster indices. Data written by the server are stored in a temporary data area without modifying the actual file system of the storage device. The virtual sectors requested to be written are “dirty”, and the dirty sector numbers and corresponding temporary sector numbers are stored in a dirty sector index table for use in a write-back step. | 01-20-2011 |
20110016467 | System And Method For Managing Virtual Machines - In some embodiments, a method for virtual machine management includes receiving a request for a first virtual machine from a virtual host. The method also includes comparing the request for the first virtual machine to a policy. The policy includes rules that govern access to a plurality of virtual machines. In addition, the method includes providing the virtual host access to a second virtual machine in response to comparing the request for the first virtual machine to the policy. | 01-20-2011 |
20110016468 | APPARATUS AND COMPUTER-IMPLEMENTED METHOD FOR CONTROLLING MIGRATION OF A VIRTUAL MACHINE - Migration of a virtual machine from a source host to a destination host is controlled by referring to a graph data structure wherein one or more hosts are associated with at least some of the nodes of the graph data structure, a source node being associated with the source host and a destination node being associated with the destination host, and in which each link of the graph data structure is ascribed at least a permission value associated with the virtual machine, to determine if traversal of a path from the source node to the destination node is permitted by the permission values of the links in the path. Migration of said virtual machine is allowed if traversal from said source node to said destination node along the path is permitted. | 01-20-2011 |
20110023025 | Virtualization for Low-Power Networks - Techniques are generally described that relate to a method and system for associating a virtual device operating in a virtual network having a virtual device coordinator with a low-power device. Example methods may include receiving, by the virtual device coordinator, a low-power device descriptor from the low-power device. The virtual device coordinator may be arranged to determine a low-power device classification based on the received low-power device descriptor. The virtual device may be configured, by the virtual device coordinator, based on one or more of the low-power device classification and/or the low-power device descriptor. The virtual device coordinator may be adapted to store an association record indicating that the virtual device is associated with the low-power device. | 01-27-2011 |
20110023026 | PATTERN-BASED OPERATING SYSTEMS - Apparatus, systems, and methods may operate to monitor operations of at least one processor to define a set of executed applications executed under a first operating system over a selected time period; and to generate an image of a second operating system having sufficient resources to service a subset of the set of executed applications, the subset determined according to a usage pattern defined by at least a portion of the selected time period, the number of resources provided by the second operating system being less than or equal to the number of resources provided by the first operating system. The images may be loaded based on receipt of a menu selection. Additional apparatus, systems, and methods are disclosed. | 01-27-2011 |
20110023027 | I/O MEMORY MANAGEMENT UNIT INCLUDING MULTILEVEL ADDRESS TRANSLATION FOR I/O AND COMPUTATION OFFLOAD - An input/output memory management unit (IOMMU) configured to control requests by an I/O device to a system memory includes control logic that may perform a two-level guest translation to translate an address associated with an I/O device-generated request using translation data stored in the system memory. The translation data includes a device table having a number of entries. The control logic may select the device table entry for a given request by the using a device identifier that corresponds to the I/O device that generates the request. The translation data may also include a first set of I/O page tables including a set of guest page tables and a set of nested page tables. The selected device table entry for the given request may include a pointer to the set of guest translation tables, and a last guest translation table includes a pointer to the set of nested page tables | 01-27-2011 |
20110023028 | VIRTUALIZATION SOFTWARE WITH DYNAMIC RESOURCE ALLOCATION FOR VIRTUAL MACHINES - In one embodiment, a system has two or more working computers, each running one or more working virtual machines (VMs), and a protection computer running corresponding protection VMs. A management station can change the levels of computer resources specified in resource-configuration files for the protection VMs, and virtualization software can re-read the resource-configuration files and change the allocation of computer resources to the protection VMs without having to shut down and re-launch the protection VMs. By initially launching the protection VMs with reduced levels of computer resources, fast and cost-effective failover protection can be provided to the working computers, where the computer resources allocated to a protection VM are enhanced only after the detection of a failure of the corresponding working VM, without having to shut down and re-launch the protection VM. | 01-27-2011 |
20110023029 | METHOD AND SYSTEM FOR ABSTRACTING VIRTUAL MACHINES IN A NETWORK - One or more processors and/or one or more circuits may be operable to configure one or more virtual machines and a hypervisor for controlling the one or more virtual machines. The virtual machines and the hypervisor may be distributed across a plurality of network devices. A sub-hypervisor may be configured within each of the virtual machines utilizing the hypervisor. Load information of the network devices may be communicated to the hypervisor utilizing the sub-hypervisors. The virtual machines may include threads, may be load balanced utilizing the hypervisor, dynamically configured utilizing the hypervisor based on changes in the network devices, and scaled by the distribution of the virtual machines across the network devices. Information from the processing of data may be received in the virtual machines. The network devices may include a plurality of: servers, switches, routers, racks, blades, mainframes, personal data assistants, smart phones, desktop computers, and/or laptop devices. | 01-27-2011 |
20110023030 | On-Line Replacement and Changing of Virtualization Software - In a virtualized system running one or more virtual machines on a first hypervisor, a second hypervisor is installed and control of the hardware resources of the physical computer supporting the virtualized system is migrated from the first hypervisor to the second hypervisor without interrupting the operation of the first hypervisor and the virtual machines. Initially a minimal set of hardware resources is hot-removed from control by the first hypervisor, and the second hypervisor is launched on the minimal set of hardware resources. Both the remaining hardware resources and the virtual machines are then migrated from the first hypervisor to the second hypervisor until all the virtual machines have been migrated over to the second hypervisor, while the virtual machines and the first hypervisor continue running largely unaffected by the migration process. | 01-27-2011 |
20110023031 | SERVER VIRTUALIZED USING VIRTUALIZATION PLATFORM - A data processing system transforms a physical server into multiple virtual servers. The illustrative data processing system comprises a physical server and a virtualization platform integrated into the physical server that is configured to run multiple operating systems simultaneously one or more physical servers. A baseboard management controller integrated into the physical server controls the virtualization platform and the operating systems including physical and virtual resources. The virtualization platform operates according to state data accessed from the baseboard management controller. | 01-27-2011 |
20110029969 | ALTRUISTIC DEPENDABLE MEMORY OVERCOMMIT FOR VIRTUAL MACHINES - This disclosure describes, generally, methods and systems for implementing memory overcommit of virtual machines. The method includes establishing a plurality of virtual machines on a physical machine, broadcasting, from each of the plurality of virtual machines to a central scheduler, resource usage requirements, and then based at least in part on the resource usage requirements broadcasted from each of the plurality of virtual machines, determining a resource requirements schedule for each of the plurality of virtual machines. The method further includes receiving at least one resource request from at least one of the plurality of virtual machines, based on the resource requirements schedule, un-assigning resources from at least one of the plurality of virtual machines, and assigning the un-assigned resources to the at least one of the plurality of virtual machines which initiated the resource request. | 02-03-2011 |
20110029970 | OPTIMIZING ON DEMAND ALLOCATION OF VIRTUAL MACHINES USING A STATELESS PREALLOCATION POOL - A method, computer system, and computer program product for allocating virtual machines in a stateless preallocation pool on a computing device is provided. In response to determining that a virtual machine is to be removed from an active pool in a computing device, it is determined whether the virtual machine is to be moved to a preallocation pool of the computing device. In response to determining that the virtual machine is to be moved to the preallocation pool, the virtual machine is cleansed of stateful data by removing unique information about the virtual machine's prior allocation while in the active pool. The virtual machine is moved to the preallocation pool. In response to determining that the virtual machine is needed in the active pool, the virtual machine is moved from the preallocation pool to the active pool. | 02-03-2011 |
20110029971 | INFORMATION PROCESSING APPARATUS, IMAGE PROCESSING METHOD AND COMPUTER PROGRAM - A plurality of VMs work in a PC | 02-03-2011 |
20110029972 | SYSTEMS AND METHODS FOR PROVIDING A FILE SYSTEM VIEW OF A STORAGE ENVIRONMENT - A data identification system and method for operating the data identification system are provided. The method comprises identifying processing elements contained within the storage environment, identifying virtual processing elements contained within the processing elements, identifying virtual storage elements contained within the virtual processing elements, identifying contents of the virtual storage elements, generating the file system view of the storage environment, wherein the file system view comprises the processing elements, the virtual processing elements, the virtual storage elements, and the contents of the virtual storage elements arranged in a hierarchical order. The file system view of the storage environment is then provided. | 02-03-2011 |
20110029973 | METHOD AND APPARATUS FOR MANAGING VIRTUAL PORTS ON STORAGE SYSTEMS - A storage system is configured to create and manage virtual ports on physical ports. The storage system can transfer associations between virtual ports and physical ports when a failure occurs in a physical port or a link connected to the physical port so that a host can access volumes under the virtual ports through another physical port. The storage system can also change associations between virtual ports and physical ports by taking into account the relative loads on the physical ports. When a virtual machine is migrated from one host computer to another, the loads on the physical ports in the storage system can be used to determine whether load balancing should take place. Additionally, the storage system can transfer virtual ports to a remote storage system that will take over the virtual ports, so that a virtual machine can be migrated to remote location. | 02-03-2011 |
20110029974 | Virtual Machine Manager System And Methods - Embodiments of the disclosure describe system and methods for virtualized system. In this regard, the virtualized system comprises a virtual machine manager (VMM), the virtual machine manager comprising policy settings that are directly configurable by an administrator, wherein the policy settings are measured as part of a measured launch of the virtual machine manager; and at least one virtual machine that is managed by the virtual machine manager. | 02-03-2011 |
20110035745 | RISC PROCESSOR APPARATUS AND METHOD FOR SUPPORTING X86 VIRTUAL MACHINE - A RISC processor apparatus and method for supporting an X86 virtual machine. The RISC processor includes: an instruction module for storing a virtual machine instruction set that supports the X86 virtual machine; a decoder for, during the decoding of an instruction of the virtual machine instruction set, distinguishing the virtual machine instruction set mode of the instruction, decoding the instruction according to the distinguished virtual machine instruction set mode, and outputting the decoded instruction to a fixed-point operation component or a floating-point operation component according to the distinguished virtual machine instruction set mode; the fixed-point operation component for processing the fixed-point instruction of the virtual machine instruction set according to the output of the decoder and outputting the execution result; the floating-point operation component for processing the floating-point instruction of the virtual machine instruction set according to the output of the decoder and outputting the execution result. | 02-10-2011 |
20110041126 | MANAGING WORKLOADS IN A VIRTUAL COMPUTING ENVIRONMENT - Methods and apparatus involve continuous management of workloads, including regular monitoring, profiling, tuning and fault analysis by way of instrumentation in the workloads themselves. Broadly, features contemplate collecting current state information from remote or local workloads and correlating it to predefined operational characteristics to see if such defines an acceptable operating state. If so, operation continues. If not, remediation action occurs. In a virtual environment with workloads performing under the scheduling control of a hypervisor, state information may also come from a hypervisor as well as any guest user and kernel spaces of an attendant operating system. Executable instructions in the form of probes gather this information from items of the stack available for control and deliver it to the management system. Other features contemplate supporting/auditing third party cloud computing services, validating service level agreements, and consulting independent software vendors. Security, computing systems and computer program products are other embodiments. | 02-17-2011 |
20110047541 | SECURITY MANAGEMENT DEVICE AND METHOD - In a case where a master virtual machine, which is constructed on the basis of master information for configuring either part or all of a virtual machine, and an individual virtual machine, which is constructed on the basis of individual information that is configured partially or entirely in accordance with the master information, exist as the types of virtual machines that a physical client provides to a user terminal, a security check of a plurality of virtual machines is selectively executed, with respect to each check item, for a virtual machine of the type corresponding to the contents of the check item. | 02-24-2011 |
20110047542 | System and Method for Enforcing Security Policies in a Virtual Environment - A method in one example implementation includes intercepting a request associated with an execution of an object (e.g., a kernel module or a binary) in a computer configured to operate in a virtual machine environment. The request is associated with a privileged domain of the computer that operates logically below one or more operating systems. The method also includes verifying an authorization of the object by computing a checksum for the object and comparing the checksum to a plurality of stored checksums in a memory element. The execution of the object is denied if it is not authorized. In other embodiments, the method can include evaluating a plurality of entries within the memory element of the computer, wherein the entries include authorized binaries and kernel modules. In other embodiments, the method can include intercepting an attempt from a remote computer to execute code from a previously authorized binary. | 02-24-2011 |
20110047543 | System and Method for Providing Address Protection in a Virtual Environment - A method in one example implementation includes identifying an address space in a memory element of a system configured to operate in a virtual environment. The address space includes at least one system address, and the address space is provided to a virtual machine monitor. The method also includes generating a page table entry for the system address in a shadow page table stored in the virtual machine monitor in response to a guest operating system initiating a process. The page table entry is marked as a page not being present in order to trigger a page fault for a system address access from the guest operating system. In more specific embodiments, the method may include evaluating a page fault to determine access to the address space, where access to a writeable area of the memory element is denied. | 02-24-2011 |
20110047544 | PARA-VIRTUALIZATION IN A NESTED VIRTUALIZATION ENVIROMENT - A para-virtualization method is provided. The method comprises implementing a virtual machine (VM) for guest software running on first host software. In response to a privileged instruction, the guest software causes a first VM exit. If the first host software is not running directly on hardware, the privileged instruction is managed without causing a second VM exit. Otherwise, the privileged instruction is managed normally. | 02-24-2011 |
20110047545 | Entropy Pools for Virtual Machines - In the host operating system of a computing device, entropy data is collected based at least in part on each of one or more hardware components of the computing device. An entropy pool is updated based at least in part on the collected entropy data, and data from the entropy pool is provided to a guest operating system running as a virtual machine of the computing device. The guest operating system maintains a guest operating system entropy pool based on the data from the entropy pool provided by the host operating system. The guest operating system accesses the guest operating system entropy pool and uses the guest operating system entropy pool as a basis for generating values including random numbers. | 02-24-2011 |
20110047546 | Mechanism for Out-of-Synch Virtual Machine Memory Management Optimization - In one embodiment, a mechanism for out-of-synch virtual machine memory management optimization is disclosed. In one embodiment, a method for out-of-synch virtual machine memory management optimization includes receiving a memory management unit (MMU) synchronization event issued from a VM virtualized by a VM monitor (VMM) of a host server device, and synchronizing one or more unsynchronized page tables (PTs) of a shadow PT hierarchy maintained by the VMM with one or more corresponding guest PTs of a guest PT hierarchy maintained by the VM, wherein the one or more unsynchronized PTs include an unlimited number of unsynchronized PTs in a visible address space of the shadow PT hierarchy that is determined by a current CR3 register address of the shadow PT hierarchy. | 02-24-2011 |
20110047547 | VIRTUALIZATION EVENT PROCESSING IN A LAYERED VIRTUALIZATION ARCHITECTURE - Embodiments of apparatuses and methods for processing virtualization events in a layered virtualization architecture are disclosed. In one embodiment, an apparatus includes a event logic and evaluation logic. The event logic is to recognize a virtualization event. The evaluation logic is to determine whether to transfer control from a child guest to a parent guest in response to the virtualization event. | 02-24-2011 |
20110047548 | Systems and Methods for a Disaster Recovery System Utilizing Virtual Machines Running on at Least Two Host Computers in Physically Different Locations - The present invention is directed to systems and methods for providing disaster recovery services using virtual machines. The invention provides an inexpensive and minimally intrusive way to provide disaster recovery services including recovery of the state of computer processors and devices. The system includes a production host and a backup host that communicate via a communications link. The state of the processor and devices on the production host are periodically stored onto backup host and can be restored in the event of an emergency. Additionally, the invention includes two business methods for utilizing the system and methods for providing disaster recovery services. | 02-24-2011 |
20110055827 | Cache Partitioning in Virtualized Environments - A mechanism is provided in a virtual machine monitor for providing cache partitioning in virtualized environments. The mechanism assigns a virtual identification (ID) to each virtual machine in the virtualized environment. The processing core stores the virtual ID of the virtual machine in a special register. The mechanism also creates an entry for the virtual machine in a partition table. The mechanism may partition a shared cache using a vertical (way) partition and/or a horizontal partition. The entry in the partition table includes a vertical partition control and a horizontal partition control. For each cache access, the virtual machine passes the virtual ID along with the address to the shared cache. If the cache access results in a miss, the shared cache uses the partition table to select a victim cache line for replacement. | 03-03-2011 |
20110055828 | Mechanism for Virtual Time Stamp Counter Acceleration - A mechanism for virtual time stamp counter acceleration is disclosed. A method of embodiments of the invention includes setting a virtual machine (VM) time stamp counter (TSC) to a hyper-fast rate, receiving control of the VM due to a VM exit caused by the VM issuing an instruction that reads the VM TSC, and adjusting the VM TSC with a value of an offset counter associated with the VM. | 03-03-2011 |
20110055829 | Mechanism for Virtual Synchrony Total Order Messaging for Virtual Machines - A mechanism for virtual synchrony total order messaging for virtual machines is disclosed. A method of embodiments of the invention includes receiving a request to reserve a block of memory in a shared memory segment, reserving the block of memory, and providing a start address of the reserved block of memory to a virtual machine (VM) that sent the request in order for the VM to copy a message from an application of the VM into the reserved block of memory, wherein the application communicates with other applications on other VMs using virtual synchrony. The method may further include sending a new message available request to all of the other VMs, wherein the new message available request includes the start address of the reserved block of memory and a length of the message, and tracking the VMs that access the reserved block of memory. | 03-03-2011 |
20110055830 | MECHANISM FOR REDUCING THE POWER CONSUMPTION OF VIRTUAL DESKTOP SERVERS - A mechanism for reducing the power consumption of virtual machine host servers is disclosed. A method for a virtual machine (VM) power reduction agent (PRA) hosted by a VM may include identifying a user activity associated with the VM, determining that the VM is inactive based on the user activity associated with the VM, and notifying a host of the VM that the VM is inactive to facilitate application of a power reduction policy to the VM based on specific power reduction settings associated with the VM. | 03-03-2011 |
20110061049 | Storage system, and remote copy control method therefor - A storage system includes an information apparatus in which a virtualization mechanism is implemented to build a virtual machine, a storage apparatus which is coupled to the information apparatus, which includes a first logical volume proving a storage area to be used by the virtual machine, and which includes a virtual disk area and a virtual disk management area on the first logical volume, the virtual disk area used by the virtual machine to store data, the virtual disk management area storing management data for managing the virtual disk area; and a management computer which is coupled to the information apparatus and the storage apparatus, and which includes a remote copy controller configured to copy the virtual disk area and the virtual disk management area on the first logical volume of the storage apparatus to a storage area on a second logical volume included in a second storage device coupled to the storage apparatus. The remote copy controller determines whether, on the second logical volume, there is the virtual disk management area used by the virtual machine. When determining that there is not, the remote copy controller transmits, to the storage apparatus, an instruction to copy the virtual disk management area and the virtual disk area to the second logical volume. | 03-10-2011 |
20110061050 | METHODS AND SYSTEMS TO PROVIDE PLATFORM EXTENSIONS FOR TRUSTED VIRTUAL MACHINES - Methods and systems to authenticate a privileged virtual machine (VM), such as a monitoring VM, at a computing platform. Once authenticated, the privileged VM may access privileged resources, including data from the computing platform, via a VM manager or via defined instructions. Such data may include state information of other VMs. The state information may include performance counters of the other VMs. Such instructions may include ones that are not available to non-privileged VMs. | 03-10-2011 |
20110072426 | Speculative Notifications on Multi-core Platforms - A computer system having a plurality of processor cores utilizes a device driver running in a driver virtual machine to handle I/O with the corresponding device for other virtual machines. A hypervisor in the computer system receives an interrupt from the corresponding device and identifies a virtual machine that best correlates to the received interrupt prior to forwarding the interrupt for handling by the driver virtual machine. The hypervisor then speculatively transmits a notification to the identified virtual machine to wake up and poll a memory shared between the identified virtual machine and the driver virtual machine. Once the driver virtual machine completes handling of the forwarded interrupt, it copies data made available by the corresponding device to the shared memory for access by the polling identified virtual machine. | 03-24-2011 |
20110072427 | SYSTEM AND METHOD FOR SYNCHRONIZING TRANSIENT RESOURCE USAGE BETWEEN VIRTUAL MACHINES IN A HYPERVISOR ENVIRONMENT - A system and method for synchronizing transient resource usage between virtual machines, e.g. Java Virtual Machines (JVMs), running within a hypervisor. In accordance with an embodiment, the system allows for synchronizing garbage collection and code optimization to reduce transient processor (cpu) and memory usage. In accordance with an embodiment, the system comprises a computer; a hypervisor for executing virtual servers running JVMs; a communication channel between the virtual servers; wherein each virtual server further comprises non-transient and transient memory and a synchronization module. In accordance with an embodiment the synchronization modules schedule garbage collects and code optimizations to minimize overlaps, thereby reducing the peak transient memory and cpu usage and the resulting volatility of transient resource usage within a computer. In accordance with another embodiment, a cloud manager can move virtual servers between computers to optimize computer volatility within a cloud. | 03-24-2011 |
20110072428 | Nested Virtualization Performance In A Computer System - A virtualization architecture for improving the performance of nested virtualization in a computer system. A virtualization instruction reads or writes data in a control structure used by a virtual machine monitor (VMM) to maintain state on a virtual machine (VM) to support transitions between a root mode of operation of a CPU in which the VMM executes and a non-root mode of operation of the CPU in which the VM executes. A privileged data access is made to a primary control structure according to the virtualization instruction if the CPU is in the root mode. A non-privileged data access is made to a secondary control structure according to the virtualization instruction if the CPU is in the non-root mode and a secondary control structure field in the primary control structure is enabled. | 03-24-2011 |
20110072429 | VIRTUAL MACHINE RELOCATION SYSTEM AND ASSOCIATED METHODS - A system to address virtual machine relocation may include a computer system and a host operating system executing on the computer system. The system may also include a second computer system and a second host operating system executing on the second computer system. The system may further include a virtual machine executing on the host operating system. The system may additionally include a tool to relocate the virtual machine to the second host operating system based upon the host operating system's needs, the second host operating system's availability, and/or the virtual machine's relocation eligibility. | 03-24-2011 |
20110072430 | ENHANCED SOLID-STATE DRIVE MANAGEMENT IN HIGH AVAILABILITY AND VIRTUALIZATION CONTEXTS - The present invention is directed to a virtualization system using a solid-state drive for disaster recovery. | 03-24-2011 |
20110072431 | SYSTEM AND METHOD FOR USAGE-BASED APPLICATION LICENSING IN A HYPERVISOR VIRTUAL EXECUTION ENVIRONMENT - A system and method for usage-based application licensing in a hypervisor virtual execution environment. In accordance with an embodiment, the system comprises one or more computers, each with a hypervisor operating thereon and forming a hypervisor environment, together with one or more virtual machine images executing within that hypervisor environment. Each hypervisor aggregates usage statistics by each image instance for physical resources, and reports the statistics to a management framework. The management framework uses the statistics to ensure the system is adequately licensed with usage units. An initial allocation of usage units is recorded in the image and accessed during execution by the hypervisor. As the usage units near expiration, the hypervisor can signal that a particular image is about to become unlicensed. The management framework can then either allocate more usage units, shut down the image, or audit the unlicensed usage for later compensation. | 03-24-2011 |
20110078679 | PROVISIONING VIRTUAL MACHINE PLACEMENT - Virtual machines are provisioned computers in a computer environment based on input/output (I/O) requirements of software tasks. A workload request, requesting the execution of a software task on a virtual machine, is received. The I/O requirements of the software task are matched to an optimal computer, in the computer environment, that has an I/O bandwidth capability that best matches the I/O requirements of the software task. The software task is then routed to a virtual machine, on the optimal computer, for execution of the software task. | 03-31-2011 |
20110078680 | SYSTEM AND METHOD TO RECONFIGURE A VIRTUAL MACHINE IMAGE SUITABLE FOR CLOUD DEPLOYMENT - A system and method that can be used to reconfigure a virtual server image that is suitable for cloud deployment. In accordance with an embodiment, the system and method comprises providing a virtual server image, which can be executed on the one or a plurality of hypervisors, and which provides a virtual machine environment for a software application, wherein the virtual server image contains a bootable part of the virtual machine, a non-bootable part of the virtual machine, a software application code for the software application, and a software application data for the software application; and receiving a virtual server image patch, and using information in the virtual server image patch to reconfigure the contents of the virtual server image from its original content to a reconfigured content, to create a reconfigured virtual server image. In a particular embodiment, the virtual server image can be compatible with any hypervisor for server virtualization, and the application virtual machine can be a Java Virtual Machine (JVM). | 03-31-2011 |
20110078681 | METHOD AND SYSTEM FOR RUNNING VIRTUAL MACHINE IMAGE - A computer-implemented methods and systems for a running virtual machine image in a host machine. One method includes: receiving a virtual machine image provisioning request; sending to a storage server a request to copy a virtual machine image related to the virtual machine image provisioning request; receiving a portion of the virtual machine image; starting a virtual machine in the host machine by running the received portion of the virtual machine image; intercepting a file operation request of a program running in the virtual machine; and acquiring a file related to the file operation request. | 03-31-2011 |
20110078682 | Providing Object-Level Input/Output Requests Between Virtual Machines To Access A Storage Subsystem - A system includes a storage subsystem and a first virtual machine to manage access of the storage subsystem. A second virtual machine is coupled by a virtual machine channel to the first virtual machine. The second virtual machine has software to issue a object-level input/output (I/O) request to the first virtual machine over the virtual machine channel to access data in the storage subsystem. | 03-31-2011 |
20110083130 | DYNAMIC EXECUTION CONTEXT MANAGEMENT IN HETEROGENEOUS COMPUTING ENVIRONMENTS - Method, apparatus, and computer program product embodiments are disclosed for an adaptive computing platform that provides execution-in-place capability for a mobile computing device to enhance the processing power of the device as it moves from one external processor to another. In embodiments of the invention, a mobile wireless device stores one or more execution contexts in a memory of the mobile wireless device resulting from execution by a processor in the mobile wireless device of program code of an application stored in the memory. A transceiver or input/output device in the mobile wireless device detects that a stationary wireless device is within wireless communications range or detects a secure communication link with the stationary wireless device. The transceiver shares the execution context over a wireless communications medium to the stationary wireless device for continued execution-in-place of the application by the stationary wireless device. Later, the transceiver detects an external event that results in voluntary/involuntary closing of the secure communication link with the stationary wireless device. In response, the transceiver receives one or more execution contexts from the stationary wireless device over the wireless communications medium for continued execution-in-place of the application by the processor in the mobile wireless device. The continued execution-in-place of the application includes shared execution sessions between the mobile wireless device and the stationary wireless device. | 04-07-2011 |
20110083131 | Application Profile Based Provisioning Architecture For Virtual Remote Desktop Infrastructure - A system, method, and computer-readable medium are disclosed for automatically allocating resources to a virtual machine. Expected workload profile data and application utilization data corresponding to a software application associated with a virtual machine (VM) is collected by an application profiling agent. Resource utilization data corresponding to the utilization of resources associated with the execution of the software application is collected by a system resource monitor. The expected workload profile data, the application utilization data, and the resource utilization data are then processed to determine a virtual machine workload class, which is then used to determine a corresponding VM policy. Data associated with the VM policy then processed to generate VM resource allocation instructions, which are in turn processed to provision the VM. | 04-07-2011 |
20110083132 | Mechanism for Prioritized Scheduling of Virtual Machines - A mechanism for prioritized scheduling of virtual machines is disclosed. A method includes receiving control of a virtual machine (VM) managed by a virtual machine monitor (VMM) on a host machine and executing a prioritization task involving a hardware emulation of the VM, determining an interactivity statistic for the VM based on a hardware type factor of the hardware emulation of the VM, calculating an interactivity rate for the VM based on the determined interactivity statistic for the VM, sending the calculated interactivity rate to a management daemon if the calculated interactivity rate is different than a previous interactivity rate for the VM, re-calculating priority numbers for each of the VM and all other VMs managed by the VMM based on the calculated interactivity rate, and providing the re-calculated priority numbers to a scheduling algorithm of a scheduler of the host machine for the scheduler to utilize in prioritizing the VM process. | 04-07-2011 |
20110088029 | SERVER IMAGE CAPACITY OPTIMIZATION - Embodiments of the invention provide a solution to optimize/minimize the total capacity of Gold Image within the entire datacenter which utilizes a scale-out type of storage systems. A method of server image provisioning comprises checking whether a gold image exists in a first storage system, the gold image being one of a real gold image or a virtual gold image; if no gold image exists in the first storage system, searching a remainder of the storage systems until a real gold image is found in a second storage system; after finding the real gold image in the second storage system, creating a virtual gold image in the first storage system, the virtual gold image in the first storage system being associated with the real gold image in the second storage system; and creating a snapshot volume in the first storage system based on the virtual gold image. | 04-14-2011 |
20110088030 | Efficient Virtualization of Input/Output Completions for a Virtual Device - Completion interrupts corresponding to I/O requests issued by a virtual machine guest, which runs on a host platform, are virtualized in such a way that I/O completion interrupts to the requesting guest are delivered no faster than it can stably handle them, but, when possible, faster than the nominal speed of a virtual device to which a virtual machine addresses the I/O request. In general, completion events received from the host platform in response to guest I/O requests are examined with respect to time. If enough time has passed that the virtual device would normally have completed the I/O request, then the completion interrupt is delivered to the guest. If the nominal time has not elapsed, however, the invention enqueues and time-stamps the event and delivers it at the earliest of a) the normal maturity time, or b) at a safepoint. | 04-14-2011 |
20110088031 | VIRTUAL COMPUTER DEVICE, VIRTUAL COMPUTER SYSTEM, VIRTUAL COMPUTER PROGRAM, AND CONTROL METHOD - Lack of freedom in the operation of terminals has been a problem. On the other hand, there has been concern that allowing freedom of operation would negatively impact systems. A virtual computer device is provided with a memory unit and a CPU. The CPU executes an access program, which has the CPU input from or output to the memory unit in accordance with a received input/output request, and a VM monitor which implements a virtual computer in the CPU. Under the control of the VM monitor, the CPU executes a VM program which sends a input/output request to the access program, and via the access program, has the CPU input from or output to the storage device. | 04-14-2011 |
20110088032 | METHOD AND APPARATUS FOR CONFIGURING A HYPERVISOR DURING A DOWNTIME STATE - In one embodiment, a computer system comprises a host machine comprising a plurality of compute resources, at least one management processor, a hypervisor, at least one persistent state data store location coupled to the host machine, wherein the persistent state data store location stores configuration data for the hypervisor, and a persistent state module coupled to a management processor coupled to the host machine, wherein the persistent state module maps hypervisor configuration data to a persistent state data store. | 04-14-2011 |
20110093847 | Application Hosting Service for Cloud Environments Using Dynamic Machine Images - Systems, methods, and computer-readable storage media are disclosed for executing applications in a computing cloud. At least one computer in the cloud receives input from a client computer specifying a dynamic machine image (DMI) that includes a first program configured to dynamically deploy applications, and receives input from the client computer specifying one or more applications. The at least one computer deploys the specified DMI on one or more computers in the cloud in response to the input from the client computer specifying the DMI, thereby generating a corresponding virtual machine instance (VMI). The first program deploys at least a portion of each of the one or more requested applications to the VMI in response to the input from the client computer specifying the one or more applications, and the VMI executes at least one of the at least a portion of each of the one or more requested applications. | 04-21-2011 |
20110093848 | SYSTEM FOR IMPROVING A USER-SPACE ENVIRONMENT - A system to improve a user-space environment may include a user-space configured to execute on an operating system-level virtualization. The system may also include a boot module configured to boot up the user-space on the operating system-level virtualization without disrupting the operating system-level virtualization even if the operating system-level virtualization is already running. | 04-21-2011 |
20110093849 | System and Method for Reconfigurable Network Services in Dynamic Virtualization Environments - A method includes configuring a host system to instantiate a virtual machine using server configuration information from a virtual machine monitor (VMM) and configuring a switch network to provide the virtual machine with access to resources on the switch network using network configuration information from the VMM. A VMM includes a workload with a server configuration module that configures a host system to include a virtual machine, and a network configuration module that configures a switch network coupled to the host system, such that the virtual machine obtains access to resources on the switch network. | 04-21-2011 |
20110093850 | DYNAMIC AND AUTOMATIC COLOCATION AND COMBINING OF SERVICE PROVIDERS AND SERVICE CLIENTS IN A GRID OF RESOURCES - A method for providing a servicing operation in a computing environment includes providing a plurality of resources including at least a client resource requiring a periodic servicing operation, a service provider resource capable of providing that servicing operation. At least one of the client resource and the service provider resource are defined by virtual machines. The client resource and the service provider resource are transparently co-located by transferring the resource defined by a virtual machine, and the service provider resource performs the servicing operation. Methods, computer systems, and computer programs available as a download or on a computer-readable medium for installation according to the invention are provided. | 04-21-2011 |
20110099548 | METHOD, APPARATUS AND SYSTEM FOR MAKING A DECISION ABOUT VIRTUAL MACHINE MIGRATION - A method, an apparatus, and a system for making a decision about virtual machine migration includes a source host platform, configured to send a migration request to a Migration Authority (MA), and to migrate the virtual machine to a target host platform according to a received migration decision-making result. The MA is configured to perform security checks on the source host platform and the target host platform, to obtain a first evaluation result of the source host platform and a second evaluation result of the target host platform, acquire a third evaluation result of the virtual machine, and return a corresponding migration decision-making result to the source host platform. The corresponding migration decision-making result indicates whether the virtual machine is permitted to be migrated; and the target host platform of the virtual machine to be migrated, is configured to accept the virtual machine to be migrated. | 04-28-2011 |
20110107328 | VIRTUAL MACHINE DEVICE AND METHODS THEREOF - A data processing device is configured such that, during a loop executed by a guest, the device executes a PAUSE instruction. In response to executing a PAUSE instruction, the data processing device determines a relationship between the current PAUSE instruction and a previously executed PAUSE instruction. For example, the data processing device can determine the amount of time that has elapsed between the PAUSE instructions. Based on the relationship between the current and previous pause instructions, the data processing device can reset the counter to a reset value, or adjust (i.e. increment or decrement) the counter by a defined amount. | 05-05-2011 |
20110107329 | METHOD AND SYSTEM FOR DYNAMIC COMPOSING AND CREATING 3D VIRTUAL DEVICES - The present invention describes a method and system for governing a physical device or application service in a real world through a virtual device in a virtual world. The physical device or application service registers at least one virtual adaptor of the physical device or application service to a virtual device broker. A user creates or composes the virtual device with the at least one virtual adaptor in the virtual device broker. The user commands to the virtual device through a user interface of the virtual device. The at least one virtual adaptor in the virtual device translates the command to a controlling signal to the physical device or application service. The controlling signal operates the physical device or application service according to the command to the virtual device. | 05-05-2011 |
20110107330 | GENERATION OF OPEN VIRTUALIZATION FRAMEWORK PACKAGE FOR SOLUTION INSTALLATIONS AND UPGRADES - Methods, devices, and systems for creating a virtual machine are provided. In particular, a mechanism is provided which allows the automated creation of a virtual machine based on attributes of an existing physical machine. This allows for an efficient and user-friendly way of transitioning a physical machine or set of machines to a virtual machine architecture. | 05-05-2011 |
20110107331 | Endpoint-Hosted Hypervisor Management - A client hypervisor comprises a virtual agent that runs outside of a system OS and that allows device management independent of the OS and user. The virtual agent is tied to a device and not a specific instance of the OS. Such client hypervisors expose new functionality to ease managing systems. Some of these capabilities come from the persistence and privileges outside the OS. In some embodiments of the invention, this new management functionality is exposed to allow device management via new virtualization concepts, such as multiple VMs per system, VM replacement, snapshot/rollback, etc. | 05-05-2011 |
20110107332 | Virtual Machine Migration According To Environmental Data - Embodiments are directed to virtual machine migration according to environmental data in a data center. One embodiment is a method that analyzes environmental data for a data center having plural servers and migrates virtual machines among the servers to increase cooling efficiency in the data center. | 05-05-2011 |
20110113426 | APPARATUSES FOR SWITCHING THE RUNNING OF A VIRTUAL MACHINE BETWEEN MULTIPLE COMPUTER DEVICES BELONGING TO THE SAME COMPUTER PLATFORM AND THE ASSOCIATED SWITCHING METHODS - An apparatus for managing a running virtual machine on a desktop or laptop platform includes a first computer device, a second computer device and a shared memory. The first computer device has a first switching hypervisor on which a virtual machine runs. The second computer device has a second switching hypervisor, wherein the second switching hypervisor is in communication with the first switching hypervisor. The shared memory is coupled to the first and second computer devices, and is accessible by the first and second switching hypervisors, wherein the first switching hypervisor stores status information of the virtual machine into the shared memory. When receiving a switching notification for switching the virtual machine to run on the second computer device, the first switching hypervisor halts its running of the virtual machine, and then the second switching hypervisor obtains the stored status information of the virtual machine from the shared memory and resumes the running of the virtual machine on the second computer device according to the obtained status information of the virtual machine. | 05-12-2011 |
20110113427 | VIRTUALIZATION METHOD FOR PROTECTING COMPUTER PROGRAMS AND DATA FROM HOSTILE CODE - A secure computing environment that prevents malicious code from “illegitimately” interacting with programs and data residing on the computing platform. While the various embodiments restrict certain programs to operate in a virtualized environment, such operation is transparent to the user from the operational point of view. Moreover, any program operating in the virtualized environment is made to believe that it has full access to all of the computing resources. To prevent a user from unknowingly or inadvertently allowing the program to adversely affect the computer, the user is also presented with “feel” that the program is able to perform all operations in the computing environment. | 05-12-2011 |
20110113428 | SCSI Protocol Emulation for Virtual Storage Device Stored on NAS Device - A virtualization technique, in accordance with one embodiment of the present invention, includes emulating the small computing system interface (SCSI) protocol to access a virtual SCSI storage device backed by a file stored on network attached storage (NAS). | 05-12-2011 |
20110119665 | Switching between direct mode and indirect mode for virtual machine I/O requests - A first virtual machine is implemented on one or more computing devices to generate input/output (I/O) requests to a hardware device. A second virtual machine is also implemented on the computing devices. A mechanism is to switch between a direct mode and an indirect mode without switching between a first context and a second context of the hardware device. In the direct mode, the I/O requests generated by the first virtual machine are to be sent to the hardware device without being redirected to the second virtual machine. In the indirect mode, the I/O requests generated by the first virtual machine are to be redirected to the second virtual machine for processing. The second virtual machine is to, after processing the I/O requests redirected to the second virtual machine, send the I/O requests to the hardware device. | 05-19-2011 |
20110119666 | SYSTEM AND APPARATUS FOR SHARING A HOST COMPUTER - A system and apparatus is disclosed for sharing a host computer. The system discloses: a set of USB cables; a set of virtualization devices, a set of USB ports on the host computer, an operating system; and a virtualization module. The apparatus discloses: a hub controller; a graphics display module; and an audio controller. | 05-19-2011 |
20110119667 | MONITORING SPIN LOCKS IN VIRTUAL MACHINES IN A COMPUTING SYSTEM ENVIRONMENT - Methods and apparatus involve monitoring spin locks for guest devices. Fully virtualized guests have multiple virtual processors configured under the scheduling control of a hypervisor on a physical hardware platform. The hypervisor posts On CPU and CR | 05-19-2011 |
20110119668 | MANAGING VIRTUAL HARD DRIVES AS BLOBS - Cloud computing platforms having computer-readable media that perform methods to manage virtual hard drives as blobs are provided. The cloud computing platform includes fabric computers and blob stores. The fabric computers execute virtual machines that implement one or more applications that access virtual hard drives. The data in the virtual hard drives is accessed, via a blob interface, from blobs in the blob stores. The blob stores interface with a driver that translates some application input/output (I/O) requests destined to the virtual hard drives to blob commands when accessing data in the virtual hard drives. | 05-19-2011 |
20110119669 | HYPERVISOR FILE SYSTEM - In general, the present invention provides a computer-implemented hypervisor file system (HVFS) comprising (among other things): a HVFS Manager, a Source File System Implementation, Hypervisor API, and HVFS Driver implemented in conjunction with a set (e.g., one or more) of virtual machines. Running a virtual machine on top of complex software systems like hypervisors allows the virtual machine to be presented with virtual storage devices which operate in the file system abstraction layer, instead of the block device layer traditionally presented by hardware. Doing so allows the file system abstraction to be implemented in the hypervisor, and not in the individual virtual machines, giving the hypervisor much greater access and control over the file system elements used by virtual machines, as well as giving it new capabilities such as sharing file system elements across virtual machines. | 05-19-2011 |
20110119670 | METHOD FOR DYNAMIC LOAD BALANCING ON PARTITIONED SYSTEMS - Methods, systems and apparatuses to dynamically balance execution loads on a partitioned system among processor cores or among partitions. | 05-19-2011 |
20110119671 | On-The-Fly Replacement of Physical Hardware With Emulation - Various aspects are disclosed herein for replacing the hardware backing the memory locations associated with a virtual machine with a backing mechanism. The backing mechanism may have the memory locations appear to contain data convenient to the driver in the virtual machine, typically containing what the hardware would have returned if the hardware had been read from. The data image being returned from the memory locations may travel with the virtual machine even if the virtual machine moves to a different physical machine that does not contain hardware equivalent to the hardware that was recently removed from the virtual machine. | 05-19-2011 |
20110126193 | System and Method for Imaging and Provisioning of Information Handling System - A method includes emulating an information handling system on a virtual machine, allowing users to create and validate an operating environment on the emulation, creating an image based on the validated environment, and loading the image onto an information handling system. The environment includes an operating system and an application from the virtual machine module, and an application from the user. A disk imaging system includes a virtual machine that emulates an information handling system, and a session manager that establishes a session between an interface and the virtual machine. The virtual machine allows users to create an operating environment including an operating system and an application from the virtual machine and an application from the users, and to validate the environment, captures an image of the environment, and loads the image onto an information handling system. | 05-26-2011 |
20110126194 | SHARED SECURITY DEVICE - A mechanism is provided for sharing one or more security appliances. A trusted system component associated with an application of a plurality of applications in a logically partitioned data processing system sets a destination address of a received packet to an address of a security appliance shared by the plurality of applications. The trusted system component sends the received packet to the security appliance. The trusted system component receives a response from the security appliance. The trusted system component determines whether the response indicates permitting the received packet to proceed to the intended recipient. The trusted system component sends the received packet to the recipient in response to the response indicating permitting the received packet to proceed. | 05-26-2011 |
20110126195 | ZERO COPY TRANSMISSION IN VIRTUALIZATION ENVIRONMENT - A system for providing a zero copy transmission in virtualization environment includes a hypervisor that receives a guest operating system (OS) request pertaining to a data packet associated with a guest application, where the data packet resides in a buffer of the guest OS or a buffer of the guest application and has at least a partial header created during the networking stack processing. The hypervisor further sends, to a network device driver, a request to transfer the data packet over a network via a network device, where the request identifies the data packet residing in the buffer of the guest OS or the buffer of the guest application, and the hypervisor refrains from copying the data packet to a hypervisor buffer. | 05-26-2011 |
20110126196 | CORE-BASED VISUALIZATION - Techniques for providing core-based virtualization based upon cores provided by one or more processors of a system. A device such as a network device comprising multiple processor cores provides for core-based virtualization. | 05-26-2011 |
20110126197 | SYSTEM AND METHOD FOR CONTROLLING CLOUD AND VIRTUALIZED DATA CENTERS IN AN INTELLIGENT WORKLOAD MANAGEMENT SYSTEM - The system and method for controlling cloud and virtualized data centers described herein may include a computing environment having a model-driven, service-oriented architecture for creating collaborative threads to manage workloads, and further to creating cloud images having embedded management agents and identity services for validating the cloud images prior to deployment into the cloud and virtualized data centers and controlling, monitoring, and auditing activity associated with the cloud images following deployment into the cloud and virtualized data centers. | 05-26-2011 |
20110126198 | Methods for Interfacing with a Virtualized Computing Service over a Network using a Lightweight Client - Methods for controlling a virtualized computer service remotely through a client includes receiving a connection request from the client for controlling the virtual service available at a virtual machine. The request includes a plurality of connection parameters that describe the connection requirements of the client and is received at a virtual machine that is equipped with an image processor algorithm. The connection parameters are interrogated using the image processor algorithm to identify a specific virtual machine that provides the requested virtualized computer service. A framebuffer data for the identified virtual machine located in virtual memory is accessed and read directly through a hypervisor. The framebuffer data is processed into a plurality of image data packets using the image processor algorithm and transmitted to the client for presenting on a display device associated with the client. The image data packet grammar is tailored to the client and represents an image of the virtual machine display for the specific virtual machine. | 05-26-2011 |
20110131568 | Mechanism for Live Migration of Virtual Machines with Memory Optimizations - A mechanism for live migration of virtual machines (VMs) with memory optimizations is disclosed. A method of embodiments of the invention includes receiving a hash value for each of one or more memory pages of a migrating VM from a source host machine, obtaining a hash value for each of one or more memory pages hosted by a target host machine, and comparing the received hash values with the obtained hash values for matches. The method further comprises for each of the received hash values that do not match any of the obtained hash values, sending a negative acknowledgment to the source host machine for that hash value, and for each of the received hash values that do match any of the obtained hash values, using a memory page associated with the matching hash value at the target host machine for the migrating VM. | 06-02-2011 |
20110131569 | Mechanism for Load Balancing in a Memory-Constrained Virtualization System - A mechanism for load balancing in a memory-constrained virtualization system is disclosed. A method of embodiments of the invention includes determining that one or more collected metrics have triggered a load balancing operation of a host controller machine, wherein the one or more collected metrics include memory-related metrics of one or more host machines managed by the host controller machine and of an overall system of the host controller machine. The method further includes selecting a host machine of the one or more host machines to migrate a virtual machine (VM) from as part of the load balancing operation and selecting a VM of a plurality of VMs hosted by the selected host machine to load balance migrate from the selected host machine, wherein the selecting the host machine and the selecting of the VM includes consideration of the memory-related metrics of the host machine and the VM. | 06-02-2011 |
20110131570 | Mechanism for Target Host Optimization in a Load Balancing Host and Virtual Machine (VM) Selection Algorithm - A mechanism for target host optimization in a load balancing host and virtual machine (VM) selection algorithm is disclosed. A method of embodiments of the invention includes determining that one or more collected metrics have triggered a load balancing operation of a host controller machine, identifying a plurality of source host machines and a plurality of virtual machines (VMs) hosted by the plurality of source host machines as candidates for selection by the load balancing operation for migration, and adjusting a selection score for at least one of a candidate source host machine and a candidate VM based on one or more metrics of the candidate source host and of the candidate VM, wherein the one or more metrics are associated with one or more candidate target host machines to which a candidate VM could be migrated. | 06-02-2011 |
20110131571 | Mechanism for Shared Memory History Optimization in a Host Selection Algorithm for Virtual Machine Placement - A mechanism for shared memory history optimization in a host selection algorithm for VM placement is disclosed. A method of embodiments of the invention includes determining candidate hosts to place a target virtual machine (VM), obtaining memory sharing history of the target VM with one or more VMs hosted by each of the candidate hosts, determining an average memory sharing history amount for each of an optimized number of the candidate hosts based on the obtained memory sharing history of the target VM with one or more VMs hosted by each of the optimized number of candidate hosts, and adjusting a score in a general selection algorithm for a candidate host with the highest average memory sharing history amount. | 06-02-2011 |
20110131572 | CONTROLLING PERMISSIONS IN VIRTUALIZATION ENVIRONMENT USING HIERARCHICAL LABELING - A host controller associates each virtual machine with at least one label from a hierarchy of labels, where each label represents a distinct virtual machine parameter. The host controller also associates a user with one or more roles and with one or more labels from the hierarchy of labels, where each role defines at least one action permitted to be performed with respect to virtual machines. The host controller further facilitates control over user actions pertaining to virtual machines based on the roles and the labels associated with the user. | 06-02-2011 |
20110131573 | Centralized Computer Network Virtualization Environment - A method and system include compatibly interfacing a suitably adapted central switch in a computer network virtualization environment to one or more user-end peripheral device(s) to dispense with a need for a user-end thin client. The method and system also include appropriately routing a data associated with a direct and exclusive communication between a virtual machine on a host server including a number of virtual machines and the one or more user-end peripheral device(s) using the central switch. | 06-02-2011 |
20110131574 | METHOD AND SYSTEM FOR INSTANTLY CREATING AN IT ENVIRONMENT - A method to provide instant duplication of the machine images of an IT environment. The method is implemented by a system that includes a management server to oversee all operations, a storage controller, at least one host target machine of the IT Environment and at least one simple storage disk controlled by the storage controller. The method includes configuring each host target machine to access a logical drive as its hard drive, managing by the storage controller of the information inside each logical drive and storing the information on each of the at least one disks. The method also includes implementing the storage controller of two duplication algorithms. A third algorithm is provided for choosing between the first and the second duplication algorithms for each of the at least one disk elements in the IT environment. | 06-02-2011 |
20110131575 | RECORDING/REPRODUCING APPARATUS - A recording/reproducing apparatus, for producing a virtual disc independent upon a host PC, and for managing virtual discs with an easy operation, comprises a CPU | 06-02-2011 |
20110131576 | VIRTUAL MACHINE MIGRATION MANAGING METHOD, COMPUTER USING THE METHOD, VIRTUALIZER USING THE METHOD AND COMPUTER SYSTEM USING THE METHOD - In a system including a plurality of physical machines to execute virtual machines (VM | 06-02-2011 |
20110131577 | DATA PROCESSOR - This invention is intended to reduce the hypervisor overhead. In the data processor disclosed herein, when a device driver calls for access to a control register to activate a process of a dedicated controlled peripheral device, the access is handled directly without intervention of processing by the hypervisor. When an interrupt is generated from a dedicated controlled peripheral device, a process is directly initiated by the device driver of the operating system governing the peripheral device without intervention of processing by the hypervisor. By implementing this manner of control in the processor, it becomes possible to carry out peripheral device control without intervention of processing by the hypervisor. Thereby, the hypervisor overhead is alleviated. | 06-02-2011 |
20110138381 | SYSTEM AND METHOD FOR REQUESTING RESOURCES INTO A VIRTUAL MACHINE USING CONNECTION INFORMATION - In a heterogeneous environment of virtual machines, an agent can migrate between virtual machines of different virtual machine types. During migration, objects of the serialized stream, e.g. representing a mobile agent, may need to be instantiated on the new virtual machine. Where the resources required to instantiate an object are missing on the virtual machine, the virtual machine may use connection information recorded when the serialized stream was received to connect to the source machine and request the required resources (e.g. the type for the object) from the source machine. A resource request to the source machine may specify a virtual machine type of the requesting virtual machine, thereby enabling the source machine to retrieve the correct instance of the type to return to the virtual machine. | 06-09-2011 |
20110138382 | SYSTEM AND METHOD FOR LOADING RESOURCES INTO A VIRTUAL MACHINE - In a heterogeneous environment of virtual machines, an agent can migrate between virtual machines of different types. During migration, classes of the mobile agent may need to be instantiated on the new virtual machine. To support classes across all virtual machine types, a resource server is provided that can provide virtual machine type specific instances of the classfile. The resource server receives a resource request from a resource loader of a virtual machine. The resource request specifies the resource and the virtual machine type, thereby enabling the resource server to retrieve the correct instance of the classfile to return to the resource loader. | 06-09-2011 |
20110138383 | Space Efficient Virtual Machines - A method and a system of making a virtual machine space efficient are disclosed. The virtual machine includes a virtual disk. The method includes creating a temporary virtual disk and adding the temporary virtual disk to the virtual machine. The temporary virtual disk works in conjunction with the virtual disk. The virtual machine is configured to store temporary files in the temporary virtual disk rather than the virtual disk. | 06-09-2011 |
20110138384 | DYNAMICALLY PROVISIONING VIRTUAL MACHINES - Virtual machines are managed on the basis of data obtained from a management information database of a network switch having a plurality of Ethernet links coupled to compute nodes running a plurality of virtual machines. A management entity, such as a provisioning manager, determines the amount of network bandwidth being utilized through each of the first and second Ethernet links and the amount of network bandwidth being utilized by the Internet Protocol addresses attributable to each of the virtual machines. Accordingly, one of the virtual machines may be migrated from one compute node to another compute node coupled to an Ethernet link having a greater amount of unutilized network bandwidth. Virtual machines may be dynamically migrated in order to provide each virtual machine with a required amount of network bandwidth. | 06-09-2011 |
20110138385 | TRACING VALUES OF METHOD PARAMETERS - Implementations of the present disclosure provide methods including receiving one or more specifications at a virtual machine that is executed using one or more processors, each specification indicating one or more methods and one or more parameters to be traced, the one or more parameters corresponding to the one or more methods, executing an application, the application calling a method of the one or more methods using the virtual machine, determining a subset of the one or more received specifications, the subset corresponding to specifications that are applicable to the method, generating an entry event corresponding to the method based on the subset, the entry event comprising event data including values of the one or more parameters, and reporting the entry event. | 06-09-2011 |
20110138386 | PATIENT MONITORING SYSTEM AND METHOD OF SAFE OPERATION WITH THIRD PARTY PARAMETER APPLICATIONS - The system and method of the present application includes a functional software stack including a type 1 hypervisor running on a single hardware platform. One embodiment of the hardware platform includes a processor and storage media, as well as network and video hardware. The hypervisor includes a network driver and a display driver, and executes directly on the hardware and abstracts the hardware from any guest operating systems (OS). To the OS, the hypervisor appears to be the base hardware platform and the network and display drivers appear to be similar hardware as well. The guest OS includes the resident patient monitoring application as well as third party applications, both of which execute independently on the virtualized hardware of the hypervisor. The network and display drivers manage the monitoring network and display regions in a monitoring display that are available to the guest operating systems. | 06-09-2011 |
20110138387 | Dynamic Utilization of Power-Down Modes in Multi-Core Memory Modules - Various embodiments of the present invention are directed to methods that enable a memory controller to choose a particular operation mode for virtual memory devices of a memory module based on dynamic program behavior. In one embodiment, a method for determining an operation mode for each virtual memory device of a memory module includes selecting a metric ( | 06-09-2011 |
20110145814 | HYPERVISOR DRIVER MANAGEMENT IN VIRTUAL MACHINE ENVIRONMENTS - Techniques for providing access to physical resources in a virtual machine environment are provided. A virtual machine may access physical resources using device drivers that have been divided. After monitoring a performance of device drivers operating in a virtual machine, the device drivers that are underperforming or that experience a high rate of context switches are identified. These device drivers are adapted dynamically into portions that execute in an untrusted domain and in a trusted domain. Running a portion of the device driver in the trusted domain eliminates context switches and improves performance of the virtual machine. | 06-16-2011 |
20110145815 | VIRTUAL HOT PLUGGING OF DEVICES AND VIRTUAL DEVICES - A device list is created for an operating system and/or a virtualized operating system. A bus node is created for each bus. Interface nodes are created as child nodes of the respective bus and a status indicator indicates whether a device connected to the interface is accessible. A device node is created for the device connected to the interface. Virtualized interface nodes are created as child nodes of the device node for each virtual device included in the device and a status indicator indicates whether the respective virtual device is accessible. Then, devices and/or virtual devices may be added and/or removed utilizing the list. After a device and/or virtualized device has been removed for one operating system and/or virtualized operating system, it may then be added to another. In this way, devices and/or virtualized devices can be virtually hot plugged without physically connecting and/or disconnecting devices. | 06-16-2011 |
20110145816 | MANAGING REMOTE DEPLOYMENT OF A VIRTUAL MACHINE IN A NETWORK ENVIRONMENT - A method for managing remote deployment of a virtual machine (VM) in a network environment is provided. A VM image stored in a second storage medium is copied to a first storage medium. The first storage medium is located in close proximity to a first computing system, and the second storage medium is located remotely from the first computing system and in close proximity to a second computing system. As the VM image is being copied, a first VM is deployed on the first computing system from a partial copy of the VM image stored in the first storage medium, and a second VM is deployed on the second computing system from the VM image stored in the second storage medium. Service requests submitted to the first computing system are managed either locally by the first VM or remotely by the second VM based on type of service requested. | 06-16-2011 |
20110145817 | ADAPTIVE VIRTUAL ENVIRONMENT MANAGEMENT SYSTEM - Methods and systems for forming a user environment on a computing device are disclosed. One method includes transmitting, from a computing system to an environment server, profile definition data including a manifest of software, a user identifier, and one or more claims. The method also includes receiving, from the environment server, a plurality of blocks of data for storage in a memory of the computing system, the plurality of blocks of data defining a user environment operable at the computing device, the user environment described by the profile definition data and at least partially formed from one or more of the system software blocks. | 06-16-2011 |
20110145818 | STORAGE VISIBILITY IN VIRTUAL ENVIRONMENTS - Embodiments of the present invention are directed to a method and system for making storage information available to virtual machines in virtual environments. A method includes sending a request, via an electronic system, for a plurality of storage attributes to a virtual storage access module. The virtual storage access module may facilitate access to storage for a virtual machine via a virtual access path. The method further includes receiving the plurality of storage attributes from the virtual storage access module and storing the plurality of storage attributes. In one embodiment, storing is operable to store the plurality of storage attributes such that they are available for use in storage management tasks. | 06-16-2011 |
20110145819 | METHODS AND SYSTEMS FOR CONTROLLING VIRTUAL MACHINE ACCESS TO AN OPTICAL DISK DRIVE - The methods and systems described herein provide for granting a virtual machine exclusive access to an optical disc drive responsive to a determination the virtual machine initiated a transaction with the optical disc drive. A drive manager maps an optical disc drive connected to the computing device to a plurality of virtual machines hosted by a hypervisor executed by the computing device. The drive manager intercepts a transaction stream generated by the optical disc drive and converts the transaction stream to a command stream. The drive manager determines, based on an analysis of the command stream, a first virtual machine of the plurality of virtual machines initiated a transaction with the optical disc drive. Responsive to the determination, the drive manager locks the optical disc drive to grant the first virtual machine exclusive access to the optical disc drive. | 06-16-2011 |
20110145820 | METHODS AND SYSTEMS FOR MANAGING INJECTION OF INPUT DATA INTO A VIRTUALIZATION ENVIRONMENT - The methods and systems described herein provide functionality for managing injection of input events to one virtual machine of a plurality of guest virtual machines, in a computing device executing a hypervisor hosting a trusted virtual machine and a non-trusted virtual machine. An input manager receives a first item of input data from an input device communicating with the computing device. The input manager identifies whether the first item of input data includes a predetermined string. The input manager forwards, responsive to the identification, the first item of input data to one of (i) a first virtual machine of a plurality of guest virtual machines executed by the processor of the computing device and (ii) an application executed by the control virtual machine, wherein at least one virtual machine of the plurality of guest virtual machines is a trusted virtual machine. | 06-16-2011 |
20110145821 | METHODS AND SYSTEMS FOR COMMUNICATING BETWEEN TRUSTED AND NON-TRUSTED VIRTUAL MACHINES - The methods and systems described herein provide for establishing a secure communication channel between a non-trusted virtual machine and a trusted virtual machine, in a computing device executing a hypervisor hosting the trusted virtual machine, the non-trusted virtual machine, and a third virtual machine. The method includes writing, by a non-trusted virtual machine, a first string of data to a region of memory of the computing device. The method also includes detecting, by a trusted virtual machine, the first string of data written to the region of memory. The method further includes establishing a communication channel between the trusted virtual machine and the non-trusted virtual machine by locking, by the trusted virtual machine and responsive to the detection, the region of memory for the duration of the communication to prevent a third virtual machine from accessing the region of memory. | 06-16-2011 |
20110154318 | VIRTUAL STORAGE TARGET OFFLOAD TECHNIQUES - A virtual machine storage service can be use a unique network identifier and a SR-IOV compliant device can be used to transport I/O between a virtual machine and the virtual machine storage service. The virtual machine storage service can be offloaded to a child partition or migrated to another physical machine along with the unique network identifier. | 06-23-2011 |
20110154319 | IPv4/IPv6 Bridge - A virtual machine host may provide IPv4 connections to IPv4 virtual machine guests and map the connections to IPv6 networks. The IPv6 addressed exposed by the virtual machine host may be used in an IPv6 environment to communicate with the virtual machine guests, enabling various IPv6 connected scenarios for the IPv4 virtual machines. The virtual machine host may receive IPv6 communications, and translate those communications to IPv4 to communicate with the virtual machine guests. Similarly, the outbound IPv4 communications may be translated into IPv6 for communications to the IPv6 network. | 06-23-2011 |
20110154320 | AUTOMATED VIRTUAL MACHINE DEPLOYMENT - A client device receives a first request to create a number of virtual devices, where the first request includes specification information corresponding to the number of virtual devices; receives a selection of two or more virtual devices resulting in two or more selected virtual devices; receives a second request to perform a bulk deployment operation on the two or more selected virtual devices; and causes, in response to the second request, the two or more selected virtual devices to be automatically and concurrently deployed, resulting in two or more deployed virtual devices, in accordance with the specification information associated with the two or more selected virtual devices. The client device receives a third request to perform a production operation on a deployed virtual device of the two or more deployed virtual devices; and causes, in response to the third request, the deployed virtual device to be automatically powered up, resulting in a production virtual device. | 06-23-2011 |
20110154321 | VIRTUAL-CPU BASED FREQUENCY AND VOLTAGE SCALING - Frequency and voltage scaling are performed for each virtual processor in a virtual environment. The characteristics of the workload performed by each virtual processor are dynamically profiled and a scaling algorithm determines a scale factor for that virtual processor as a function of the profiled characteristics. The profiled characteristics may include virtualization events associated with the workload being performed. In addition, a particular scaling algorithm and profiling technique may be selected based on which virtual processor is currently running. | 06-23-2011 |
20110154322 | Preserving a Dedicated Temporary Allocation Virtualization Function in a Power Management Environment - A mechanism is provided for temporarily allocating dedicated processors to a shared processor pool. A virtual machine monitor determines whether a temporary allocation associated with an identified dedicated processor is long-term or short-term. Responsive to the temporary allocation being long-term, the virtual machine monitor determines whether an operating frequency of the identified dedicated processor is within a predetermined threshold of an operating frequency of one or more operating systems utilizing the shared processor pool. Responsive to the operating frequency of the identified dedicated processor failing to be within the predetermined threshold, the virtual machine monitor either increases or decreases the frequency of the identified dedicated processor to be within the predetermined threshold of the operating frequency of the one or more operating systems utilizing the shared processor pool and temporarily allocates the identified dedicated processor to the shared processor pool. | 06-23-2011 |
20110154323 | Controlling Depth and Latency of Exit of a Virtual Processor's Idle State in a Power Management Environment - A mechanism is provided in a logically partitioned data processing system for controlling depth and latency of exit of a virtual processor's idle state. A virtualization layer generates a cede latency setting information (CLSI) data. Responsive to booting a logical partition, the virtualization layer communicates the CLSI data to an operating system (OS) of the logical partition. The OS determines, based on the CLSI data, a particular idle state of a virtual processor under a control of the OS. Responsive to the OS calling the virtualization layer, the OS communicates the particular idle state of the virtual processor to the virtualization layer for assigning the particular idle state and wake-up characteristics to the virtual processor. | 06-23-2011 |
20110154324 | Virtual Machine Administration For Data Center Resource Managers - Virtual machine administration for data center resource managers including discovering resources of the datacenter to be managed by a resource manager; determining, in dependence upon attributes of the resources, processing capabilities of the discovered resources; determining, in dependence upon attributes of the resources of the datacenter to be managed, memory capabilities of the discovered resources; determining, in dependence upon attributes of the resources, minimum memory requirements for managing the discovered resources; determining, in dependence upon attributes of the resources of the datacenter to be managed, minimum processing requirements for managing the discovered resources; deploying, in dependence upon the determined processing capabilities and memory capabilities upon one or more of the resources of the datacenter to be managed, a virtual machine having at least the minimum memory requirements and the minimum processing requirements; and deploying the resource manager on the virtual machine. | 06-23-2011 |
20110154325 | VIRTUAL MACHINE SYSTEM, SYSTEM FOR FORCING POLICY, METHOD FOR FORCING POLICY, AND VIRTUAL MACHINE CONTROL PROGRAM - A virtual machine system that builds one or more virtual machines on a real machine has a hypervisor for realizing access to virtualized hardware by a guest OS that is an operating system running on the virtual machines or an application running on the guest OS by means of a physical device that the real machine has. The hypervisor includes a setting item information holding unit that holds setting item information in which a security policy is indicated by the setting value of a setting item; a setting detecting unit that monitors an instruction executed by the guest OS and the output of the physical device to detect the setting value that is set in the setting item of the setting item information holding unit or a setting value that is about to be changed therein; and a setting applying unit that, when the detected setting value and the setting value indicated by the setting item information differ from each other, applies the setting value indicated by the setting item information to the guest OS or application that is the setting target of the setting item. | 06-23-2011 |
20110154326 | SYSTEMS, METHODS AND COMPUTER READABLE MEDIA FOR MANAGING MULTIPLE VIRTUAL MACHINES - A system according to an embodiment of the present invention includes at least two virtual machines running on a hardware platform using either a hosted or a bare metal hypervisor. The virtual machines may communicate with an agent-server resident in the host operating system or in one of the virtual machines to switch control of the hardware component, such as graphics hardware, from one virtual machine to another. | 06-23-2011 |
20110154327 | METHOD AND APPARATUS FOR DATA CENTER AUTOMATION - A method and apparatus is disclosed herein for data center automation. In one embodiment, a virtualized data center architecture comprises: a buffer to receive a plurality of requests from a plurality of applications; a plurality of physical servers, wherein each server of the plurality of servers having one or more server resources allocable to one or more virtual machines on said each server, wherein each virtual machine handles requests for a different one of a plurality of applications, and local resource managers each running on said each server to generate resource allocation decisions to allocate the one or more resources to the one or more virtual machines running on said each server; a router communicably coupled to the plurality of servers to control routing of each of the plurality of requests to an individual server in the plurality of servers; an admission controller to determine whether to admit the plurality of requests into the buffer, and a central resource manager to determine which server of the plurality of servers are active, wherein decisions of the central resource manager depends on backlog information per application at each of the plurality of servers and the router. | 06-23-2011 |
20110154328 | Virtualization Apparatus and Method - An apparatus and method for providing an integrated user interface for a variety of operating systems are provided. When a user request execution of an application included in a second operating system an application of a first operating system is in the foreground of a display, the apparatus switches the application included in the second operating system to the foreground of the display without the need for a separate window. One of a server operating system and client operating systems may be set as a main domain that provides an integrated graphic user interface with respect to applications executed on a plurality of operating systems. The operating systems not set to the main domain may be set as sub-domains each of which provides application information to the main domain in response to a request from the main domain. In response to an application execution request, the server operating system may switch an operating system in which the corresponding application is present to the foreground of the display. | 06-23-2011 |
20110154329 | METHOD AND SYSTEM FOR OPTIMIZING VIRTUAL STORAGE SIZE - A method, system and computer program product for optimizing virtual storage in a virtual computer system including at least one virtual machine, each virtual machine being associated with one or more virtual disks. A target set of virtual machines among the virtual machines comprised in the virtual computer system is determined based on information related to the virtual machines and on shrinking constraints. For each virtual machine in the target set of virtual machines, each virtual disk associated with the virtual machine is identified. Furthermore, for each virtual disk associated with the virtual machine, the following occurs: the virtual disk is analyzed, a virtual disk saving quantity based on the virtual disk analysis is estimated, a resized virtual disk based on the estimated virtual disk saving quantity is generated, and the current virtual disk is replaced with the resized virtual disk. | 06-23-2011 |
20110154330 | METHOD, DATA PROCESSING PROGRAM, AND COMPUTER PROGRAM PRODUCT TO COMPENSATE FOR COUPLING OVERHEAD IN A DISTRIBUTED COMPUTING SYSTEM, AND CORRESPONDING OVERHEAD CALCULATOR FOR A DISTRIBUTED COMPUTING SYSTEM AND CORRESPONDING COMPUTER SYSTEM - An improved method to compensate for coupling overhead in a distributed computing system offering a raw processing capacity, comprising an effective processing capacity ( | 06-23-2011 |
20110154331 | CLONING VIRTUAL MACHINES IN A VIRTUAL COMPUTER ENVIRONMENT - A virtual machine belonging to a virtual computer environment is selectively cloned by retrieving information about applications available in a parent virtual machine to be cloned, and for each application, further retrieving information about a virtual disk associated with the application. Cloning is further performed by identifying a target environment on which the clone is to run, retrieving information about the target environment and calculating a clone of the virtual machine based at least in part, upon retrieved information. Calculating a clone further includes introspecting the virtual disks of the virtual machine. Cloning a virtual machine further comprises generating the clone to the target environment, based on the calculated clone. | 06-23-2011 |
20110154332 | OPERATION MANAGEMENT DEVICE AND OPERATION MANAGEMENT METHOD - When hardware failure occurs in an operation device, a provisional job executing unit of a virtual machine is allowed to temporarily execute a job until setup of a spare device as an alternative job execution device is completed. Start of operation of the virtual machine is performed in a short time by shift from a suspending state to an operating state. Therefore, execution of the job is not stopped by the hardware failure of the operation device and it is possible to improve continuity of the job and improve reliability of a redundant configuration of the job execution device in which the spare device is associated with the operation device. | 06-23-2011 |
20110154333 | MACHINE SYSTEM, INFORMATION PROCESSING APPARATUS, METHOD OF OPERATING VIRTUAL MACHINE, AND PROGRAM - An information processing apparatus includes: a first virtual machine part to operate by being allocated to another information processing apparatus; a monitoring-application information storing part to store an application for monitoring the operation of the virtual machine part; a determining part to determine, in the virtual machine part, whether the application stored in the monitoring-application information storing part is operating by accessing an auxiliary storage device connected to the other information processing apparatus; a status storing part to store application information related to an operating status of the application when the determining part determines that the application is operating; an application exiting part to exit the application when the application information is stored; and a transmitting part to transmit virtual-machine information related to an operating status of the virtual machine part, together with the stored application information, to the other information processing apparatus when the application is exited. | 06-23-2011 |
20110161955 | HYPERVISOR ISOLATION OF PROCESSOR CORES - Techniques for utilizing processor cores include sequestering processor cores for use independently from an operating system. In at least one embodiment of the invention, a method includes executing an operating system on a first subset of cores including one or more cores of a plurality of cores of a computer system. The operating system executes as a guest under control of a virtual machine monitor. The method includes executing work for an application on a second subset of cores including one or more cores of the plurality of cores. The first and second subsets of cores are mutually exclusive and the second subset of cores is not visible to the operating system. In at least one embodiment, the method includes sequestering the second subset of cores from the operating system. | 06-30-2011 |
20110161956 | HEAP DUMP OBJECT IDENTIFICATION IN A HEAP DUMP ANALYSIS TOOL - Embodiments of the present invention provide a method, system and computer program product for heap dump object identification in a heap dump analysis tool. In an embodiment of the invention, a method for heap dump object identification in a heap dump analysis tool can be provided. The method can include instrumenting an object with a uniquely identifiable marker, instantiating the instrumented object in a virtual machine executing in memory by a processor of a host computer and triggering a heap dump in the virtual machine. The method also can include parsing a heap dump file resulting from the triggered heap dump to locate a reference to the uniquely identifiable marker. Finally, the method can include displaying an association between the object and the heap dump file in a heap dump analysis tool executing in the memory by the processor of the host computer. | 06-30-2011 |
20110161957 | Virtualized Eco-Friendly Remote Presentation Session Role - Systems, methods, and computer-readable storage media are disclosed for virtualized eco-friendly remote presentation session roles. In an embodiment, a connection broker monitors the servers of a server farm, and, based upon characteristics of remote presentation sessions served by VMs executing on those servers, directs VMs on those servers to be migrated between servers, changed from sleeping to running or vice versa, or other operations that may improve the performance of the server farm as a whole. | 06-30-2011 |
20110167421 | Dynamic Scaling of Management Infrastructure in Virtual Environments - Methods, systems, and computer programs for performing management tasks in a virtual infrastructure are presented. The method includes detecting an increase, beyond a predetermined threshold, in the number of tasks waiting to be processed by a first virtual center (VC), which executes as a virtual machine (VM) in the virtual infrastructure. Further, the method includes operations for spawning one or more additional VCs executing as VMs in the virtual infrastructure and for distributing the managed objects handled by the first VC among the additional VCs. The tasks waiting to be processed are reallocated among the first VC and the additional VCs, and the reallocated tasks are then processed by the corresponding VC. | 07-07-2011 |
20110167422 | VIRTUALIZATION APPARATUS - A virtualization apparatus includes one or more guest machines each comprised of a guest kernel and a guest user process, a hypervisor module installed in a host kernel and handling a request of the guest machine with regard to the virtualization apparatus, and a virtual processor supporting the guest machine to serve as a host user process and handling an interrupt and a switching of the guest machine, wherein address spaces of the guest kernel and the guest user process are designed to be separated from each other. | 07-07-2011 |
20110173607 | METHOD OF CONFIGURING A VIRTUAL APPLICATION - A method of modifying a virtual application configuration to include modifications made at runtime by a virtual application that is implemented by a first virtualized application file that was created previously using the virtual application configuration. At runtime, the virtual application implemented by the first virtualized application file stores modifications to its configuration in a sandbox memory location. The method includes reading the modifications from the sandbox memory location. Then, the modifications are written to the virtual application configuration. The modified virtual application configuration is then used to create a second virtualized application file that includes the modifications stored in the sandbox memory location. | 07-14-2011 |
20110173608 | Method and Apparatus for Providing Virtual Machine Information to a Network Interface - A hypervisor preferably provides VM identification, priority and LUN/LBA range information to the HBA when a VM is created. Alternatively, the HBA can determine that a LUN/LBA range is new and request VM identity, priority and LUN/LBA range from the hypervisor. The HBA creates a table containing the VM identification, priority and LUN/LBA range. The HBA then detects operations directed to the LUN/LBA range and does a lookup to determine VM identification and priority. VM identification and priority are then mapped into a field in a frame using a unique identifier. The unique identifier can either be placed using reserved bits on the existing Fibre Channel (FC) header or can use bits in an additional header, such as a modified IFR header. | 07-14-2011 |
20110173609 | Method and Apparatus for Determining the Identity of a Virtual Machine - A hypervisor preferably provides VM identification, priority and LUN/LBA range information to the HBA when a VM is created. Alternatively, the HBA can determine that a LUN/LBA range is new and request VM identity, priority and LUN/LBA range from the hypervisor. The HBA creates a table containing the VM identification, priority and LUN/LBA range. The HBA then detects operations directed to the LUN/LBA range and does a lookup to determine VM identification and priority. VM identification and priority are then mapped into a field in a frame using a unique identifier. The unique identifier can either be placed using reserved bits on the existing Fibre Channel (FC) header or can use bits in an additional header, such as a modified IFR header. | 07-14-2011 |
20110173610 | VIRTUAL MACHINE, REMOTE START METHOD, AND VIRTUAL MACHINE SYSTEM - A virtual machine includes an identification information producing unit that produces identification information when a production request for new identification information used for starting a guest operating system (OS) controlled by a host OS is obtained via a network, an identification information storing unit that stores the produced identification information in association with the guest OS that starts by using the identification information, and a guest OS starting unit that, when a start request for starting the guest OS using the identification information is obtained via the network during an off state of the guest OS, compares the obtained identification information with the identification information stored in the identification information storing unit and starts the guest OS for which the start request is made through the host OS based on the comparison result. | 07-14-2011 |
20110173611 | INFORMATION TERMINAL AND SOFTWARE OPERATION-CONTENT INSTRUCTING METHOD - A master selecting unit selects master software from pieces of software that perform a computing process. A screen control unit displays an operation screen for the master software that is selected by the master selecting unit on a screen. A software search unit searches, when an instruction operation is detected on the operation screen for the master software, for any piece of software that displays an operation screen that receives the same instruction operation that the operation screen for the master software receives. An operation-content instructing unit instructs the master software and each piece of software that is found by the software search unit about operation content corresponding to the instruction operation that has been detected on the operation screen for the master software. | 07-14-2011 |
20110173612 | SYSTEM AND METHOD FOR SUPPORTING MULTIPLE USERS - Systems and methods that support splitting a compute resource from its input/output and sharing among one or more users are provided. In one embodiment, a system that supports one or more user devices may include, for example, a compute engine, a first user device and a second user device. The compute engine may be adapted to provide virtualization. The virtualization may provide, for example, a first instance of a particular operating system and a second instance of the particular operating system. The first user device may be coupled to the compute engine and may access the first instance of the particular operating system. The second user device may be coupled to the compute engine and may access the second instance of the particular operating system. In one example, the user devices are input/output devices that do not run applications or operating systems. | 07-14-2011 |
20110173613 | Virtual Machine Control Structure Identification Decoder - Embodiments of apparatuses, methods, and systems for decoding a virtual machine control structure identification are disclosed. In one embodiment, an apparatus includes a virtual machine control structure to decode a virtual machine control structure identification data. The virtual machine control structure identification data is decoded into an address of a virtual machine control structure field and an offset. The offset is to help identify a micro-operation associated with a virtual machine architecture instruction to be executed. | 07-14-2011 |
20110173614 | METHOD AND SYSTEM FOR PROVISIONING A VIRTUAL COMPUTER AND SCHEDULING RESOURCES OF THE PROVISIONED VIRTUAL COMPUTER - A method for virtual computing that includes receiving a virtual computer specification, wherein the virtual computer specification defines a plurality of hardware and software configuration requirements for a virtual computer and a duration requirement for use of the virtual computer, requisitioning a plurality of computing resources based on the plurality of hardware and software configuration requirements, provisioning the plurality of computing resources from a pool of computing resources to obtain a provisioned virtual computer, and scheduling the plurality of computing resources of the provisioned virtual computer for use based on the duration requirement. | 07-14-2011 |
20110173615 | INTERPRETING I/O OPERATION REQUESTS FROM PAGEABLE GUESTS WITHOUT HOST INTERVENTION - Input/output (I/O) operation requests from pageable storage mode guests are interpreted without host intervention. In a pageable mode virtual environment, requests issued by pageable storage mode guests are processed by one or more processors of the environment absent intervention from one or more hosts of the environment. Processing of the requests includes manipulating, by at least one processor on behalf of the guests, buffer state information stored in host storage. The manipulating is performed via instructions initiated by the guests and processed by one or more of the processors. | 07-14-2011 |
20110173616 | DETERMINATION AND MANAGEMENT OF VIRTUAL NETWORKS - A method for determination of topology of virtual networks comprises the following steps implemented by a physical node, physical nodes being connected by a physical network and designed to support virtual nodes of these virtual networks: determination of resource parameters defining a physical configuration of the physical network and a physical configuration specific to the physical node and determination of request parameters defining service requests relating to the virtual networks. The method further comprises, in the case of modification of at least one parameter belonging to the group comprising the resource parameters and the request parameters between a current point in time and a preceding point in time, the broadcast of at least the modified parameters within the physical network, the updating of the resource and request parameters as a function of the modified parameters and the determination of topologies for the virtual networks by means of the updated parameters. | 07-14-2011 |
20110179412 | INFORMATION SHARING SYSTEM, COMPUTER, PROJECT MANAGING SERVER, AND INFORMATION SHARING METHOD USED IN THEM - A project managing unit | 07-21-2011 |
20110179413 | Guest/Hypervisor Interrupt Coalescing for Storage Adapter Virtual Function in Guest Passthrough Mode - A system and method are provided that involve a host computing machine and an SR IOV storage adapter in which the host machine hosts a virtual machine having a guest operating system (guest) coupled for direct passthrough IOV data path and also hosts a virtualization intermediary; a guest operating system (guest) and a virtualization intermediary exchange information concerning IO completions through a shared memory space; the guest writes information to a shared memory space that is indicative of whether an IO completion queue has reached a fill level since the most recently dispatched interrupt at which it is unsafe to coalesce an interrupt; the virtualization intermediary writes information to the shared memory space that is indicative of the interrupt most recently delivered to the guest; the virtualization intermediary reads the information written by the guest to the shared memory space that is indicative of whether an IO completion queue has reached a fill level since the most recently dispatched interrupt at which it is unsafe to coalesce an interrupt; the guest reads the information written to the shared memory space that is indicative of the interrupt most recently delivered to the guest; virtual interrupt coalescing determinations are made based upon the information shred through the shared memory space. | 07-21-2011 |
20110179414 | CONFIGURING VM AND IO STORAGE ADAPTER VF FOR VIRTUAL TARGET ADDRESSING DURING DIRECT DATA ACCESS - A method is provided for use in a system that includes a host computing machine configured to implement a virtualization intermediary and that includes a physical storage adapter, the configures a virtual machine (VM) and a virtual function (VF) to support IO operations to physical storage through a direct IOV path to the VF of the physical storage adapter, the method comprises: creating by the virtualization intermediary mapping information that includes a first mapping between virtual disks and physical regions of physical storage and that includes a second mapping between virtual disks and virtual disk addresses; transmitting the mapping information from the virtualization intermediary over the physical storage adapter from a physical function (PF) of the physical storage adapter to the VF; associating a virtual port with the mapping information within the virtualization intermediary; binding the virtual port to the VF; communicating virtual disk addresses indicated within the second mapping within the transmitted mapping information for the allocated virtual disks to the VM. | 07-21-2011 |
20110179415 | ENABLEMENT AND ACCELERATION OF LIVE AND NEAR-LIVE MIGRATION OF VIRTUAL MACHINES AND THEIR ASSOCIATED STORAGE ACROSS NETWORKS - A virtual machine is migrated between a source cloud and a destination cloud. A temporary storage is mounted to a partition management firmware of the source cloud and to a partition management firmware of the destination cloud. A first storage location migration migrates the virtual machine from the source cloud to the at least one temporary storage. A second storage location migration migrates the virtual machine from the at least one temporary storage to final destination storage of the destination cloud. The temporary storage is then unmounted from the partition management firmware of the source cloud and from the partition management firmware of the destination cloud. | 07-21-2011 |
20110179416 | Virtual Machine Access to Storage Via a Multi-Queue IO Storage Adapter With Optimized Cache Affinity and PCPU Load Balancing - A method is provided for use in a system that includes a host machine that includes multiple physical CPUs (PCPUs) and at least two cache nodes that are shared by different sets of the PCPUs, comprising: creating in a memory device multiple sets of lanes each lane set associated with a respective PCPU set; tracking levels of processing activity of the PCPUs of each PCPU set; using an MSIX vector value to associate lanes with PCPUs; receiving a IO request from any given PCPU from among the multiple PCPUs; and assigning the IO request to a respective lane based at least in part upon the PCPU set associated with the lane and PCPU processing activity levels. | 07-21-2011 |
20110179417 | VIRTUAL MACHINE, VIRTUAL MACHINE MONITOR AND COMPUTER CONTROL METHOD - A virtual machine monitor for a virtual machine. The virtual machine monitor makes the processor in the virtual machine: receive a timer setting from the guest OS in place of the timer, the timer setting being for making the timer generate a timer interrupt after a lapse of a setting period; change, when the guest OS inputs or outputs data from or to the I/O device via the virtual machine monitor, the setting period set in the timer so that a relation between I/O wait time recognized by the guest OS and I/O process time other than the I/O wait time becomes approximate to a relation between the I/O wait time recognized by the virtual machine monitor and the I/O process time; set the timer setting with the changed setting period in the timer; and notify, when receiving the timer interrupt, the guest OS of occurrence of the timer interrupt. | 07-21-2011 |
20110179418 | CLIENT SYSTEM, CLIENT CONTROL METHOD, AND COMPUTER-READABLE RECORDING MEDIUM CONFIGURED TO STORE CLIENT CONTROL PROGRAM USING VIRTUAL MACHINE - A client system using a virtual machine includes: a physical server that includes a CPU, a memory, a bus, and a plurality of bus adapters, manages a plurality of guest OS by a host OS, and operates as a virtual machine. A plurality of input and output units are coupled to the plurality of bus adapters of the physical server respectively. A host OS of the physical server includes a configuration definition table that stores identification numbers of the input and output units in correspondence to guest OSs used by the input and output units. The host OS notifies a guest OS corresponding to an input unit of input instruction information from the input units with reference to the configuration definition table and outputs a processing result of a guest OS to an output unit corresponding to the guest OS. | 07-21-2011 |
20110185355 | Accessing Virtual Disk Content of a Virtual Machine Without Running a Virtual Desktop - Methods, systems, and techniques for facilitating access to content stored remotely, for example, as part of a virtual machine infrastructure or elsewhere in a networked environment, using a uniform mechanism are provided. Example embodiments provide an Enhanced Virtual Desktop Management Server/System with a Content Abstraction Layer which enables users to access their data stored as part of a virtual machine environment, or replicated otherwise on a network, using a generic API. The API can be incorporated into a web browser or other third party interface to provide access to the users' data without needing to remote a bitmap representation of a virtual desktop display. Accordingly, users can access their data, applications, and settings regardless of the type of access device and regardless of whether the corresponding virtual desktop is running in the data center, provisioned in the datacenter but running on a client device, or not running at all. | 07-28-2011 |
20110185356 | System and Method to Enable Power Related Decisions in a Virtualization Environment - A virtualization system includes an information handling system with a power detector that detects a power level of the information handling system and another power detector that detects another power level of the information handling system, a power status module, and a virtual machine manager that starts workloads on the information handling system. The power status module receives the power levels and sends the power levels to the virtual machine manager. The virtual machine manager apportions a first portion of the first power level to the first workload, apportions a second portion of the first power level to the second workload, apportions a first portion of the second power level to the first workload, and apportions a second portion of the second power level to the second workload. | 07-28-2011 |
20110185357 | Method and Apparatus for Management Between Virtualized Machines and Virtualized Storage Systems - To manage physical paths between a server system and a storage system and information about routing between virtual machines and virtual storage systems in an integrated fashion. A computer system of the present invention includes: a computer and a storage system that stores data, in which the computer includes first information for managing the first resource relating to the computer; and the storage system includes second information for managing the second resource provided in the storage system, and in which a relation between the virtual machine and the virtual storage system is defined based on the first information and the second information. | 07-28-2011 |
20110191772 | METHOD AND SYSTEM FOR IMPROVING STARTUP PERFORMANCE AND INTEROPERABILITY OF A VIRTUAL APPLICATION - A data structure including simple and complex objects. Each simple object includes a content type indicator, a size indicator, and one or more simple data types. Each complex object includes a content type indicator, a size indicator, and one or more child objects. The complex objects include a layer object having first and second child objects. The first child object is a collection of complex objects storing information for configuring a virtual filesystem of a virtual application at application startup. The second child object is a collection of complex objects storing information for configuring a virtual registry of the virtual application at application startup. Reading of selected simple and complex objects may be deferred at startup based on the content type indicator. Deferred objects may be read after startup when access to information stored by the deferred object is request by the virtual application. | 08-04-2011 |
20110197188 | EXTENDING FUNCTIONALITY OF LEGACY SERVICES IN COMPUTING SYSTEM ENVIRONMENT - Methods and apparatus involve extending functionality of legacy services. A legacy application has functionality designed for use on an original computing device. In a modern environment, virtual machines (VMs) operate as independent guests on processors and memory by way of scheduling control from a virtualization layer (e.g., hypervisor). At least one VM is provisioned to modify standard entry points of the original legacy application for new accessing of various system functions of the hardware platform. Representative functions include network access, processors, and storage. Policy decision points variously located are further employed to ensure compliance with computing policies. Multiple platforms and computing clouds are contemplated as are VMs in support roles and dedicated software appliances. In this manner, continued use of legacy services in modern situations allows participation in more capable environments and application capabilities heretofore unimagined. Other embodiments contemplate computing systems and computer program products, to name a few. | 08-11-2011 |
20110197189 | SYSTEMS AND METHODS FOR TRIGGERING SCRIPTS BASED UPON AN ALERT WITHIN A VIRTUAL INFRASTRUCTURE - Embodiments of the present disclosure provide methods and systems for triggering scripts based upon an alert within a virtual infrastructure. Other embodiments may be described and claimed. | 08-11-2011 |
20110197190 | VIRTUALIZATION METHOD AND VIRTUAL MACHINE - There is a need for providing the Xeon CPU with a two-level VM that is independent of VMM types and prevents the throughput from degrading when the OS operates the privilege register. A machine is provided with a processor and memory. The machine includes a first virtual machine manager for managing a virtual machine, a second virtual machine for managing an operating system, first management information, and second management information. The processor is provided with a register and a shadowing function. The machine uses a virtualization method. The first virtual machine manager detects a call from the second virtual machine manager. The first virtual machine manager enables the shadowing function when it is determined that an instruction for enabling the shadowing function caused the call. | 08-11-2011 |
20110197191 | CORRECTING PACKET TIMESTAMPS IN VIRTUALIZED ENVIRONMENTS - A network capture element is embodied on a virtual machine, and a utility function is embodied on the actual device, preferably within the virtual machine manager. Both the utility function and the traffic capture element are configured to monitor communication events. To minimize the overhead imposed, the utility function is configured to merely store the time that the event occurred on the actual machine, corresponding to an identifier of the event. The network capture element, on the other hand, performs the time consuming tasks of filtering the communications, selectively storing some or all of the data content of the communications, characterizing the data content, and so on. Instead of storing the virtual time that the communication event occurred at the virtual machine, the network capture element uses the identifier of the communication event to retrieve the actual time that the communication event occurred on the actual machine. | 08-11-2011 |
20110197192 | VIRTUAL COMPUTER SYSTEM AND METHOD OF CONTROLLING THE SAME - In the environment of a virtual server, there is a problem in that the contents in a disk area released correspondingly with the deletion of the virtual server may be read. According to the present invention, in a virtual computer system controlling a disk volume and a virtual server which is connected to the disk volume, to which the area of the disk volume is allocated as a virtual disk and which executes a process using the allocated virtual disk, the virtual computer system erases information stored in the virtual disk allocated to the virtual server to be deleted correspondingly with the deletion of the virtual server. According to another embodiment of the present invention, an administrative serve is provided to select a server system which is low in load from among plural server systems controlling virtual servers as a server system for erasing information stored in the virtual disk allocated to the virtual server to be deleted. | 08-11-2011 |
20110202916 | DISTRIBUTION CONTROL AND TRACKING MECHANISM OF VIRTUAL MACHINE APPLIANCES - A virtual hard disk drive containing a guest operating system is bound to a source computing device through encryption. When the virtual hard drive is moved to a difference computing device, a virtual machine manager instantiates a virtual machine and causing the virtual machine to boot the operating system from the virtual hard disk drive. Because the guest operating system is encrypted by an encryption device on a source computing device, the virtual machine causing the decryption of the guest operating system with a copy of the key. The virtual hard disk is bound to the target computing device through encryption based on a hardware on the target computing device. | 08-18-2011 |
20110202917 | Mechanism for Downloading Hypervisor Updates Using Existing Virtual Machine-to-Host Channels - A mechanism for downloading hypervisor updates using existing virtual machine (VM)-to-host channels is disclosed. A method of embodiments of the invention includes receiving one or more updates to the hypervisor from the VM via a hypervisor update component, verifying that the one or more received updates are valid, and installing the one or more received updates if the one or more received updates are valid. | 08-18-2011 |
20110202918 | VIRTUALIZATION APPARATUS FOR PROVIDING A TRANSACTIONAL INPUT/OUTPUT INTERFACE - A virtualization apparatus and method for providing a transactional input/output interface to prevent input/output performance from deteriorating are provided. The virtualization apparatus includes hardware, a virtual machine monitor to support a plurality of operating systems to use the hardware, and a transaction device driver that executes transactions for hardware I/O operation and to provide an interface for executing a transaction for input/output operations to/from the hardware. | 08-18-2011 |
20110202919 | HYPERVISOR AND SERVER APPARATUS - A hypervisor sets all physical areas in an allocation area, which is allocated to a virtual machine from within a physical memory and is configured from a plurality of physical areas, to a write protect mode. In a case where a physical processor identifies that the write-destination area from the virtual machine is in the write protect mode, the hypervisor manages the write-destination area as an updated area, and cancels the write protect mode of the write-destination area. At a certain point in time, the hypervisor copies data inside the updated physical area from within the allocation area to a storage area (a copy area) that differs from the allocation area. In a case where a prescribed failure is detected in the physical area, the hypervisor restores data from the copy area. | 08-18-2011 |
20110202920 | APPARATUS AND METHOD FOR COMMUNICATION PROCESSING - A method includes: judging whether or not a destination address of a received message is a predetermined address of a first virtual switch being operating; upon judging that the destination address of the received message is the predetermined address of the first virtual switch, converting the destination address of the received message to a broadcast address to virtual machines that are under the first virtual switch and belong to the same subnet as a subnet to which the first virtual switch belongs; and outputting a message after the conversion. | 08-18-2011 |
20110202921 | INFORMATION PROCESSING DEVICE, COMPUTER SYSTEM AND PROGRAM - An information processing device includes: a detecting unit that detects a load of a process performed on the first virtual computer; a load determining unit that determines whether the load detected by the detecting unit is higher than a threshold; and an inhibiting unit that inhibits the process when a second virtual computer to which the first virtual computer is switched operates on the other information processing device and the process that causes the load determined by the load determining unit is performed on the second virtual computer. | 08-18-2011 |
20110209145 | SYSTEM AND METHOD FOR MANAGING A VIRTUAL MACHINE ENVIRONMENT - A system and method for providing an abstraction of the VM environment for management and control of one or more VMs without being tied to a particular hardware platform or construct. | 08-25-2011 |
20110209146 | METHODS AND APPARATUS FOR MOVEMENT OF VIRTUAL RESOURCES WITHIN A DATA CENTER ENVIRONMENT - In one embodiment, an apparatus can include a monitoring module configured to send an indicator representing that performance of a virtual resource satisfies a threshold condition. The apparatus can also include a management module configured to move a set of virtual resources including the virtual resource from a first portion of data center hardware resources to a second portion of data center hardware resources mutually exclusive from the first portion of data center hardware resources in response to the indicator. The management module can be configured to define the set of virtual resources based on an operational relationship between the virtual resource and the remaining virtual resources included in the set of virtual resources. | 08-25-2011 |
20110209147 | METHODS AND APPARATUS RELATED TO MANAGEMENT OF UNIT-BASED VIRTUAL RESOURCES WITHIN A DATA CENTER ENVIRONMENT - In one embodiment, a processor-readable medium can be configured to store code representing instructions to be executed by a processor. The code can include code to receive a request to change a value representing a number of data center units included in a set of data center units assigned to a user. Each of the data center units from the set of data center units can be associated with hardware resources managed based on a set of predefined hardware resource limit values. The code can include code to determine, in response to the request, whether hardware resources of a data center unit mutually exclusive from hardware resources of the set of data center units and managed based on the set of predefined resource limit values is available for assignment to the user when the request to change is an increase request. | 08-25-2011 |
20110209148 | INFORMATION PROCESSING DEVICE, VIRTUAL MACHINE CONNECTION METHOD, PROGRAM, AND RECORDING MEDIUM - An information processing device includes: a first connection method for logically connecting the first communication unit and the virtual machine to each other, an address notification method for giving notice of first address information indicating a transmission destination of data to be transmitted by the external device and causing the external device to set the first address information, when a connection is established on the basis of the first connection method, a first determination method for determining whether there is an abnormal connection between the first communication unit and the virtual machine, a second connection method for logically connecting the second communication unit and the virtual machine to each other when the first determination method determines that there is an abnormal connection, and a switching method for giving notice of second address information. | 08-25-2011 |
20110214122 | Mechanism for Optimizing Initial Placement of Virtual Machines to Reduce Memory Consumption Based on Similar Characteristics - A mechanism for optimizing initial placement of virtual machines (VMs) to reduce memory consumption based on similar characteristics is disclosed. A method of embodiments of the invention includes identifying characteristics of a new virtual machine (VM) to be placed by a host controller on one of a plurality of candidate host machines and, for each candidate host machine of the plurality of candidate host machines, communicating with one or more VM agents each associated with a VM of one or more VMs hosted by the candidate host machine to identify characteristics of the one or more VMs. The method further includes identifying the candidate host with the most VMs having similar characteristics to the new VM. | 09-01-2011 |
20110214123 | Mechanism for Optimal Placement of Virtual Machines to Reduce Memory Consumption Based on Shared Images - A mechanism for optimal placement of VMs based on shared images is disclosed. A method of embodiments of the invention includes identifying a virtual machine (VM) image of a new VM to be placed by a host controller on one of a plurality of candidate host machines, for each candidate host machine of the plurality of candidate host machines, identifying a VM image for each VM hosted by the candidate host machine, and determining the candidate host with the most VMs having identical VM images to the new VM. | 09-01-2011 |
20110214124 | SYSTEMS AND METHODS FOR GENERATING CROSS-CLOUD COMPUTING APPLIANCES - Embodiments relate to systems and methods for generating cross-cloud computing appliances. A vendor, developer, or other user can generate one or more sets of computing appliances, comprising special-purpose pre-configured physical or virtual machines. The vendor can register an image of the one or more appliances to an image generation service, which can store that image along with the subscription model desired for that set of appliances. The image generation service can generate, test, and install a set of cloud-based images of the underlying appliances to a cloud environment including multiple, diverse clouds. The image generation service can adjust the cloud-based images as well as the subscription model to match the services and other resources of individual clouds, and ensure compatibility across multiple clouds. The image generation service can also track usage data for billing or other purposes. | 09-01-2011 |
20110219371 | Managing and Reporting Conflicts Between Multiple Users Accessing A Logically Partitioned Computer System - A management system for managing computer resources assigned by a hypervisor to one or more logical partitions and/or one or more appliance partitions in a multi-user computer system receives login information from a new user logging into the computer system through a service interface (e.g. a hardware management console) or a non-service interface, builds a conflict list, and sends the conflict list for presentation to the new user. The new user is notified of any users that are logged into any part of the computer system that could conflict with the new user's actions. Such users may be logged into the computer system through service and/or non-service interfaces. In one embodiment, the new user can choose to continue logging in irrespective of any conflicts shown. After choosing to continue, the new user is preferably requested to input an end-time. This end-time may be displayed, in turn, to all subsequent users that log into any part of the computer system that could cause conflict. The management system is preferably a component of the hypervisor. | 09-08-2011 |
20110219372 | SYSTEM AND METHOD FOR ASSISTING VIRTUAL MACHINE INSTANTIATION AND MIGRATION - A system and method for instantiation of a virtual machine (VM) in a datacenter includes providing a network appliance in a location for listening to management information traffic. Indices are created for data center images in the network appliance. VM instantiation requests are intercepted in the network appliance. Locations from which blocks for the VM should be fetched based upon network cost are determined. VM image blocks are populated from the locations. | 09-08-2011 |
20110219373 | VIRTUAL MACHINE MANAGEMENT APPARATUS AND VIRTUALIZATION METHOD FOR VIRTUALIZATION-SUPPORTING TERMINAL PLATFORM - A virtual machine management apparatus includes a first Operating System (OS) kernel for supporting a first OS that runs on a virtualization-supporting terminal platform; and a second OS kernel for supporting a second OS that runs on the terminal platform. Further, the virtual machine management apparatus includes a virtual machine configuration manager for, when an exception task is requested based on the first OS or the second OS of the terminal platform, controlling processing of the exception task in compliance with a preset policy. | 09-08-2011 |
20110219374 | INTERRUPT PROCESSING ON VIRTUALIZED PLATFORM - A technique to process interrupts on a virtualized platform. A plurality of virtual machines (VMs) runs on the virtualized platform having at least a processor. The VMs include a power VM. A VM scheduler schedules the VMs for execution on the virtualized platform according a scheduling policy. A virtualized interrupt mask controller controls masking an interrupt from an interrupting source according to the scheduling policy. An interrupt is masked from an interrupting source according to the scheduling policy for at least one of the VMs; and the at least one of the VMs is caused to get the interrupt when the at least one of the VMs is enabled according to the scheduling policy. | 09-08-2011 |
20110225582 | Snapshot management method, snapshot management apparatus, and computer-readable, non-transitory medium - A snapshot management method includes detecting a change in a configuration of a virtual machine; recording, in a storage unit, a snapshot of a file system of the virtual machine when the change in the configuration is detected; and determining a stable operation of the virtual machine by monitoring an operation status of the virtual machine and deleting, from the storage unit, the last recorded snapshot for the virtual machine when the operation status satisfies a predetermined condition. | 09-15-2011 |
20110225583 | VIRTUAL MACHINE MONITOR AND SCHEDULING METHOD THEREOF - A virtual machine monitor and a scheduling method thereof is provided. The virtual machine monitor may operate at least two domains. The virtual machine monitor may include at least one run queue and a plurality of schedulers, at least two of the plurality of schedulers comprising different scheduling characteristics. The virtual machine monitor may insert a task received from the domain into the run queue and may select a scheduler for scheduling the task, which may be inserted into the run queue, from the schedulers, according to an event type. | 09-15-2011 |
20110231839 | VIRTUAL MACHINE HOMOGENIZATION TO ENABLE MIGRATION ACROSS HETEROGENEOUS COMPUTERS - Described is a technology by which a virtual machine may be safely migrated to a computer system with a different platform. Compatibility of the virtual machine may be checked by comparing the virtual machine's capabilities against those of the new platform. To ensure compatibility, when created the virtual machine may have its capabilities limited by the lowest common capabilities of the different platforms available for migration. Computer systems may be grouped into migration pools based upon similar capabilities, and/or a virtual machine may be mapped to certain computer systems based upon capabilities needed by that virtual machine, such as corresponding to needed performance, fault tolerance and/or flexibility. | 09-22-2011 |
20110231840 | TECHNIQUES FOR SHARING VIRTUAL MACHINE (VM) RESOURCES - Techniques for sharing virtual machine (VM) resources are provided. A relative location for a resource within a VM is created; the relative location dynamically resolves to a particular physical location when a principal requests access to the resource at runtime. The principal is located outside an environment associated with the VM. Authentication and access restrictions are dynamically enforced against the requests made by the principal before a connection is permitted between the principal and the resource (the resource located within the environment of the VM). | 09-22-2011 |
20110231841 | METHODS AND APPARATUS FOR PROVIDING HYPERVISOR LEVEL DATA SERVICES FOR SERVER VIRTUALIZATION - A hypervisor virtual server system, including a plurality of virtual servers, a plurality of virtual disks that are read from and written to by the plurality of virtual servers, a physical disk, an I/O backend coupled with the physical disk and in communication with the plurality of virtual disks, which reads from and writes to the physical disk, a tapping driver in communication with the plurality of virtual servers, which intercepts I/O requests made by any one of said plurality of virtual servers to any one of said plurality of virtual disks, and a virtual data services appliance, in communication with the tapping driver, which receives the intercepted I/O write requests from the tapping driver, and that provides data services based thereon. | 09-22-2011 |
20110231842 | VIRTUAL CALCULATING MACHINE SYSTEM, VIRTUAL CALCULATING MACHINE CONTROL APPARATUS AND VIRTUAL CALCULATING MACHINE CONTROL METHOD - A first device that implements one or more first virtual machines and a second device that implements one or more second virtual machines are communicatively connected. The first device notifies the second device being a communication-connection-destination of the first appliance that the first appliance has been connected to the first device. The first device transmits first data received from the second device to the first appliance, and transmits second data received from the first appliance to the second device. The second device notifies the second virtual machine that the first appliance has been connected when the connection is permitted. The second device transmits data received from the first device to the second virtual machine and transmits data received from the second virtual machine to the first device. | 09-22-2011 |
20110231843 | MANAGEMENT APPARATUS, METHOD, AND PRIVILEGED AND CONFIDENTIAL MEDIUM STORING PROGRAM - An apparatus includes: a first requesting unit, when a resource shortage or booting of a virtual machine occurs in a first server apparatus of the server apparatus, requesting each of the other server apparatuses to report a resource usage rate to obtain one or more resource usage rates, a selection unit selecting a second server apparatus having a surplus resource from the other server apparatuses on the basis of the obtained one or more resource usage rates, and a second requesting unit requesting the first server apparatus to perform a live migration to migrate a virtual machine running on the first server apparatus to the second server apparatus. | 09-22-2011 |
20110231844 | DESKTOP DELIVERY FOR A DISTRIBUTED ENTERPRISE - Techniques are provided for desktop delivery in a distributed enterprise. In one embodiment, a system comprises multiple computing devices that are communicatively connected to a data center. The data center comprises a separate virtual disk for each separate computing device. A virtual disk for a computing device comprises an image that includes: an Operating system (OS) for the computing device; one or more applications for a user of the computing device; a machine profile associated with the computing device; and user data and settings that are specific for the user of the computing device. The data center also comprises logic which is stored in a storage medium and which, when executed at the data center, is operable at least to: send the image to the computing device; receive, from the computing device, changes made by the user at the computing device to each of the OS, the one or more applications, and the user data; and update the image for the computing device by applying the changes to each of the OS, the one or more applications, and the user data. | 09-22-2011 |
20110239213 | VIRTUALIZATION INTERMEDIARY/VIRTUAL MACHINE GUEST OPERATING SYSTEM COLLABORATIVE SCSI PATH MANAGEMENT - A method of direct access by a virtual machine (VM) running on a host machine to physical storage via a virtual function (VF) running on an input/output (IO) adapter comprising: providing by a virtualization intermediary running on the host machine an indication of an active path associated with a virtual storage device; obtaining by a guest driver running within a guest operating system of the VM the stored indication of the active path from the shared memory region; dispatching an IO request by the guest driver to the VF that includes an indication of the active path; and sending by the VF an IO request that includes the indicated active path. | 09-29-2011 |
20110239214 | Mechanism for Utilizing a Virtual Machine Cloud for Automated Test System Deployment - A mechanism for utilizing a virtual machine cloud for automated test system deployment is disclosed. A method of embodiments of the invention includes selecting a master image used to initialize one or more virtual machines (VMs), providing a list of repository definitions and test packages to the one or more VMs, and receiving test results from executing the test packages on a computer system of the VM defined by the master image, wherein the computer system includes an operating system and one or more software applications. | 09-29-2011 |
20110239215 | VIRTUAL MACHINE MANAGEMENT APPARATUS - An arrangement control unit of a management server connected to servers on which VMs operate collects information indicating a physical resource capacity used by each VM in the servers; calculates a reserve capacity that is a physical resource capacity expected to be further required for each VM to operate according to the physical resource capacity currently used by each VM; and selects any of VMs as a target VM to be migrated, and select as a destination server, a server having a free physical resource capacity which can accommodate the physical resource capacity used by the target VM to be migrated and the reserve capacity necessary therefor among the servers; and a VM control unit of the management server migrates the target VM to be migrated to the destination server. | 09-29-2011 |
20110239216 | SERVICE PROVIDING SYSTEM, A VIRTUAL MACHINE SERVER, A SERVICE PROVIDING METHOD, AND A PROGRAM THEREOF - A service providing system has a virtual machine server and one or more client terminals. The virtual machine server has one or more virtual machines and a virtual machine system unit which controls the virtual machines. The virtual machine system unit classifies the client terminals into groups. Each of the virtual machines provides a service to each of the terminals in a group in response to receiving a request for the service from one of the client terminals in the group of terminals. | 09-29-2011 |
20110246984 | STORAGE OPTIMIZATION SELECTION WITHIN A VIRTUALIZATION ENVIRONMENT - Storage optimization selection for virtual disks of a virtualization environment, where the storage optimization can be selected based in part on the disk type of a virtual disk included in a virtual machine. The disk type of the virtual disk can be discovered by the virtualization environment which queries a database within the virtualization environment for metadata associated with the virtual disk. The metadata can be created when a virtual desktop infrastructure creates the virtual disk, and a virtual machine template that includes the at least one virtual disk. The virtual disk can be modified to either include or be associated with the metadata that describes a disk type of the virtual disk. Upon executing the virtual machine that includes the modified virtual disk, a storage subsystem of the virtualization environment can obtain the metadata of the virtual disk to discover the disk type of the virtual disk. | 10-06-2011 |
20110246985 | SYSTEMS AND METHODS FOR MINIMIZING CLIENT COMPUTER SYSTEM SET-UP TIME - Systems, methods, apparatuses and computer program products provide alternative desktop computing solutions and generally provide client devices configured to utilize one of a local common base image and a common base image stored remotely, with a user-specific overlay image remotely storing user specific data. The clients can be configured to store the common base image locally. | 10-06-2011 |
20110246986 | Virtual Machine Crash File Generation Techniques - Techniques for creating crash data in a virtualized environment are disclosed. In an embodiment of the present disclosure the techniques can be used when a guest operating system within a virtual machine may not have a sufficient mechanism for generating crash data. | 10-06-2011 |
20110246987 | Energy Efficient Scheduling System and Method - An energy efficient scheduling system and method is disclosed. In some embodiments, a method includes receiving, with a processor, a request for execution of an application. The method also includes receiving, based on the request, a scheduling policy. Additionally, the method includes for each of a plurality of datacenters, determining one or more datacenter attributes associated with each respective datacenter. The method also includes selecting one of the plurality of datacenters to execute the application based, at least in part, on the request, the scheduling policy, and the datacenter attributes and executing the application at the selected datacenter. | 10-06-2011 |
20110246988 | HYPERVISOR FOR STARTING A VIRTUAL MACHINE - A hypervisor obtains an agent with an obtaining device. A file for implementing an agent of the virtual machine is obtained in response to an instruction to start the virtual machine. An agent replacing device stores the obtained file to a specified location in a file system of the virtual machine. A virtual machine starting device starts the virtual machine. | 10-06-2011 |
20110246989 | RDMA (REMOTE DIRECT MEMORY ACCESS) DATA TRANSFER IN A VIRTUAL ENVIRONMENT - In an embodiment, a method is provided. In an embodiment, the method provides determining that a message has been placed in a send buffer; and transferring the message to an application on a second virtual machine by bypassing use of an operating system to process the message by directly placing the message in an application memory space from which the application can retrieve the message. | 10-06-2011 |
20110246990 | VIRTUAL MACHINE ASSIGNING METHOD AND STORAGE MEDIUM THEREOF, INFORMATION PROCESSING DEVICE HAVING VIRTUAL MACHINE ENVIRONMENT - In a system | 10-06-2011 |
20110252418 | HOST CONTROLLER USING REDUCED NETWORK RESOURCES TO MONITOR HOSTS - A host controller sends a request for first status information to a host. The host controller receives first status information from the host along with a unique identifier that is associated with the first status information. After a time period, the host controller sends a new request for second status information to the host, the new request including the unique identifier. When second status information and the first status information are associated with the same unique identifier, the host controller receives a response from the host indicating that the second status information is the same as the first status information. When the second status information and first status information are not associated with the same unique identifier, the host controller receives the second status information and a new unique identifier from the host. | 10-13-2011 |
20110252419 | DYNAMIC NETWORK ADAPTER QUEUE PAIR ALLOCATION - A method of dynamically changing an allocation of queue pair resources to an operating system image running in virtualized environment receives a notification at the operating system image of a change in allocation of queue pair resources to the operating system image. The method queries a physical network adapter through a hypervisor to obtain a new queue pair resource count that will exist in the virtualized system after the change in allocation. The method performs a hashing operation in the operating system image using the new queue pair resource count to distribute transmit traffic among the queue pair resources that will exist in the virtualized system after the change in allocation. | 10-13-2011 |
20110252420 | CLOUD REFERENCE MODEL FRAMEWORK - A computing device implemented method, in one embodiment, can include a cloud transformation module transforming content for a compute platform. Additionally, the method can include a cloud control module receiving the content from the cloud transformation module. The method can also include the cloud control module provisioning a virtual machine appliance to form the compute platform. Furthermore, the method can include a cloud instantiation module executing the provisioning of the cloud control module. In addition, the method can include a cloud appliance module adding middleware to a virtual machine to create the virtual machine appliance. Moreover, the method can include a cloud virtualization module producing a virtualized representation of the compute platform. | 10-13-2011 |
20110252421 | Allocation of Processor Resources in an Emulated Computing Environment - In an emulated computing environment, a method is provided for allocating resources of the host computer system among multiple virtual machines resident on the host computer system. On the basis of the proportional weight of each virtual machine, a proportional share of resources is allocated for each virtual machine. If, for a particular virtual machine, the calculated share is less than a reserved minimum share, the virtual machine is allocated its reserved minimum share as its share of computing device resources. An emulation program modulates the access of each virtual machine to the resources of the host computer system. | 10-13-2011 |
20110258621 | Autonomic Scaling Of Virtual Machines In A Cloud Computing Environment - Autonomic scaling of virtual machines in a cloud computing environment, the cloud computing environment including virtual machines (‘VMs’), the VMs installed upon cloud computers disposed within a data center, also including a cloud operating system and a data center administration server operably coupled to the VMs, including deploying, by the cloud operating system, an instance of a VM, flagging the instance of a VM for autonomic scaling; monitoring, by the cloud operating system, one or more operating characteristics of the instance of the VM; deploying, by the cloud operating system, an additional instance of the VM if a value of an operating characteristic exceeds a first predetermined threshold value, including executing a portion of the data processing workload on the additional instance of the VM; and terminating operation of the additional instance of the VM if a value of an operating characteristic declines below a second predetermined threshold value. | 10-20-2011 |
20110258622 | PLUGGABLE ACTIVATION ENGINE EXTENSIONS VIA VIRTUAL DISKS - A computer-implemented method, system and/or computer program product configure an extended virtual machine. A virtual image is executed to initialize a virtual machine. An activation engine is activated to search for special extensions in the virtual image. The virtual machine scans at least one virtual disk for script to implement the special extensions as virtual machine extensions, such that the virtual machine reads and executes the extensions prior to being available for use. | 10-20-2011 |
20110258623 | REAL TIME FLASH BASED USER INTERFACE FOR MEDIA PLAYBACK DEVICE - Common clients for consumer electronics devices that include natively implemented virtual machines are described. One embodiment of the invention includes audio and video decoders, a natively implemented host process, a natively implemented virtual machine, a common client including natively implemented processes configured to coordinate playback of audio and video using the playback device's audio and video decoders, a VM framework configured to execute within the virtual machine and to enable scripts executing within the virtual machine to initiate playback of audio and video using the natively implemented processes, and a user interface implemented as a script configured to be executed within the virtual machine. | 10-20-2011 |
20110258624 | Virtual machine based secure operating system - Improved computer operating system which is impervious to attack by viruses, hackers and the like and which allow only the operating system to operate on the processor and which creates a virtual machine on which all application software is run. | 10-20-2011 |
20110258625 | GENERATING AND USING CHECKPOINTS IN A VIRTUAL COMPUTER SYSTEM - To generate a checkpoint for a virtual machine (VM), first, while the VM is still running, a copy-on-write (COW) disk file is created pointing to a parent disk file that the VM is using. Next, the VM is stopped, the VM's memory is marked COW, the device state of the VM is saved to memory, the VM is switched to use the COW disk file, and the VM begins running again for substantially the remainder of the checkpoint generation. Next, the device state that was stored in memory and the unmodified VM memory pages are saved to a checkpoint file. Also, a copy may be made of the parent disk file for retention as part of the checkpoint, or the original parent disk file may be retained as part of the checkpoint. If a copy of the parent disk file was made, then the COW disk file may be committed to the original parent disk file. | 10-20-2011 |
20110258626 | NOTIFYING SOFTWARE COMPONENTS USING A SHARED PHYSICAL STORAGE MEDIUM - Techniques for updating a cached version of data in a computer system upon a change of the data as stored in a shared storage system networked to the computer system are described. In accordance with such techniques, a notification from the shared storage system is received at the computer system upon attempting to access the shared storage system. The computer system then determines that the notification occurred due to an unregistering of the computer system's access to the shared storage system by a second computer system that has updated the data stored in the shared storage system. As such, the computer system re-registers its access to the shared storage system and updates the cached version of the data with the data in the shared storage system that was updated by the second computer system. | 10-20-2011 |
20110265082 | VIRTUAL IMAGE OVERLOADING FOR SOLUTION DEPLOYMENT - At least one master overloaded virtual image is deployed, by a virtual image configuration device, to a hypervisor cloud that includes a group of servers, each of the group of servers configured based upon a hypervisor platform to support at least one virtual machine. A service solution is instantiated as a group of virtual machines associated with at least one of the group of servers, based upon at least one master overloaded virtual image, where the service solution includes a platform agnostic pattern independent of the hypervisor platform. Startup is initiated for each of the instantiated group of virtual machines. | 10-27-2011 |
20110265083 | FILE SYSTEM INDEPENDENT CONTENT AWARE CACHE - A server supporting the implementation of virtual machines includes a local memory used for caching, such as a solid state device drive. During I/O intensive processes, such as a boot storm, a “content aware” cache filter component of the hypervisor of the server first accesses a cache structure in a content cache device to determine whether data blocks have been stored in the cache structure prior to requesting the data blocks from a networked disk array via a standard I/O stack of the hypervisor. The content aware cache filter component is implemented in an I/O virtualization layer of the standard I/O stack that sits above a file system layer of the standard I/O stack, such that any file system protocol may be implemented in the file system layer. | 10-27-2011 |
20110265084 | CROSS ARCHITECTURE VIRTUAL MACHINE MIGRATION - A system, method and computer program for transferring a running virtual machine from a first to a second physical machine, where each of the physical machines has a different instruction set architecture. The system may comprise a receiver for receiving a transfer request; responsive to receiving the transfer request, means for pausing the virtual machine; and means for collecting a state of the virtual machine. The system may include means for stopping a first interface component operable on the first physical machine; means for starting a second interface component operable on the second physical machine; and means for transferring the state to the second interface component. The system may further comprise means for starting the virtual machine on the second physical machine in response to the state transfer. | 10-27-2011 |
20110265085 | METHODS AND APPARATUS FOR PROVIDING HYPERVISOR LEVEL DATA SERVICES FOR SERVER VIRTUALIZATION - A cross-host multi-hypervisor system, including a plurality of host sites, each site including at least one hypervisor, each of which includes at least one virtual server, at least one virtual disk that is read from and written to by the at least one virtual server, a tapping driver in communication with the at least one virtual server, which intercepts write requests made by any one of the at least one virtual server to any one of the at least one virtual disk, and a virtual data services appliance, in communication with the tapping driver, which receives the intercepted write requests from the tapping driver, and which provides data services based thereon, and a data services manager for coordinating the virtual data services appliances at the site, and a network for communicatively coupling the plurality of sites, wherein the data services managers coordinate data transfer across the plurality of sites via the network. | 10-27-2011 |
20110271276 | AUTOMATED TUNING IN A VIRTUAL MACHINE COMPUTING ENVIRONMENT - Automatic optimization of application performance parameters is provided. This optimization may be provided by identifying the resource demands of applications using virtual resources, identifying the virtual resources that can be available to the applications, and allocating the virtual resources to the applications to be run on a virtual machine. | 11-03-2011 |
20110271277 | METHOD AND APPARATUS FOR A VIRTUAL SYSTEM ON CHIP - A virtual system on chip (VSoC) is an implementation of a machine that allows for sharing of underlying physical machine resources between different virtual systems. A method or corresponding apparatus of the present invention relates to a device that includes a plurality of virtual systems on chip and a configuring unit. The configuring unit is arranged to configure resources on the device for the plurality of virtual systems on chip as a function of an identification tag assigned to each virtual system on chip. | 11-03-2011 |
20110271278 | LIFE-CYCLE MANAGEMENT OF MULTI-TENANT SAAS APPLICATIONS - Embodiments of the present invention provide a method and system for managing life-cycles of a “software as a service” (SaaS) software application. In one embodiment, a method comprises installing the SaaS software application in a system landscape on a computer server, the system landscape containing at least an application server and a database (DB) server; separating system data from customer data and storing them in different databases; creating a virtual machine (VM) image for the system landscape, the VM image to include the databases containing system data and exclude the databases containing customer data; deploying the SaaS software application to one or more computer servers by loading VMs based on the VM image; when the SaaS software application need to be upgraded to a new version, preparing a new VM image with the new version of the SaaS software application and using the new VM image. | 11-03-2011 |
20110271279 | Secure Virtual Machine - An approach to securely distributing and running virtual machines is described that addresses the inherent insecurity of mobile virtual machines by authenticating a user before establishing a specialized virtualization runtime environment that includes a filesystem driver inserted into the host operating system to provide secure access to a virtual machine by authorized hypervisors only. Further described is the creation of a SecureVM package that includes the various components used to perform the operations of installation, user authentication and establishment of the specialized virtualization runtime environment. | 11-03-2011 |
20110271280 | METHOD AND APPARATUS FOR ACTIVATING A VIRTUAL MACHINE IN A VIRTUAL SOLUTION - Embodiments of the present invention relate to a method for activating virtual machines in a virtual solution, including: for each virtual machine, activating activation items in a first activation item set independently of other virtual machines; and for at least one virtual machine, activating activation items in a second activation item set in coordination with activation of activation items of at least another virtual machine. There is further provided an apparatus for activating virtual machines in a virtual solution, including: a first activator for activating, for each virtual machine, activation items in a first activation item set independently of other virtual machines; and a second activator for activating, for at least one virtual machine, activation items in a second activation item set in coordination with activation of activation items of at least another virtual machine. | 11-03-2011 |
20110276962 | PARALLEL PROCESSING OF DATA - An untrusted application is received at a data center including one or more processing modules and providing a native processing environment. The untrusted application includes a data parallel pipeline. Secured processing environments are used to execute the untrusted application. | 11-10-2011 |
20110276963 | Virtual Data Storage Devices and Applications Over Wide Area Networks - A virtualization system provides virtualized servers at a branch network location. Virtualized servers are implemented using virtual machine applications within the virtualization system. Data storage for the virtualized servers, including storage of the virtual machine files, is consolidated at a data center network location. The virtual disks of the virtualized servers are mapped to physical data storage at the data center and accessed via a WAN using storage block-based protocols. The virtualization system accesses a storage block cache at the branch network location that includes storage blocks prefetched based on knowledge about the virtualized servers. The virtualization system can include a virtual LAN directing network traffic between the WAN, the virtualized servers, and branch location clients. The virtualized servers, virtual LAN, and virtual disk mapping can be configured remotely via a management application. The management application may use templates to create multiple instances of common branch location configurations. | 11-10-2011 |
20110276964 | THIN CLIENT SYSTEM, METHOD OF IMPLEMENTING THIN CLIENT, AND PROGRAM FOR THIN CLIENT - In a net boot type thin client system, bottle necks concentrate on specific steps in a previously downloading method and an on-demand downloading method so as to hinder high-speed operation. Specifically, a previously file acquiring section for acquiring a file before the OS operates, an on-demand file acquiring section for acquiring a file while the OS operates, and a file determining section for determining whether or not a file is used in a high frequency. A high use-frequency file is downloaded before an OS operates and a low use-frequency file is downloaded while the OS operates. | 11-10-2011 |
20110276965 | INFORMATION PROCESSING APPARATUS, INFORMATION PROCESSING SYSTEM, AND ENCRYPTION INFORMATION MANAGEMENT METHOD - According to one embodiment, an encryption information management method for an information processing apparatus that includes a user virtual machine and a management virtual machine running at the same time is described. The method comprises the following operations by the management virtual machine: (i) receiving information for decrypting data, the data being encrypted using a cryptographic key from the user virtual machine; (ii) dividing the information for decrypting data into information blocks; (iii) constructing information items based on the information blocks, each of the information blocks being included in the information items in a multiplexed manner, each of the information items comprises two or more information blocks of the information blocks; (iv) transmitting the information items to management virtual machines in other information processing apparatuses that are communicatively coupled with the management virtual machine for storage; and (v) deleting the decrypting information in the management virtual machine. | 11-10-2011 |
20110283277 | VIRTUALIZATION AND DYNAMIC RESOURCE ALLOCATION AWARE STORAGE LEVEL REORDERING - A system and method for reordering storage levels in a virtualized environment includes identifying a virtual machine (VM) to be transitioned and determining a new storage level order for the VM. The new storage level order reduces a VM live state during a transition, and accounts for hierarchical shared storage memory and criteria imposed by an application to reduce recovery operations after dynamic resource allocation actions. The new storage level order recommendation is propagated to VMs. The new storage level order applied in the VMs. A different storage-level order is recommended after the transition. | 11-17-2011 |
20110283278 | USER INTERFACE FOR MANAGING A DISTRIBUTED VIRTUAL SWITCH - A user interface for managing allocations of network resources in a virtualized computing environment provides a graphical overview of the virtual computing environment that allows the user to visualize the virtual network, including the connections between the virtual network adapters and the uplink port groups that provide physical network resources for the virtual machines included in the virtualized computing environment. The user interface also provides graphical elements that allow the user to modify the virtual network, to migrate virtual machines from individual virtual switches to a distributed virtual switch, and/or to modify the arrangement of physical network adapters that provide network backing for the virtual machines. By providing these features, the user interface according to one or more embodiments of the present invention can allow the user to efficiently and safely manage the virtual network in the virtual computing environment. | 11-17-2011 |
20110283279 | VERIFYING VIRTUAL MACHINES - According to one embodiment, virtual machine attributes are verified. Information ( | 11-17-2011 |
20110283280 | Executing an Instruction for Performing a Configuration Virtual Topology Change - In a logically partitioned host computer system comprising host processors (host CPUs) partitioned into a plurality of guest processors (guest CPUs) of a guest configuration, a perform topology function instruction is executed by a guest processor specifying a topology change of the guest configuration. The topology change preferably changes the polarization of quest CPUs, the polarization related to the amount of a host CPU resource is provided to a guest CPU. | 11-17-2011 |
20110289500 | METHOD, APPARATUS AND COMPUTER PROGRAM FOR PROVISIONING A STORAGE VOLUME TO A VIRTUAL SERVER - A provisioning apparatus operable with a virtualisation layer in a server, for provisioning a storage volume associated with a physical storage device to a virtual server in a storage area network, the provisioning apparatus comprising: a discovery component for transmitting a request to the virtual server to identify one or more storage volumes; a receiving component for receiving a first unique identifier associated with the or each located storage volume from the virtual server; a comparing component for determining from configuration data associated with the or each located storage volume a second unique identifier associated with the each of the located storage volumes; and a comparing component for comparing the or each first unique identifier received from the virtual server with the or each of the second unique identifier associated with the configuration data to find a matching identifier. | 11-24-2011 |
20110289501 | INFORMATION PROCESSING DEVICE, INFORMATION PROCESSING METHOD, AND STORAGE MEDIUM STORING COMPUTER PROGRAM - An information processing device acquires a device state of an external storage device when a use request is received from a virtual machine. When the device state indicates an allocated state, the allocated state is reported to another virtual machine. When the device state indicates an allocation-enabled state, the external storage device is allocated to the virtual machine. When the device state indicates a release-enabled state, the external storage device is allocated to the virtual machine after unreflected data is processed. | 11-24-2011 |
20110289502 | VIRTUAL MACHINE SYSTEM - Arbitration of IO accesses and band control based on the priority of virtual servers is enabled while curbing performance overhead during IO sharing among the virtual servers. A virtual machine system includes a CPU, a memory, a hypervisor that generates plural virtual servers, and an IO controller that controls an IO interface. The IO controller includes: a DMA receiving unit that receives DMA requests from the IO interface; a decoder that decodes received DMA requests and locates the corresponding virtual servers; a DMA monitoring counter that monitors DMA processing status for each of the virtual servers; a threshold register set in advance for each of the virtual servers; and a priority deciding unit that compares the DMA monitoring counter and the value of the threshold register, and based on processing priority obtained as a result of the comparison, decides the priority of processing of the received DMA requests. | 11-24-2011 |
20110296406 | HYPERVISOR SCHEDULER - Techniques for configuring a hypervisor scheduler to make use of cache topology of processors and physical memory distances between NUMA nodes when making scheduling decisions. In the same or other embodiments the hypervisor scheduler can be configured to optimize the scheduling of latency sensitive workloads. In the same or other embodiments a hypervisor can be configured to expose a virtual cache topology to a guest operating system running in a virtual machine. | 12-01-2011 |
20110296407 | EXPOSURE OF VIRTUAL CACHE TOPOLOGY TO A GUEST OPERATING SYSTEM - In a virtual machine environment, a hypervisor is configured to expose a virtual cache topology to a guest operating system, such that the virtual cache topology may be provided by corresponding physical cache topology. The virtual cache topology may be determined by the hypervisor or, in the case of a datacenter environment, may be determined by the datacenter's management system. The virtual cache topology may be calculated from the physical cache topology of the system such that virtual machines may be instantiated with virtual processors and virtual cache that may be mapped to corresponding logical processors and physical cache. | 12-01-2011 |
20110296408 | System and Method for Implementing a Secure Client Hosted Virtualization Service Layer in an Information Handling System - A client hosted virtualization system (CHVS) has a non-volatile memory that includes BIOS code and virtualization manager code that operates to initialize the CHVS and to launch a virtual machine. The CHVS establishes a secure communication channel with a client update system via a network interface, receives an update to the virtualization manager code, authenticates the update and overwrites the non-volatile memory with the update. The CHVS is configurable to execute the BIOS and not virtualization manager, or to execute the virtualization manager and not the BIOS. | 12-01-2011 |
20110296409 | System and Method for Pre-Boot Authentication of a Secure Client Hosted Virtualization in an Information Handling System - A client hosted virtualization system (CHVS) includes a processor to execute code, a component, and a non-volatile memory. The non volatile memory includes BIOS code and code to implement a virtualization manager. The virtualization manager is operable to initialize the CHVS, launch a virtual machine on the CHVS, and assign the component to the virtual machine, such that the virtual machine has control of the component. The CHVS is configurable to execute the BIOS and not the virtualization manager, or to execute the virtualization manager and not the BIOS. | 12-01-2011 |
20110296410 | System and Method for Fuse Enablement of a Secure Client Hosted Virtualization in an Information Handling System - A client hosted virtualization system includes a processor to execute code, a non-volatile memory, and a switch. The memory includes code to implement a basic input/output system (BIOS) for the CHVS, and code to implement a virtualization manager. The virtualization manager is operable to initialize the CHVS, authenticate a virtual machine image associated with a virtual machine, and launch the virtual machine on the CHVS. The switch is operable to select one of a first state and a second state. The processor operates to execute the BIOS code when the switch is in the first state and to execute the virtualization manager code when the switch is in the second state. | 12-01-2011 |
20110296411 | Kernel Bus System to Build Virtual Machine Monitor and the Performance Service Framework and Method Therefor - Some embodiments concern a kernel bus system for building at least one virtual machine monitor. The kernel bus system is based on kernel-based virtual machine. The kernel bus system is configured to run on a host computer. The host computer comprising one or more processors, one or more hardware devices, and memory. The kernel bus system can include: (a) a hyperbus; (b) one or more user space components; (c) one or more guest space components configured to interact with the one or more user space components via the hyperbus; (d) one or more VMM components having one or more frontend devices configure to perform I/O operations with the one or more hardware devices of the host computer using a zero-copy method or non-pass-thru method; (e) one or more para-virtualization components having (1) a virtual interrupt configured to use one or more processor instructions to swap the one or more processors of the host computer between a kernel space and a guest space; and (2) a virtual I/O driver configured to enable synchronous I/O signaling, asynchronous I/O signaling and payload delivery, and pass-through delivery independent an QEMU emulation; and (f) one or more KVM components. The hyperbus, the one or more user space components, the one or more guest space components, the one or more VMM components, the one or more para-virtualization components, and the one or more KVM components are configured to run on the one or more processors of the host computer. Other embodiments are disclosed. | 12-01-2011 |
20110296412 | APPROACHES FOR SECURING AN INTERNET ENDPOINT USING FINE-GRAINED OPERATING SYSTEM VIRTUALIZATION - Approaches for executing untrusted software on a client without compromising the client using micro-virtualization to execute untrusted software in isolated contexts. A template for instantiating a virtual machine on a client is identified in response to receiving a request to execute an application. After the template is identified, without human intervention, a virtual machine is instantiated, using the template, in which the application is to be executed. The template may be selected from a plurality of templates based on the nature of the request, as each template describe characteristics of a virtual machine suitable for a different type of activity. Selected resources such as files are displayed to the virtual machines according to user and organization policies and controls. When the client determines that the application has ceased to execute, the client ceases execution of the virtual machine without human intervention. | 12-01-2011 |
20110296413 | METHODS AND SYSTEMS FOR GENERATING A DYNAMIC WORKFLOW IN A MULTI-TENANT DATABASE ENVIRONMENT - Techniques and systems for modifying a virtual machine functionality. Archive files each including at least a class files are received. The archive files are stored within the virtual machine. The virtual machine runs on a host system and neither the virtual machine nor the host system are restarted in response to the receiving or storing of the archive files. Files of the host system are scanned to find class files that are not included in a class path for the virtual machine. The class files are copied to a class path for the virtual machine. Neither the virtual machine nor the host system are restarted in response to the copying of the class files. The classes corresponding to the class files are registered in the virtual machine in response to the copying of the class files to the class path. Neither the virtual machine nor the host system are restarted in response to the registration of the classes. | 12-01-2011 |
20110302577 | VIRTUAL MACHINE MIGRATION TECHNIQUES - Techniques for migrating a virtual machine from a source computer system to a target computer system are disclosed. In an exemplary embodiment, a group of pages can be mapped writable in response to determining that the guest operating system attempted to change a specific page. In the same, or other embodiments, pages can be compressed prior to sending such that throughput of a communication channel is maximized. In the same, or other embodiments, storage IO jobs can be canceled on a source computer system and reissued by a target computer system. | 12-08-2011 |
20110302578 | SYSTEM AND METHOD FOR VIRTUAL MACHINE MULTIPLEXING FOR RESOURCE PROVISIONING IN COMPUTE CLOUDS - A system and method for provisioning virtual machines in a virtualized environment includes determining a relationship between capacity need and performance for virtual machines (VMs) stored in memory storage media. Aggregate capacity needs for a plurality of VMs consolidated on a same physical server are estimated. VM combinations that yield capacity gains when provisioned jointly are identified such that when peaks and troughs are unaligned in capacity needs for a set of VMs, the set of VMs is provisioned together. | 12-08-2011 |
20110302579 | VIRTUAL COMPUTER AND CPU ALLOCATION METHOD - When the number of logical CPUs increases as the number of LPARs increases, a physical CPU amount which a hypervisor uses will increase and thus the physical CPU resource cannot be effectively utilized. Grouping of LPARs and physical CPUs is performed and a logical CPU to which a physical CPU is allocated is selected from logical CPUs of an LPAR within a group. | 12-08-2011 |
20110302580 | NETWORK SYSTEM, MANAGEMENT SERVER, AND VIRTUAL MACHINE DEPLOYMENT METHOD - A network system includes a plurality of cloud systems. Each of the plurality of cloud systems includes a plurality of servers. Each of the plurality of servers allows virtual machines to run thereon. A first cloud system of the plurality of cloud systems includes a first generator and a deployer. The first generator generates, on the basis of first performance information regarding one virtual machine and a first predetermined coefficient predetermined for the first cloud system, second performance information regarding the one virtual machine. The first performance information is included in a first augmented image of the one virtual machine. The first augmented image is created in a second cloud system other than the first cloud system. The deployer deploys the one virtual machine on one of the plurality of servers included in the first cloud system on the basis of the generated second performance information. | 12-08-2011 |
20110302581 | State Separation for Virtual Applications - Application states may be stored and retrieved using policies that define various contexts in which the application is used. The application states may define configurations or uses of the application, including connections to and interactions with other applications. Applications that are virtualized may have state that is defined within a usage context and multiple states or configurations may be stored and recalled based on the usage context. Policies may define the context and what parameters are to be saved, and may be applied when applications are operated in a virtualized manner. | 12-08-2011 |
20110307885 | Enhanced Software License Management - Systems, methods, computer program product embodiments are provided for enhanced license management. An embodiment includes providing an asset management module for managing software license utilization in a computer processing environment, and allowing configuration of the asset management module to designate software license utilization based upon a containment level associated with virtualization capabilities of one or more computer processing systems within the computer processing environment. | 12-15-2011 |
20110307886 | METHOD AND SYSTEM FOR MIGRATING THE STATE OF A VIRTUAL CLUSTER - The administrative work needed to configure a virtual cluster is a major obstacle that limits its adoption by customers. Moreover, an organization can spend much time and effort testing a cluster configuration and would like to deploy this cluster configuration at many sites. To address these problems, the disclosed embodiments provide a mechanism that facilitates migrating a virtual cluster from a first computer system to a second computer system. During operation, the system captures the virtual cluster configuration state, including application configuration state and executables. The system then instantiates this captured state on a second computer system, thus re-creating the virtual cluster state of the first computer system on the second computer system. | 12-15-2011 |
20110307887 | DYNAMIC VIRTUAL MACHINE SHUTDOWN WITHOUT SERVICE INTERRUPTIONS - Techniques for dynamically managing a sleep state of a virtual machine are provided. The techniques include detecting idleness of a virtual machine, putting the idle virtual machine into a sleep state, implementing a virtual machine surrogate, wherein the virtual machine surrogate listens to network traffic, enabling the virtual machine to handle network traffic that is capable of being handled by the virtual machine, and enabling the virtual machine to queue network traffic and wake-up the virtual machine in the sleep state for network traffic that the virtual machine surrogate is incapable of handling. | 12-15-2011 |
20110307888 | PROTECTION OF VIRTUAL MACHINES EXECUTING ON A HOST DEVICE - Technology is described for protection of virtual machines executing on a host device having host processors and host memory. The system can include a hypervisor configured to enable the virtual machines to execute concurrently on the host device. An emancipated partition can be provided with a communication channel to the hypervisor. A primary partition can be configured to interface with the emancipated partition through the communication channel via the hypervisor. In addition, an emancipated memory space and virtual register state for the emancipated partition can be protected from direct access by the primary partition. | 12-15-2011 |
20110307889 | VIRTUAL MACHINE SYSTEM, NETWORKING DEVICE AND MONITORING METHOD OF VIRTUAL MACHINE SYSTEM - A method of monitoring a virtual computer system including a network device coupled to a client computer, sever computers each coupled to the network device, and a performance degradation source locator apparatus coupled to the network device and the server computer, obtaining, by the performance degradation source locator apparatus, performance information on physical computer resources and performance information on virtual computer resources of extracted virtual machines and server computers and the virtual machine and the server computer in which the latency has increased to locate a part in which the latency has increased. | 12-15-2011 |
20110314465 | METHOD AND SYSTEM FOR WORKLOAD DISTRIBUTING AND PROCESSING ACROSS A NETWORK OF REPLICATED VIRTUAL MACHINES - A method and a system for creating a network of virtual machines in a communication network including a head node virtual machine (VM) for distribution and processing of a workload. The method comprises creating the head node VM hosted at a server computer, the head node VM specifying the workload, the workload being assignable into sub-tasks; identifying a pool of hosts for hosting a plurality of replica VMs, each of the pool of hosts comprising a physical computing device; replicating the head node VM at an each one of the plurality of replica VMs; coordinating amongst the plurality of replica VMs to assign at least one workload sub-task to the each one of the plurality of replica VMs; processing the at least one assigned workload sub-tasks at the respective each one of the plurality of replica VMs to provide at least one sub-task result; and receiving the at least one sub-task result at the head node VM. | 12-22-2011 |
20110314466 | CREATING INSTANCES OF CLOUD COMPUTING ENVIRONMENTS - Embodiments of the present invention provide a flexible approach to construct/create instances of cloud computing environments. Specifically, under embodiments of the present invention, an image of a virtual machine instance is created from the following components: image bits; content such as the liner notes, manual, metadata, etc.; end user information (so that the image can be customized for one or more specific end users); values provided to an installer; and cloud based values (e.g., IP address, hostname, etc.). By constructing the virtual machine using this approach, dynamic aspects of the image are managed external to the static binary representation of the image. This would include artifacts such as documentation, install scripts, binary files, etc. Additionally, user supplied data can be captured dynamically and copied to customize the image at the time of instance creation. Still yet, embodiments of the present invention provides system level configuration to construct the virtual machine. | 12-22-2011 |
20110314467 | Mobile Devices Having Plurality of Virtual Interfaces - Mobile devices, systems and methods are described with a plurality of virtual machines, wherein each virtual machine executes a separate virtual interface, or guest operating system. Each guest operating system corresponds to a different virtual device having its own contact list, applications, and so on. A virtual “device” can be controlled by an employer or service provider, and is a secure space that provides authenticated applications that are walled off from another virtual device. A host operating system provides a hardware abstraction layer. A proxy server on the host operating system receives an incoming signal from a remote device on the external network, and routes the incoming signal to one of the first and second virtual machines based on a call context. A method and computer program product for providing a plurality of virtual interfaces on a mobile device are also disclosed. | 12-22-2011 |
20110314468 | UNIFORM STORAGE DEVICE BY PARTIAL VIRTUALIZATION MACHINE - In a computing system having a processor package, an operating system, and a physical I/O device, a partial virtual machine is provided to instantiate a virtual I/O device corresponding to the physical I/O device, the virtual I/O device having a virtual I/O controller. The partial virtual machine includes an I/O port trap to capture an I/O request to the virtual I/O device by the operating system; an I/O controller emulator coupled to the I/O port trap to handle an I/O control request to the virtual I/O controller, when the I/O request comprises an I/O control request; an I/O device emulator coupled to the I/O port trap component to handle an I/O access request to communicate with the virtual I/O device, when the I/O request comprises an I/O access request; and a device driver coupled to the I/O controller emulator and the I/O device emulator to communicate with the physical I/O device based at least in part on the I/O control request and the I/O access request. The partial virtual machine executes within a secure enclave session within the processor package, improving security of I/O transactions by preventing access to the partial virtual machine by the operating system. | 12-22-2011 |
20110314469 | METHOD FOR NETWORK INTERFACE SHARING AMONG MULTIPLE VIRTUAL MACHINES - In a computing system, sharing a physical NIC device among multiple virtual machines may be implemented by a customer virtual machine by receiving, by a virtual network interface card (NIC) driver of a customer operating system (OS) running in the customer virtual machine on a computing system, a customer OS request packet for transmission by a physical NIC driver over a network coupled to the computing system, and copying at least a portion of the customer OS request packet into a free block of shared memory of the computing system and appending the block to a transmission queue in the shared memory. Next, a service virtual machine may support the implementation by fetching the block from the transmission queue by a virtual NIC driver of a service OS running in a service virtual machine and packaging the portion into a service OS request packet, passing the service OS request packet to a service OS network stack component of the service OS, routing the service OS request packet, by a bridge driver of the service OS network stack component, to the physical NIC driver, and sending the service OS request packet over the network by the physical NIC driver. | 12-22-2011 |
20110314470 | Virtual Machine Infrastructure Capable Of Automatically Resuming Paused Virtual Machines - A computing device executing a virtualization manager detects that a virtual machine running on a host has been paused. While the VM is paused, no processor cycles are assigned to the virtual machine. The computing device determines whether a condition that caused the virtual machine to be paused has been resolved. If the condition has been resolved, the computing device causes the virtual machine to be resumed. Resuming the virtual machine includes assigning processor cycles to the virtual machine and performing a last input/output operation that was attempted prior to the virtual machine being paused. | 12-22-2011 |
20110314471 | Manufacturing Information Handling Systems - Manufacturing a virtual information handling system (IHS) includes creating a virtual IHS including a component identifier. A boot process is then begun for the virtual IHS. A manufacturing operating system is then retrieved for the virtual IHS using the component identifier. The manufacturing operating system is then installed on the virtual IHS. Manufacturing a virtual IHS may also include determining a common operating system and a common software subset among a plurality of to-be-manufactured virtual IHSs. A first virtual IHS may then be created and the common operating system may be configured on the first virtual IHS. At least a portion of a first virtual IHS software may also be configured on the first virtual IHS. The configuration of the first virtual IHS software on the first virtual IHS is stopped when the common software subset is configured on the first virtual IHS. The first virtual IHS may then be cloned to create a cloned virtual IHS. | 12-22-2011 |
20110314472 | Method And Apparatus For Virtualization Of A Multi-Context Hardware Trusted Platform Module (TPM) - In one embodiment, the present invention includes a method for receiving a request for a trusted platform module (TPM) operation from a virtual machine, determining whether the request is for a modification of a TPM version, and associating part of a multi-context hardware TPM with a virtual TPM (vTPM) to enable the modification. Other embodiments are described and claimed. | 12-22-2011 |
20110321039 | VIRTUAL NETWORK PACKET TRANSFER SIZE MANAGER - The method determines whether a particular virtual adapter of a virtual network IHS may perform enhanced packet communication transfer of larger sizes than those of physical network IHSs. The method registers each virtual adapter maximum receive unit (MRU) value in an MRU attribute table within a hypervisor virtual switch. The hypervisor virtual switch provides communication pathways for packet transfers between virtual network IHS virtual adapters and also between physical adapters. The method determines if a sending virtual adapter is sending a packet to a receiving virtual adapter that is local or a remote virtual trunk adapter. The method determines if the receiving virtual adapter exhibits a registered MRU value. If the receiving virtual adapter exhibits a registered MRU value, the method provides for larger sizes of packet transfers for those particular packets. | 12-29-2011 |
20110321040 | Systems and Methods for Sharing the Results of Analyses Among Virtual Machines - A computer-implemented method may include performing a first analysis on at least one file of a master virtual machine and inserting, into the master virtual machine, information that indicates at least one result of the first analysis. The computer-implemented method may also include maintaining at least one additional virtual machine that is based on the master virtual machine. The computer-implemented method may further include directing the additional virtual machine to reference the information in the master virtual machine instead of performing a second analysis on at least one file of the additional virtual machine. Various other systems, methods, and computer-readable media are also disclosed. | 12-29-2011 |
20110321041 | METHOD AND SYSTEM FOR MIGRATING A VIRTUAL MACHINE - An exemplary embodiment of the present invention provides a method of migrating a virtual machine. The method comprises replicating a source storage device corresponding to the virtual machine to a target storage device through replication links. The method also comprises receiving a migration state that indicates initiation of a migration of the virtual machine from a source server to a target server. The method also comprises collecting storage system status information of the source storage device and storing the storage system status information to a persistent store accessible to the target server. The method also comprises sending memory states and central processing unit (CPU) states to the target server through a communications network. The method also comprises performing a failover of the virtual machine from the source server to the target server and from source storage device to target storage device. | 12-29-2011 |
20110321042 | Methods and Systems to Permit Multiple Virtual Machines to Separately Configure and Access a Physical Device - Methods and systems to permit multiple virtual machines (VMs) to separately configure and access a physical resource, substantially outside of a virtual machine monitor (VMM) that hosts the VMs. Each of a plurality of virtual machines (VMs) may access and configure the physical device through corresponding instances of a device driver that exposes controllable functions of the physical device within the VMs. VM-specific configuration parameters and connection information may be maintained for each of the VMs, outside of a VMM, to reconfigure or virtualize the physical device for each of the VMs with the corresponding VM-specific configuration parameters and connection information. Physical device virtualization augmentation features may be implemented within a combination of a physical device controller and a host device driver that executes outside of the VM. | 12-29-2011 |
20110321043 | System, Method and Program Product for Native Interface Optimization of Read-Only Arrays - A computer-implemented process, system and program product for remote array processing receives a requested array in a remote execution container, generates a fingerprint of the requested array, bundles the fingerprint with the requested array and returns a pointer to array elements of the requested array to a caller. The computer-implemented process further receives a call to release the requested array from the caller to form a received release, determines, using the fingerprint, whether the requested array has been modified and responsive to a determination that the array has been modified, sending a call to release the requested array to a virtual machine and responsive to a determination that the array has not been modified, not sending a call to release the requested array to a virtual machine. | 12-29-2011 |
20110321044 | CLIENT HYPERVISOR-BASED TERMINAL DEVICE AND OPERATING METHOD THEREOF - A client hypervisor-based terminal device includes an advertisement module that provides an advertisement, an advertisement time controlling part that monitors a user time of a user module, and a client hypervisor part which operates the advertisement module based on a result of monitoring by the advertisement time controlling part. Accordingly, an advertisement is provided, satisfying both an advertiser and a user of the terminal device. | 12-29-2011 |
20110321045 | SYSTEM AND METHOD OF MANAGING VIRTUAL MACHINE, AND CONTROL APPARATUS, METHOD AND PROGRAM - A virtual machine management system includes an image capturing unit ( | 12-29-2011 |
20120005668 | STORAGE CONTROLLER COUPLED TO STORAGE APPARATUS - A storage controller comprises a cache storage used as a cache of an external storage and a control processor coupled to the cache storage. The control processor comprises an internal access function and an external access function. The internal access function transmits a read command to the cache storage. The cache storage determines whether the read target data complying with the read command is stored in a physical storage device or not. If the result of the determination is negative, the external access function is executed while, if the result of the determination is positive, the external access function is not executed because the cache storage transmits the read target data to the internal access function without issuing a request to the external access function. | 01-05-2012 |
20120005669 | MANAGING PROTECTED AND UNPROTECTED DATA SIMULTANEOUSLY - A first virtual device is created including every logically addressable unit of a data storage server that utilizes data protection. A second virtual device is created including no logically addressable unit of the data storage server that utilizes data protection. Data transfers are disabled within all command phases of the first virtual device. | 01-05-2012 |
20120005670 | DISTRIBUTED CLOUD COMPUTING ARCHITECTURE - Availability of processing resources of client computing systems can be registered by a client virtual machine on each of the plurality of client computing systems with a cloud controller. Thereafter, the cloud controller selectively dispatches tasks to at least one of the client virtual machines based on availability of corresponding processing resources and a level of workload in at least one datacenter coupled to the cloud controller. Related apparatus, systems, techniques and articles are also described. | 01-05-2012 |
20120005671 | Hypervisor-Based Data Transfer - In a computer system having memory, at least one processor and a physical input-output adapter, the at least one processor generates logical partitions, including local logical partitions and an input-output logical partition. The logical partitions have respective local virtual adapters. The input-output logical partition has a shared virtual adapter configured to communicate with the physical input-output adapter, such that a plurality of the local logical partitions share the physical input-output adapter via the shared virtual adapter. The at least one processor provides communication for ones of the local virtual adapters of the local logical partitions directly with the physical input-output adapter by a hypervisor. | 01-05-2012 |
20120005672 | IMAGE MANAGEMENT FOR VIRTUAL MACHINE INSTANCES AND ASSOCIATED VIRTUAL STORAGE - A storage management method and computer program serves as an intermediary between storage subsystems and a virtual machine manager, e.g., a hypervisor. The storage management provides a unified user interface for configuration and unifies handling virtual machine image storage/retrieval, as well as management of virtual disk volumes provided to the operating systems and applications within virtual machine images. The images including the virtualized storage along with the entire state of the virtual machine form snapshots that can be cloned, stored when taking a virtual machine off-line and loaded when the virtual machine is being brought on-line. | 01-05-2012 |
20120005673 | STORAGE MANAGER FOR VIRTUAL MACHINES WITH VIRTUAL STORAGE - A storage manager connects containers of virtual machine (VM) images and virtual storage device data within hosts. The storage management provides a set of northbound application programming interfaces (APIs) that allow configuration of images associated with VM images and virtual storage devices provided to the operating systems and applications within virtual machine images. The northbound APIs, include methods for creating a virtual storage container that contains storage for the VM instance and also at least one virtual storage device to be provided to an operating system executed by the VM, a method for causing an attach of the virtual storage container to the host and a method for causing a deployment of the virtual storage device within the host. A set of southbound APIs are provided by the host, including at least a method that creates virtual storage devices and a method that attaches virtual storage devices to the host. | 01-05-2012 |
20120005674 | METHOD AND SYSTEM FOR PROFILING VIRTUAL APPLICATION RESOURCE UTILIZATION PATTERNS - A method and system for profiling execution of an application implemented by an application file comprising a plurality of data blocks. The application is executed in response to an execute command from a management process. Read messages are sent to the management process each time the application reads one or more of the plurality of data blocks of the application file. The management process records information about the read operations in one or more transcripts which may be used to create a streaming model for the application allowing the application to be downloaded using a conventional download protocol without using a specialized streaming protocol. | 01-05-2012 |
20120005675 | APPLYING PEER-TO-PEER NETWORKING PROTOCOLS TO VIRTUAL MACHINE (VM) IMAGE MANAGEMENT - A method to establish a peer-to-peer network connection between two physical machines for distributing virtual machine images between the machines based on users' end user roles is provided. A first physical machine receives, from a second physical machine, a first virtual machine image corresponding to a first end user role and subsequently the first physical machine receives a second virtual machine image corresponding to a second end-user role from the second physical machine. Another method provides for partitioning a virtual machine image file into a plurality of blocks, each identified with a corresponding hash code where the corresponding hash/block pairs are stored in a distributed hash table. A list of the hash codes is sent to a target machine and the unique hash codes are maintained until the complete virtual machine image file is received by the target machine. | 01-05-2012 |
20120005676 | Computing Machine and Method of Updating Virtual Machine - According to one embodiment, a computing machine for executing a virtual machine, includes a restriction module. The restriction module is configured to restrict, in an update mode in which an operating system and/or an application program in the virtual machine are updated, an I/O device recognized by the virtual machine to a first I/O device which is necessary for executing the operating system and/or the application program. | 01-05-2012 |
20120005677 | Computing Machine and Method for Controlling Computing Machine - According to one embodiment, a computing machine includes an activation module configured to activate, in a first mode, a virtual machine using a first virtual storage includes a basic virtual storage file, an update differential virtual storage file, and a change differential virtual storage file, a allocating module configured to allocate a second virtual storage includes a data storage virtual storage file to the virtual machine, and a changing module configured to change the updating portion data in the update differential virtual storage file to unchanged portion data indicating a unchanged portion of the basic virtual storage file when the virtual machine is terminated, and to delete the updating data from the differential information in the update differential virtual storage file. | 01-05-2012 |
20120005678 | ACCELERATOR AND ITS METHOD FOR REALIZING SUPPORTING VIRTUAL MACHINE MIGRATION - A computer-implemented method, an accelerator hardware unit, and an article of manufacture for supporting virtual machine migration. The method includes: acquiring a task request from a task queue of an accelerator hardware unit; extracting identification information of a related virtual machine from the task request; determining whether the identification information of the related virtual machine matches the identification information of a virtual machine to be migrated, where the identification information of a virtual machine to be migrated is recorded in a virtual machine identification information table; and deleting the task request from the task queue if the extracted identification information matches the identification information of a virtual machine to be migrated. | 01-05-2012 |
20120011499 | TECHNIQUES FOR INTERACTION WITH A GUEST VIRTUAL MACHINE - Techniques for inter-virtual machine communication are disclosed. In one particular exemplary embodiment, the techniques may be realized as a method for interaction with a guest virtual machine comprising monitoring image loads into electronic memory of a guest virtual machine using a secure virtual machine, identifying a memory structure having a specified format, and performing, using the secure virtual machine, at least one of reading one or more portions of the identified memory structure and setting a value in the identified memory structure. | 01-12-2012 |
20120011500 | MANAGING A MEMORY SEGMENT USING A MEMORY VIRTUAL APPLIANCE - In a method for managing a memory segment through use of a memory virtual appliance, data is encapsulated with the memory virtual appliance, in which the memory virtual appliance comprises a virtual machine configured to manage a memory segment in a physical memory. In addition, the memory virtual appliance is implemented using a virtualization wrapper comprising computer readable code enabling the encapsulated data to be shared among a plurality of clients. Moreover, the encapsulated data is stored in the memory segment controlled by the memory virtual appliance. | 01-12-2012 |
20120011501 | TRACKING LOADING AND UNLOADING OF KERNEL EXTENSIONS IN ISOLATED VIRTUAL SPACE - Some embodiments of the inventive subject matter are directed to receiving a request from a first instance of an operating system (e.g., a virtual operating system) to load a kernel extension that extends functionality of a kernel, where the kernel and the first instance of the operating system are managed by a second instance of the operating system (e.g., a global operating system), and where the first and second instances of the operating system share the kernel. Some embodiments are further directed to recording an indicator that indicates that the first of the plurality of the instances of the operating system requested to load the kernel extension, where the indicator is accessible only to the second instance of the operating system. In some embodiments, the method is further directed to loading the kernel extension, where loading the kernel extension extends functionality of the kernel. In some embodiments, the method is further directed to receiving a request by the first instance of the operating system to remove the functionality from the kernel and determining, based on the indicator, that the first instance of the operating system had previously requested to load the kernel extension. In some embodiments, the method is further directed to removing the functionality from the kernel in response to the determining, based on the indicator, that the first instance of the operating system had previously requested to load the kernel extension. | 01-12-2012 |
20120011502 | MANAGING UNIQUE ELECTRONIC IDENTIFICATION FOR KERNEL EXTENSIONS IN ISOLATED VIRTUAL SPACE - Some embodiments of the inventive subject matter are directed to detecting a file that a first instance of an operating system is configured to load where the file is configured to extend functionality of a kernel when loaded. In some embodiments, the first instance of the operating system is managed by a second instance of the operating system (e.g., the first instance of the operating system is a virtual operating system and the second instance is a global operating system). The first and second instances of the operating system share the kernel. Some embodiments are further directed to generating a unique electronic identifier based on properties of the file. The unique electronic identifier uniquely identifies the file. Some embodiments are further directed to providing a copy of the file to the first instance of the operating system, and some time later, receiving a request from the first instances of the operating system to load the copy of the file. Some embodiments are further directed to determining, using the unique electronic identifier, that the copy of the file from the first instance of the operating system is equivalent to the file. Some embodiments are further directed to loading the copy of the file in response to determining, using the unique electronic identifier, that the copy of the file is equivalent to the file. The loading extends the functionality of the kernel. | 01-12-2012 |
20120011503 | MANAGING LOADING AND UNLOADING OF SHARED KERNEL EXTENSIONS IN ISOLATED VIRTUAL SPACE - Some embodiments of the inventive subject matter are directed to receiving a request from a first instance of an operating system (e.g., a virtual operating system) to load a kernel extension that extends functionality of a kernel, where the kernel and the first instance of the operating system are managed by a second instance of the operating system (e.g., a global operating system), and where the first and second instances of the operating system share the kernel. Some embodiments are further directed to loading the kernel extension as a shared kernel extension. Some embodiments are further directed to receiving a request by the first instances of the operating system to unload the kernel extension and determining that a third instance of the operating system (e.g., another virtual operating system also managed by the global operating system) requires the kernel extension to remain loaded. Some embodiments are further directed to notifying the first instance of the operating system that the kernel extension was unloaded while leaving the kernel extension loaded in the kernel. | 01-12-2012 |
20120011504 | ONLINE CLASSIFICATION OF MEMORY PAGES BASED ON ACTIVITY LEVEL - Activity level of memory pages is classified in virtual machine environment, so that processes such as live VM migration and checkpointing, among others, can be carried out more efficiently. The method includes the steps of scanning page table entries of hypervisor-managed page tables continuously over repeating scan periods to determine whether memory pages have been accessed or not, and for each memory page, determining an activity level of the memory page based on whether the memory page has been accessed or not since a prior scan and storing the activity level of the memory page. The activity level of the memory page may be represented by one or more bits of its page table entry and may be classified as having at least two states ranging from hot to cold. | 01-12-2012 |
20120011505 | Computer system and recording medium - A disclosed computer system having a virtual computer management mechanism realizing a virtual computer includes a user virtual computer that communicates with a device via a virtual computer for a device driver under a control of the virtual computer management mechanism, an input receiving unit that receives a signal of an input operation from the device, a reset level determination unit that determines a reset level based on the received signal of the input operation, a reset target specifying unit that specifies a virtual computer to be a target of a reset process based on the determined reset level, and a reset signal transmission unit that transmits a reset signal to perform the reset process on the specified virtual computer. | 01-12-2012 |
20120011506 | INFORMATION PROCESSOR, CONTROL METHOD, AND COMPUTER-READABLE RECORDING MEDIUM RECORDING CONTROL PROGRAM - A computer is provided with a measuring unit that measures elapsed time at a non-access state between one of the guest virtual machines and the devices as a non-access time with respect to each of the guest virtual machines accessing the devices via the device driver virtual machine; a storage unit that stores the operation profile information that matches the non-access time with the operation mode information representing the operation modes of the devices; a decision unit that decides the operation mode with reference to the operation profile information on the basis of the measured access time; and a transition control unit that transits the devices to the operation mode, so that this makes it possible to appropriately carry out power saving management of the devices not depending on a power saving function of the guest OS. | 01-12-2012 |
20120011507 | MAINTENANCE SYSTEM, MAINTENANCE METHOD AND PROGRAM FOR MAINTENANCE - A maintenance system in which a user work made during a maintenance work is not lost is provided. Specifically, a user computing machine includes: a user data determination section determining, in a case that a name of a file received from a software update service is the same as a name of a file included in a VM that a user uses, whether the file included in the VM that the user uses is data created by the user; and a VM merge section copying a file except user data into the VM that the user uses in the received files by calling the user data determination section. | 01-12-2012 |
20120011508 | MULTIPLE TIME GRANULARITY SUPPORT FOR ONLINE CLASSIFICATION OF MEMORY PAGES BASED ON ACTIVITY LEVEL - Activity level of memory pages is classified in virtual machine environment, so that processes such as live VM migration and checkpointing, among others, can be carried out more efficiently. Because each such hypervisor-based service may desire classification of activity levels of memory pages at different frequencies and different time granularities, the hypervisor supports methods to classify activity levels of memory pages for a plurality of time intervals. | 01-12-2012 |
20120011509 | Migrating Session State of a Machine Without Using Memory Images - Embodiments of a system and method for migrating session state from a first machine to a second machine without using memory images are disclosed. State information for components of a first computer is determined and stored in a structured human and machine readable file, and does not include a memory image, a disk image, register status, or register contents for the first computer. A second computer is set to a state corresponding to the state of the first computer based on the stored state information, including parsing one or more sections of the structured file to determine actions for restoring state of one or more of the corresponding components, and for each component of the one or more components, performing the actions, including instantiating or invoking the component on the second computer, and performing multiple steps to configure the component in accordance with the state information. | 01-12-2012 |
20120011510 | METHOD AND SYSTEM FOR CONTROLLING COMPUTER RESOURCES - A computer resource control system for running business applications on virtual computers within a business computer by using computer, virtual computer, network, and storage resources, the system comprises a collection process section for periodically collecting the dynamically changing information about the use of each resource when business applications run on the virtual computers within the business computer; a resource recovery process section for calculating the amounts of resources required for the operations of the business applications periodically in accordance with the dynamically changing information about the use of each resource, which is gathered by the collection process section, allocating the calculated amounts of resources to the resources of each virtual computer, and recovering any extra resources; and a resource allocation process section for allocating the extra resources, which are recovered by the resource recovery process section, to the virtual computers in accordance with the priorities of the business applications. | 01-12-2012 |
20120017209 | OPTIMIZING A FILE SYSTEM INTERFACE IN A VIRTUALIZED COMPUTING ENVIRONMENT - Systems and methods for optimizing read operations from a storage device in a virtualized computing environment are provided. One method comprises monitoring read operations issued by an application running on a virtual machine's (VM) operating system, wherein the VM is hosted by a hypervisor providing access to a storage device in a virtualized computing environment; and causing a virtual file system (VFS) supported by the operating system to call on a first para-virtualized file system (PVFS FE) supported by the operating system to execute a read operation, in response to determining that the read operation is for reading target data from the storage device. | 01-19-2012 |
20120017210 | Real Time Verification of Web Applications - A software verification system including a cloud service, a plurality of test nodes, a client manager, and an expediter is disclosed. The cloud service is a commercially available shared resource service having two or more physical machines, each of which is configured to host a plurality of virtual machines. Each test node is one virtual machine running a platform. The client manager manages a verification session between one of the clients and the verification system. The expediter recognizes the available platforms for use by the test nodes in a cloud service pool of servers and a local pool of servers. When a clients requests the verification session to use a specific platform, the expediter obtains a network address of an available test node running the specified platform and connects the requesting client to the available test node running the requested platform. If the requested platform is not available, a new virtual machine, located in the local pool of servers, having the requested platform is started and connected with the requesting client. | 01-19-2012 |
20120017211 | VIRTUAL COMPUTER SYSTEM, INFORMATION PROCESSING DEVICE, STORAGE MEDIUM STORING COMPUTER PROGRAM, AND CONNECTION CONTROL METHOD - When physical resources are logically divided to cause the divided resources to operate as plural virtual computers, and when any one or more of virtual machines | 01-19-2012 |
20120017212 | NETWORK COMMUNICATIONS OF APPLICATION RUNNING ON DEVICE UTILIZING ROUTING OF DATA PACKETS USING VIRTUAL NETWORK CONNECTION - A method of routing a data packet using a virtual network connection includes steps of detecting a request for a network connection from an application running on a device, spawning a virtual machine that virtualizes network capabilities of the device such that a virtual network connection is provided, selecting a routing protocol from among a plurality of available routing protocols for communicating data using the virtual network connection, determining a first node to which to communicate data from the application using the virtual network connection and the selected routing protocol, said determination being based on network information stored at the device, and communicating data from the application to the first node using the virtual network connection and the selected routing protocol. | 01-19-2012 |
20120023492 | WORKLOAD INTERFERENCE ESTIMATION AND PERFORMANCE OPTIMIZATION - Architecture that facilitates the estimation of interference among workloads (e.g., virtual machines) due to sharing of a shared resource (e.g., a shared cache of a computer processor), and optimization of a desired performance objective such as power or energy use in the presence of the interference. Estimation is to the extent of interference by characterizing the nature of shared resource usage and its effect on performance. Performance optimization is accomplished using metrics based on the above estimation, or alternatively, an explicit measurement of the interference effects. Methods are employed to estimate interference on the workload's performance with changes in availability of the shared resource or with combinations of other workloads sharing the same resource and allocating workloads to one or more physical computers or resources to workloads such that a desired performance objective is optimized. The methods can include allocating workloads on demand. | 01-26-2012 |
20120023493 | JUDGING APPARATUS, METHOD, AND RECORDING MEDIUM OF PROGRAM - A judging apparatus including an obtaining unit to obtain a first value which indicates a capacity of a resource assigned to a virtual machine of a plurality of virtual machines deployed in a first computer, a first rate which indicates a rate of a resource used by the plurality of virtual machines in the first computer, a second value which indicates a capacity of a resource, assigned to processing of an application, in a second computer, and a second rate which indicates a rate of a resource used by the processing of the application, and a judging unit to judge whether or not a sum of the first rate and the second rate that is corrected based on a ratio between the first value and the second value is over a certain value corresponding to the amount of the resource of the first computer. | 01-26-2012 |
20120023494 | VIRTUALIZED MIGRATION CONTROL - A method includes executing a hypervisor ( | 01-26-2012 |
20120023495 | REJUVENATION PROCESSING DEVICE, REJUVENATION PROCESSING SYSTEM, COMPUTER PROGRAM, AND DATA PROCESSING METHOD - In a rejuvenation processing device ( | 01-26-2012 |
20120023496 | TERMINAL DEVICE OF NON-ANDROID PLATFORM FOR EXECUTING ANDROID APPLICATIONS, AND COMPUTER READABLE RECORDING MEDIUM FOR STORING PROGRAM OF EXECUTING ANDROID APPLICATIONS ON NON-ANDROID PLATFORM - Provided is a terminal device having a VM-based layer structure for executing heterogeneous applications. The terminal device includes: an application layer module including a first application and a second application; a platform layer module connected to a terminal processor and configured to operate the first application; and a middleware module configured to connect the platform layer module and the second application. | 01-26-2012 |
20120030669 | Mechanism for Delayed Hardware Upgrades in Virtualization Systems - A mechanism for delayed hardware upgrades in virtualization systems is disclosed. A method of the invention includes maintaining a delayed hardware upgrade mode that is applicable to one or more virtual machines (VMs) managed by a hypervisor of a host machine, receiving a command to change VM hardware presented to a VM of the one or more VMs, placing the command in a delayed command queue associated with the VM per the delayed hardware upgrade mode maintained by the hypervisor, and executing the command in the delayed command queue when it is determined that the VM has been rebooted, wherein the reboot of the VM is not directly associated with the command. | 02-02-2012 |
20120030670 | Providing Application High Availability in Highly-Available Virtual Machine Environments - Various systems and methods can provide high availability of an application executing in a highly-available virtual machine environment. One method involves receiving information indicating a state of an application executing in a virtual machine from a monitoring agent executing in the virtual machine. In response to receiving the information, the method involves determining whether the virtual machine should be restarted. Based upon that determination, the method then determines whether the monitoring agent should send a heartbeat message to a virtualization controller prior to expiration of a timeout interval. The virtualization controller is configured to restart the virtual machine if the virtual machine does not send the heartbeat message prior to expiration of the timeout interval. | 02-02-2012 |
20120030671 | Information transmission device, network system, and information transmission method - An information transmission device stores an identifier representing an information processing device connected to a network in association with an identifier representing a storage device used by the information processing device. When transmission of information is requested from the information processing device, the information transmission device specifies an identifier representing an information processing device. The information transmission device acquires an identifier representing a storage device stored in association with the specified identifier. The information transmission device transmits information on a request for transmission by the information processing device to the storage device represented by the acquired identifier. | 02-02-2012 |
20120030672 | MICROCLOUD PLATFORM DELIVERY SYSTEM - A cloud computing environment with the ability to deploy a web application that has been developed using one of a plurality of application frameworks and is configured to execute within one of a plurality of runtime environments can be delivered as a self-contained virtual machine disk image configured to launch in a virtualization environment. Upon request (or alternatively, in a pre-processing phase), a cloud computing platform provider can compose a virtual machine disk image comprising the cloud computing environment. The virtual machine disk image may be attached to any virtual machine, whether running on a personal computing device such as a laptop or in an infrastructure-as-a-service service provider to provide a cloud computing environment that is automatically configured to receive and deploy a web application. | 02-02-2012 |
20120030673 | THIN CLIENT SYSTEM, MANAGEMENT SERVER, VIRTUAL MACHINE CREATION MANAGEMENT METHOD AND VIRTUAL MACHINE CREATION MANAGEMENT PROGRAM - To prevent creation of a virtual machine in a hypervisor unusable by a license for use in creating a virtual machine. | 02-02-2012 |
20120030674 | Non-Disruptive, Reliable Live Migration of Virtual Machines with Network Data Reception Directly into Virtual Machines' Memory - Techniques are disclosed for the non-disruptive and reliable live migration of a virtual machine (VM) from a source host to a target host, where network data is placed directly into the VM's memory. When a live migration begins, a network interface card (NIC) of the source stops placing newly received packets into the VM's memory. A virtual server driver (VSP) on the source stores the packets being processed and forces a return of the memory where the packets are stored to the NIC. When the VM has been migrated to the target, and the source VSP has transferred the stored packets to the target host, the VM resumes processing the packets, and when the VM sends messages to the target NIC that the memory associated with a processed packet is free, a VSP on the target intercepts that message, blocking the target NIC from receiving it. | 02-02-2012 |
20120030675 | COMPUTER SYSTEM - In scheduling shared processing that has a higher priority than LPAR processing, giving precedence to physical CPUs running idle LPARs prevents prolonged hold-up of LPAR processing. In a system is comprised of multiple physical CPUs, multiple LPARs to which these physical CPUs are allocated and which execute programs under their guest OSes, and a management program managing these LPARs, a few additional units are introduced: a guest OS monitoring unit that can grasp the states of guest OSes running on these LPARs and a dispatcher unit that allocates one of these physical CPUs to shared processing requested by the management program, which has a higher priority than LPAR processing. When a request for shared processing arises, the dispatcher unit interrogates the guest OS monitoring unit and, based on the information obtained from it, gives priority of allocation to the physical CPU processing an idle LPAR. | 02-02-2012 |
20120030676 | Methods And Apparatus For Creating An Isolated Partition For A Virtual Trusted Platform Module - A data processing system isolates a virtual trusted platform module (vTPM) manager in the processing system from other management software in the processing system. In one example process, the processing system launches a virtual machine monitor (VMM) that includes a memory-mapped input/output (MMIO) trap. The processing system also launches a vTPM manager in a first virtual machine (VM). In addition, the processing system launches a second VM to contain virtual machine management programs other than the vTPM manager and the MMIO trap. Other embodiments are described and claimed. | 02-02-2012 |
20120030677 | Method and apparatus for supporting universal serial bus devices in a virtualized environment - A method for managing data between a virtual machine a bus controller includes transmitting an input output (IO) request from the virtual machine to a service virtual machine that owns the bus controller. According to an alternate embodiment, managing data between a virtual machine and a bus controller includes trapping a register access made by the virtual machine. A schedule is generated to be implemented by the bus controller. Status is returned to the virtual machine via a virtual host controller. Other embodiments are described and claimed. | 02-02-2012 |
20120036508 | FRAMEWORK FOR DOMAIN-SPECIFIC RUN-TIME ENVIRONMENT ACCELERATION USING VIRTUALIZATION TECHNOLOGY - In some embodiments, the invention involves a framework for using virtualization technology to efficiently support a domain-specific run-time environment. In at least one embodiment, a framework is utilized to take advantage of virtualization technology (VT) to partition performance critical and non-performance critical tasks of the same domain-specific application. An embodiment of the invention utilizes a general-purpose operating system to execute non-performance critical aspects of a domain, and uses a privileged VT-root mode to execute performance critical aspects of the domain. Another embodiment uses one or more guest VMs to execute the performance critical aspects of the domain-specific run-time environment. Other embodiments are described and claimed. | 02-09-2012 |
20120042311 | OPTIMIZED PLACEMENT PLANNING FOR VIRTUAL MACHINES IN A NETWORK - Methods and systems for generating a placement plan for one or more virtual machines (VMs) in a computing environment are provided. The method comprises providing, to a computing system, input parameters comprising a current placement for the one or more VMs on one or more hosts in a computing network; a target placement that assigns at least one of the one or more VMs to at least another host in said one or more hosts; and a set of constraints with which both the current placement and the target placement comply. | 02-16-2012 |
20120042312 | PROCESS DEMAND PREDICTION FOR DISTRIBUTED POWER AND RESOURCE MANAGEMENT - Methods and systems for allocating resources in a virtual desktop resource environment are provided. A method includes making a prediction on the future demand for processes running on a distributed environment with several hosts. The prediction is based on the process demand history and includes the removal of historic process demand glitches. Further, the prediction is used to perform a cost and benefit analysis for moving a candidate process from one host to another, and the candidate process is moved to a different host when the cost and benefit analysis recommends such move. In another embodiment, the predictions on future process demand are used for distributed power management by putting hosts in stand-by mode when the overall demand decreases or by adding hosts to the distributed environment when the load increases. | 02-16-2012 |
20120047501 | METHODS AND APPARATUS FOR DATA CENTER MANAGEMENT INDEPENDENT OF HYPERVISOR PLATFORM - In some embodiments, an apparatus can include a memory configured to store a first hypervisor message and a second hypervisor message. The apparatus can further include a hypervisor interface module. The hypervisor interface module can retrieve, from the memory, the first hypervisor message and the second hypervisor message. The hypervisor interface module can define a first signal, the first signal being based at least in part on the first hypervisor message and having a first format associated with a first hypervisor platform. The hypervisor interface module can define a second signal, the second signal being based at least in part on the second hypervisor message and having a second format associated with a second hypervisor platform. The hypervisor interface module can send the first signal to a first virtual machine instantiated according to the first hypervisor platform. The hypervisor interface module can send the second signal to a second virtual machine instantiated according to the second hypervisor platform. | 02-23-2012 |
20120047502 | COMPUTER SYSTEM, CONTROL APPARATUS, STORAGE SYSTEM AND COMPUTER DEVICE - The computer system includes a server being configured to manage a first virtual machine to which a first part of a server resource included in the server is allocated and a second virtual machine to which a second part of the server resource is allocated. The computer system also includes a storage apparatus including a storage controller and a plurality of storage devices and being configured to manage a first virtual storage apparatus to which a first storage area on the plurality of storage devices is allocated and a second virtual storage apparatus to which a second storage area on the plurality of storage devices is allocated. The first virtual machine can access to the first virtual storage apparatus but not the second virtual storage apparatus and the second virtual machine can access to the second virtual storage apparatus but not the first virtual storage apparatus. | 02-23-2012 |
20120047503 | METHOD FOR VIRTUALIZING A PERSONAL WORKING ENVIRONMENT AND DEVICE FOR THE SAME - The present invention is directed to a method for virtualizing a personal working environment and a device for the same, relating to the information security field. The method comprises the steps of: installing a Virtual Machine (VM) environment on a device; upon virtualizing the personal working environment, connecting the device to a host, loading the VM environment into the host; and responding to a user operation and saving data of the user operation to the device by the VM environment. The device comprises a communication interface module, a VM environment storage module, and a control module. The present invention provides a means for secure and convenient mobile work. | 02-23-2012 |
20120060153 | Virtual Machine Rapid Provisioning System - A rapid provisioning method for connecting to a cloud, copying blocks of a virtual machine image to the cloud and booting the virtual machine image in the cloud, wherein some aspects of copying the blocks and booting the virtual machine are contemporaneous or overlap. The method may include starting with copying the blocks of the virtual machine and continuing to copy the blocks of the virtual machine image while the virtual machine image boots in the cloud. The method may also include starting with booting the virtual machine in the cloud from the customer computer and continuing to boot while copying the blocks transferred during boot to cloud storage. | 03-08-2012 |
20120060154 | COMPUTER SYSTEM, STORAGE DEVICE AND DATE UPDATING METHOD - Computer system including: a computer with first processor managing first and second virtual computers; and a storage system with second processor providing first and second virtual volumes to the virtual computers, an original data volume shared by the virtual volumes, and a differential data volume storing first and second differential data of the virtual volumes; wherein if receiving a first request to update a first data stored in a first virtual area of the first virtual volume, the first processor requests storage of the first data in a first area of the original data volume, corresponding to the first virtual area, wherein if receiving a second request to update a second data stored in a second virtual area of the first virtual volume, the first processor requests storage of the second data in a second area of the differential data volume, corresponding to the second virtual area. | 03-08-2012 |
20120066676 | DISABLING CIRCUITRY FROM INITIATING MODIFICATION, AT LEAST IN PART, OF STATE-ASSOCIATED INFORMATION - An embodiment may include circuitry to be comprised at least in part in a first host, and being enabled, when the circuitry is in a first mode of operation, to modify, at least in part, first information maintained, at least in part, by the circuitry and associated, at least in part, with at least one operational state. The circuitry may be disabled from initiating modification to the first information when the circuitry is in a second mode. The circuitry may enter the second mode in response to at least one command. When in the second mode, the circuitry may ( | 03-15-2012 |
20120066677 | ON DEMAND VIRTUAL MACHINE IMAGE STREAMING - On demand image streaming (ODS), in one aspect, may perform both copy-on-write and copy-on-read to gradually bring data on remote storage server to a host's local disk. Prefetching may be performed during the time the resources are otherwise idle to bring in data from the remote storage server to the host's local disk. A new image format and the corresponding block device driver for a hypervisor or the like may be also provided. ODS' image format may include a header and a bitmap that indicates whether the data sectors are on local disk or remote storage server, and an image content, for instance, stored in raw format. | 03-15-2012 |
20120066678 | CLUSTER-AWARE VIRTUAL INPUT/OUTPUT SERVER - In a data processing system having a plurality of virtualized operating system (OS) partitions, a first cluster-aware virtual input/output server (VIOS) is provided within an OS partition by execution of a cluster-aware (CA) OS on a virtual processor resource of the first VIOS. The CA OS establishes the VIOS as a cluster-aware VIOS by registering the first VIOS with a VIOS cluster comprising a second VIOS. Registering the first VIOS to the VIOS cluster enables the first VIOS to receive VIOS cluster configuration data and status data, which provides the first VIOS with information about the other VIOSes within the VIOS cluster. The first VIOS is thus able to communicate with other VIOSes within the VIOS cluster and share I/O resources with the other VIOSes within the VIOS cluster. Relevant cluster information is stored within a local storage of the first VIOS. | 03-15-2012 |
20120066679 | DISPOSABLE VIRTUAL DESKTOP FOR TRANSIENT USE BY MULTIPLE USERS - Transient virtual computers are instantiated on a server and deleted after a period of use by a plurality of users. When a request for the virtual computer is received from one or more users, the remote server replicates copies of the virtual computer and assigns each of the copies to a user. The replication of the virtual computers may involve replicating of a computer profile and associated files. Each of the users accesses, manipulates and performs operation on the assigned virtual computer as desired without affecting the operations on other users' virtual computers. After a user finishes using the transient virtual computer, the replicated virtual computer may be removed or deleted from the remote server. The replication of the virtual computer for a temporary use facilitates collaborative activities such as learning in a classroom by removing or reducing administrative tasks. | 03-15-2012 |
20120066680 | METHOD AND DEVICE FOR ELIMINATING PATCH DUPLICATION - A first computer is provided that executes a plurality of virtual machines (VMs), a storage device, and a second computer is provided that applies patches to OSs (operating systems) operating upon the VMs to the VMs. The storage device holds storage regions (golden images (GIs)) that store data of the OSs operating upon the VMs at certain time instants, a storage region (i.e. a storage pool) that stores patches applied to the OSs of the VMs after those certain time instants, and snapshots of the GIs. Patches applied to the OSs of the VMs accessed in the snapshots are stored in the storage pool. The second computer selects, as a GI to be a source of acquisition of snapshots, a GI to which are applied patches of a combination that can be created from patches applied to the OS of some VM, and deletes patches that are patches applied to the selected GI, and that moreover, among the patches applied to that VM, are stored in the storage pool. | 03-15-2012 |
20120066681 | SYSTEM AND METHOD FOR MANAGEMENT OF A VIRTUAL MACHINE ENVIRONMENT - A system and method for operating an agent. A policy may be generated based on an analysis of a code segment of an agent, analysis of the execution and/or installation of an agent. An interaction with the agent may be intercepted. The interaction may be analyzed according to the policy. A machine for performing an operation related to the interaction may be selected. A proxy on the selected machine may perform the operation and return a result to the agent. In some embodiments, a request to perform a task may be intercepted. A first portion of the task may be performed by an agent and a second portion of the task may be performed by a proxy. | 03-15-2012 |
20120072906 | Memory Overcommit by Using an Emulated IOMMU in a Computer System without a Host IOMMU - A method and system for managing direct memory access (DMA) in a computer system without a host input/output memory management unit (IOMMU). The computer system hosts virtual machines and allows memory overcommit. The computer receives, from a guest operating system that runs on a virtual machine, a request for mapping a guest address to a bus address. The computer translates the guest address to a host address and pins a memory page containing the host address to keep the memory page in host memory. The host address is then returned to the guest operating system to allow a device to use the host address as the bus address for direct memory access (DMA) to a buffer managed by the guest operating system. | 03-22-2012 |
20120072907 | PROXY TOOL FOR GUEST MACHINE RELOCATION - A system tool, method and program product for relocating an original guest from a first host to a second host in a z/VM environment. A method is disclosed that includes: bringing the original guest down at the first host, wherein the original guest includes a predetermined virtual internet protocol address (VIPA); bringing up a new guest at the second host, wherein the new guest has the predetermined VIPA; autologging on a first virtual machine (VM) at the second host that provides a VM TCP/IP stack to an interface with a virtual switch; launching a second VM to provides a VM multiprotocol server configured for OSPF to run with the VM TCP/IP stack to generate a host route for the new guest, wherein the second VM is launched by the first VM; and launching a third VM for determining a status of the virtual switch and advertising to the network a host route of the new guest, wherein the third VM is launched by the first VM. | 03-22-2012 |
20120072908 | SYSTEM AND METHOD FOR AFFINITY DISPATCHING FOR TASK MANAGEMENT IN AN EMULATED MULTIPROCESSOR ENVIRONMENT - The disclosure relates generally to managing assignment of tasks among instruction processors (IPs) within a computer system having multiple IPs, and more particularly to binding emulated IPs with a host system's IPs (e.g., processor cores) for facilitating control over affinity-based assignment of tasks among the host system's IPs by a task manager (e.g., OS) that is executing on the emulated IPs, rather than running directly on the host system's IPs. Certain embodiments provide techniques for binding emulated IPs with actual IPs of a computing system that is hosting the emulated IPs, thereby enabling better control of management of the system by a task manager executing on the emulated IPs. For instance, in certain embodiments, a dispatcher (e.g., of an OS running on emulated IPs) performs affinity-based management of task assignment for tasks performed for an application program among IPs of a host system that is hosting the emulated IPs. | 03-22-2012 |
20120072909 | AUTOMATED ORCHESTRATION BETWEEN PHYSICAL AND VIRTUAL COMPUTING SYSTEMS - Changes to a virtual system, such as a set of virtual machines in a data center, may be automatically synchronized with the corresponding physical system. In one implementation, an application may receive information regarding changes made to a virtual system. The application may determine whether the information regarding the changes necessitates a change in the configuration of one or more physical switches, and may reconfigure affected ones of the physical switches for compatibility with the changes made to the virtual system. | 03-22-2012 |
20120072910 | METHODS AND SYSTEMS FOR MANAGING A VIRTUAL DATA CENTER WITH EMBEDDED ROLES BASED ACCESS CONTROL - Embodiments provide techniques for customers to easily, quickly and remotely manage their virtual data centers. Using, for example, a “single pane of glass” GUI view which shows all of the components (including e.g., machines (cpu and RAM), network services (load balancers, firewalls, network address translation, IP management) and storage) of their virtual data centers, provides a complete overview and a starting point for system or component management. According to embodiments, a Roles Based Access Control (RBAC) system is provided which simulates the organizational structure and workflow of a typical IT department to enable workflow management via the GUI for any component or function of a customer's virtual data center. | 03-22-2012 |
20120072911 | TRACE ASSISTED PREFETCHING OF VIRTUAL MACHINES IN A DISTRIBUTED SYSTEM - The disclosed implementations are related to trace-assisted prefetching of a virtual machine from a network resource to improve interactive performance of the virtual machine on a host device. Trace patterns can be automatically uploaded to a network resource, which aggregates the patterns, and serves the patterns back to the host device, or a different host device, when the host device downloads a virtual machine for the first time. | 03-22-2012 |
20120079478 | Network Interface Controller for Virtual and Distributed Services - In one embodiment a method and apparatus that support virtual services including virtual network interface control functionality for virtual machines running on a server. A method includes receiving a packet at a network interface card from a data communication network, classifying, within the network interface card, the packet to select one of multiple flow tables to which the packet should be assigned to obtain a selected flow table, the classifying being based, at least, on a logical interface with which the packet is associated, processing the packet based on information stored in the selected flow table, and passing the packet to a virtual machine operating on a computer to which the network interface card is communicatively connected, the virtual machine being associated with the logical interface. | 03-29-2012 |
20120079479 | MICROPROCESSOR SYSTEM FOR VIRTUAL MACHINE EXECUTION - A processor includes guest mode control registers supporting guest mode operating behavior defined by guest context specified in the guest mode control registers. Root mode control registers support root mode operating behavior defined by root context specified in the root mode control registers. The guest context and the root context are simultaneously active to support virtualization of hardware resources such that multiple operating systems supporting multiple applications are executed by the hardware resources. | 03-29-2012 |
20120079480 | METHODS FOR MEASURING PHYSICAL CPU UTILIZATION IN A CLOUD COMPUTING INFRASTRUCTURE - Novel techniques are provided to determine concurrent hardware resource usage as expressed in activity performed by hardware processors. A cloud computing consumer can verify the level of the quality of service provided by the physical infrastructure of a cloud, thereby allowing the consumer the ability to request a transfer of the hosting physical infrastructure to a less burdened physical machine. | 03-29-2012 |
20120079481 | OPTIMIZING PROCESSOR-MANAGED RESOURCES BASED ON THE BEHAVIOR OF A VIRTUAL MACHINE MONITOR - In one embodiment, a predefined behavior of a virtual machine monitor (VMM) with respect to one or more virtual machines (VMs) is identified, and processor-managed resources associated with the one or more VMs are utilized based on the predefined behavior of the VMM. | 03-29-2012 |
20120084774 | Techniques For Load Balancing GPU Enabled Virtual Machines - Exemplary techniques for balancing 3D graphical processor unit use among virtual machines are herein disclosed. In an exemplary embodiment, a virtualization platform can load an instance of a graphics rendering module for a virtual machine; select a GPU for the graphics rendering module to run on; and configure the virtual machine to render to the selected GPU. In addition to the foregoing, other aspects are described in the detailed description, claims, and figures. | 04-05-2012 |
20120084775 | Techniques for Streaming Virtual Machines from a Server to a Host - Techniques for configuring a commodity server to host virtual hard disks are disclosed herein. In an exemplary embodiment, a virtual hard disk file can be split into a plurality of differencing VHD files and one or more of the files can be downloaded to a virtualization host as it runs off the VHD files stored on the server. After the one or more VHD files are downloaded, the virtualization host can be configured to use the local copy instead of the copy on the commodity server. In addition to the foregoing, other techniques are described in the claims, the detailed description, and the figures. | 04-05-2012 |
20120084776 | VIRTUAL MACHINE STAGE DETECTION - A computer implemented method, system and computer program product for categorizing a virtual machine stage. A data processing system starts a virtual machine. It sets factor-stage ranges, wherein for each combination factor and stage, a corresponding range is present, and wherein each range is as broad as a reset range. It sets a frequency for sampling virtual machine metrics and samples virtual machine metrics to form a plurality of virtual machine metrics for each factor. It applies a value to each range satisfied by each virtual machine metric, to form a plurality of stage-qualifying values corresponding to a range, and sums all stage-qualifying values for each stage, to form a stage likelihood. The data processing system further selects a stage corresponding a highest stage likelihood. The data processing system determines if the current range is different from a former range. The system expands each range corresponding to the current stage. | 04-05-2012 |
20120084777 | Virtual Machine and/or Multi-Level Scheduling Support on Systems with Asymmetric Processor Cores - Different processor cores in a computing device can support different features. In one or more embodiments, the features supported by each of multiple physical processor cores of a computing device are identified. A set of one or more features of the multiple physical processor cores to make available to virtual processor cores of the virtual machine are determined based at least in part on both the one or more features supported by each of the multiple physical processor cores and a number of virtual processor cores of the virtual machine. In additional embodiments, a multi-level scheduling model is used. An operating system level scheduler of an operating system schedules multiple applications for execution on multiple processor cores, and a user level scheduler of an application schedules application threads of that application for execution on one or more of the multiple processor cores. | 04-05-2012 |
20120084778 | MANAGING EXECUTION OF MIXED WORKLOADS IN A SIMULTANEOUS MULTI-THREADED (SMT) ENABLED SYSTEM - A kernel of a SMT enabled processor system facilitates construction of an exclusive set of processors to simulate an ST mode for handling the tasks of the ST workload, wherein the ST workload runs more efficiently on single threaded processors. The kernel schedules the ST workload on the exclusive set of processors by selecting one hardware thread per processor within said exclusive set of processors to handle a separate one of the tasks of the ST workload, while requiring the remaining hardware threads per processor within the exclusive set to idle. As a result, the ST workload is executed on the SMT enabled processor system as if the exclusive set of processors run in ST mode, but without actually deactivating the remaining idle hardware threads per processor within the exclusive set of processors. | 04-05-2012 |
20120089979 | Performance Monitor Design for Counting Events Generated by Thread Groups - A number of hypervisor register fields are set to specify which processor cores are allowed to generate a number of performance events for a particular thread group. A plurality of threads for an application running in the computing environment to a plurality of thread groups are configured by a plurality of thread group fields in a plurality of control registers. A number of counter sets are allowed to count a number of thread group events originating from one of a shared resource and a shared cache are specified by a number of additional hypervisor register fields. | 04-12-2012 |
20120089980 | ALLOCATING VIRTUAL MACHINES ACCORDING TO USER-SPECIFIC VIRTUAL MACHINE METRICS - The performance monitoring system allocates virtual machines to users or user sessions according to a user categorization that is determine using user session information and virtual machine metrics. A session monitor of the performance monitoring system is notified that a user session terminated, where the user session accessed a virtual machine. The session monitor responsively records the user session information and obtains virtual machine metrics for the virtual machine from a virtual machine performance monitor. The performance monitoring system updates a user workload profile using the user session information and the virtual machine metrics, and categorizes the user of the user session according to the user workload profile. Upon receiving a request from a user for a virtual machine, a virtual desktop infrastructure requests a virtual machine from a virtual machine manager. The virtual machine manager responsively allocates a virtual machine to the user according to the user's categorization. | 04-12-2012 |
20120089981 | METHOD AND SYSTEM FOR POWER MANAGEMENT IN A VIRTUAL MACHINE ENVIRONMENT WITHOUT DISRUPTING NETWORK CONNECTIVITY - A method for power management. The method includes gathering resource usage data for a first blade and a second blade on a blade chassis, migrating each virtual machine (VM) executing on the first blade to the second blade based on the resource usage data and a first migration policy, wherein the first migration policy defines when to condense the number of blades operating on the blade chassis, and powering down the first blade after each VM executing on the first blade is migrated from the first blade. | 04-12-2012 |
20120089982 | SUPPORTING HETEROGENEOUS VIRTUALIZATION - Machine-readable media, methods, apparatus and system are described. In some embodiments, a virtual machine monitor of a computer platform may comprise a service virtual machine created by the virtual machine monitor partitioning an underlying hardware machine to support execution of a plurality of overlying guest operating systems, wherein the plurality of guest operating systems comprise a guest operating system complying with a non-native guest system architecture different from a host system architecture with which the hardware machine complies. The service virtual machine may further comprise a translation layer to translate instructions from the guest operating system complying with the non-native guest system architecture into instructions complying with the host system architecture. | 04-12-2012 |
20120096457 | SYSTEM, METHOD AND COMPUTER PROGRAM PRODUCT FOR PREPROVISIONING VIRTUAL MACHINES - According to one aspect of the present disclosure, a method and technique for preprovisioning virtual machines is disclosed. The method includes analyzing requests for a plurality of different virtual machine (VM) configurations where each VM configuration has a plurality of configuration attributes. The method also includes determining a request frequency corresponding to each requested VM configuration, determining a configuration of each provisioned VM, and responsive to determining the configuration of each provisioned VM, determining a configuration for a preprovisioned VM based on a request frequency of the different VM configurations and the configurations of the provisioned VMs. The method further includes creating the preprovisioned VM. | 04-19-2012 |
20120096458 | Method and System for Synchronizing Fault-Tolerant Virtual Machines - Primary and secondary virtual machines each executing on a separate physical host and forming a fault-tolerant pair synchronize their execution with each other as closely as possible such that the secondary can take over execution of the primary with little or no disruption of service and no loss of data. To provide fast takeover, the execution latency between the two virtual machines is kept to a minimum by incrementally adjusting the CPU allocation to the primary virtual machine. The CPU allocation to the primary virtual machine decreases when the execution latency is large and increases when the execution latency is small. In order to maximize the performance of the primary virtual machine, the system seeks to maximize the CPU resource limit for the primary virtual machine for as long as possible while minimizing the execution latency. | 04-19-2012 |
20120096459 | METHOD OF MIGRATING VIRTUAL MACHINE - A method of migrating a virtual machine from a first computer to a second computer includes: performing an external communication of the virtual machine via a first buffer before starting migration of the virtual machine, performing an external communication of the virtual machine via a second buffer different from the first buffer during the migration, starting the migration upon receiving a request for migrating the virtual machine, storing information destined for the virtual machine in the second buffer upon receiving the information during the migration, and transferring the information stored in the second buffer to the second computer in response to termination of the virtual machine. | 04-19-2012 |
20120096460 | APPARATUS AND METHOD FOR CONTROLLING LIVE-MIGRATIONS OF A PLURALITY OF VIRTUAL MACHINES - There is provided an apparatus and method for executing live-migrations concurrently on a plurality of virtual machines. Resource-usage state information is acquired from each of the plurality of virtual machines when a first live migration of a first virtual machine is started. A correlation factor indicating a degree of correlation between first resource-usage state information for the first virtual machine and second resource-usage state information for each of one or more virtual machines other than the first virtual machine is calculated using the acquired resource-usage state information. Next, a second virtual machine having a positive correlation factor with respect to the first virtual machine is selected from the one or more virtual machines where the positive correlation factor indicating a close similarity between the first and second resource-usage state information. Then, a second live-migration is executed on the second virtual machine in parallel with the ongoing first live migration. | 04-19-2012 |
20120096461 | LOAD BALANCING IN MULTI-SERVER VIRTUAL WORKPLACE ENVIRONMENTS - Virtual workplace server software may perform load balancing functionality in a multi-server desktop virtualization system. One or more virtualization servers may receive and maintain common state information for the desktop virtualization system, and may independently execute one or more load balancing functions based on the common state information. Each server may independently analyze the common state information and determine whether it will execute a load balancing function based on the analysis, thereby allowing the servers to coordinate actions using distributed load balancing algorithms. | 04-19-2012 |
20120096462 | DYNAMIC VIRTUALIZATION TECHNIQUE FOR MULTICORE PROCESSOR SYSTEM - Disclosed is a method of allocating OS resources in a multicore processor system, including: setting a common operating system (OS) layer serving to process a common resource; setting a partitioned OS layer allocating one or more partitioned OSs to each of multiple cores; and reallocating the partitioned OSs allocated to the multiple cores in the partitioned OS layer on the basis of system resources required to drive each OS. | 04-19-2012 |
20120102487 | CREATING AND DEPLOYING SERVICE-READY VIRTUAL HARD DISKS - A cloud manager controls the deployment and management of machines for an online service. A build system creates deployment-ready virtual hard disks (VHDs) that are installed on machines that are spread across one or more networks in farms that each may include different configurations. The build system is configured to build VHDs of differing configurations that depend on a role of the virtual machine (VM) for which the VHD will be used. The build system uses the VHDs to create virtual machines (VMs) in both test and production environments for the online service. The cloud manager system automatically provisions machines with the created virtual hard disks (VHDs). Identical VHDs can be installed directly on the machines that have already been tested. | 04-26-2012 |
20120102488 | PROFILING INPUT / OUTPUT OPERATIONS - Implementations of the present disclosure provide methods including executing a virtual machine configured to execute a plurality of applications and perform a plurality of I/O operations; storing meta information for a first I/O operation performed by the virtual machine in an I/O information holder for the virtual machine; receiving a request to begin an I/O profiling session on the virtual machine after storing the meta information; and reporting an I/O event record for a second I/O operation performed by the virtual machine using the meta information for the first I/O operation, wherein the second I/O operation is performed after beginning an I/O profiling session on the virtual machine. | 04-26-2012 |
20120102489 | ENTITLEMENT LIFECYCLE MANAGEMENT IN A RESOURCE MANAGEMENT SYSTEM - An entitlement management system is described herein that models each entitlement as a resource within a resource management system. In a resource management system that applies policy to all requests to create, update, and delete a resource, this approach allows rich application of policy to the creation, delegation, renewal, expiration, and deletion of entitlements. A resource management system that can synchronize data to connected systems can thereby grant or revoke these permissions in those systems. This approach also facilitates role mining, attestation, and compliance reporting. Entitlements stored as resources may also include properties, such as workflows and policies related to the entitlements. Thus, the entitlement management system provides a more formal and automated facility for managing entitlements in organizations. | 04-26-2012 |
20120102490 | RETAINING OWNERSHIP OF A VIRTUAL FUNCTION WHILE AN ADAPTER IS REPLACED - In an embodiment, a power off command is received that specifies a slot in a computer that connects to a first adapter. The first adapter comprises a physical and virtual functions. Data transfer from a logical partition to the virtual function is stopped, a lock of the virtual function held by the logical partition is released, and the slot is powered off. Ownership of the virtual function is retained by the logical partition while the lock is released and the slot is powered off. A power on command is received that specifies the slot that connects to a second adapter. The second adapter comprises the physical and virtual functions. In response to the power on command, the slot is powered on, and the lock is obtained of the virtual function for the logical partition. | 04-26-2012 |
20120102491 | VIRTUAL FUNCTION BOOT IN SINGLE-ROOT AND MULTI-ROOT I/O VIRTUALIZATION ENVIRONMENTS - A method for virtual function boot in a system including a single-root I/O virtualization (SR-IOV) enabled server includes loading a PF driver of the PF of a storage adapter onto the server utilizing the virtual machine manager of the server; creating a plurality of virtual functions utilizing the PF driver, detecting each of the virtual functions on an interconnection bus, maintaining a boot list associated with the plurality of virtual functions, querying the storage adapter for the boot list utilizing a VMBIOS associated with the plurality of VMs, presenting the detected boot list to a VM boot manager of the VMM, and booting each of the plurality of virtual machines utilizing each of the virtual functions, wherein each VF of the plurality of VFs is assigned to a VM of the plurality of VMs via an interconnect passthrough between the VMM and the plurality of VMs. | 04-26-2012 |
20120102492 | POWER SUPPLY AND CONTROL METHOD THEREOF - A power supply for feeding power to a computer comprises an instruction acquisition unit configured to acquire an identifier of a virtual machine and an instruction for the virtual machine, the virtual machine being run in emulation by a virtual host executed on the computer; and a virtual machine management unit configured to input an operation instruction for the virtual machine to the virtual machine on the basis of the acquisition by the instruction acquisition unit. | 04-26-2012 |
20120110570 | STATEFUL APPLICATIONS OPERATING IN A STATELESS CLOUD COMPUTING ENVIRONMENT - A cloud computing environment may use a virtualization layer within a stateless cloud computing process to capture, store, and retrieve state information generated by a stateful application executing within the process. The virtualization layer may monitor various state storage systems to identify changes to stateful items and store the stateful items in a state storage mechanism. The virtualization layer may intercept and redirect calls to the stateful items stored in the state storage mechanism. A cloud computing manager may start and stop the stateless cloud computing process, and may recover the state and resume executing the application. | 05-03-2012 |
20120110571 | Inherited Product Activation for Virtual Machines - Methods and systems are disclosed in which inherited activation opens a secure communication path from the host operating system (OS) to the guest (virtual machine) OS. The license state of the software on the host is passed through this channel, and software installed in the guest uses this information to inform its own product activation process. The virtualized (guest) software may then activate without any outside communication when the license requirements for the host are met. | 05-03-2012 |
20120110572 | Dynamic Allocation and Assignment of Virtual Environment - A mechanism for enabling enterprise data centers to allocate portions of their infrastructure and assign them to specific line of business unit IT organizations. The mechanism allows the business unit to dynamically and rapidly provision virtual infrastructure as needed to run line of business applications. This can also enable the business unit to focus more on the development and management of the applications rather than invest significant resources in managing the infrastructure layer. In various embodiments, data center administrators may be enabled to allocate and assign a portion of their physical infrastructure (Storage, Network and Compute) and expose them as a virtualized environment to different business unit organizations. | 05-03-2012 |
20120110573 | TRANSFERRING OBJECTS BETWEEN VIRTUAL MACHINES - Object calls for objects not available on a local virtual machine are fulfilled by requesting the object itself from a remote virtual machine and reconstructing a local instance of the requested object on the local virtual machine. The local instance is reconstructed using information about the class, variables, and methods associated with the remote instance of the object communicated to the local virtual machine. Present and future calls to the object are then directed to the local instance of the requested object. | 05-03-2012 |
20120110574 | METHODS AND SYSTEMS TO CLONE A VIRTUAL MACHINE INSTANCE - In one embodiment, an initialization script including instructions to define a value of a parameter at a cloned virtual machine instance is generated and stored at a virtual disk of a source virtual machine instance. The value of the parameter at the cloned virtual machine instance is different from the value of the parameter at the source virtual machine instance. An image of the virtual disk is then sent to a virtual machine module. The cloned virtual machine instance is instantiated at the virtual machine module based on the image of the virtual disk. | 05-03-2012 |
20120110575 | SECURE PARTITIONING WITH SHARED INPUT/OUTPUT - A soft partitioning system for allowing multiple virtual system environments to execute on a single platform may include I/O service partitions (IOSPs). The IOSPs operating in a separate virtual memory space on the platform and service disk and network requests from multiple guests. The IOSPs provide translation from virtual addresses to physical addresses such that from the point of view of the guest the virtual addresses used by the guest appear to be physical addresses. The IOSP may be implemented in a Linux kernel. The address space of the IOSP may be extended to include DMA memory sections such that the Linux kernel does not include all of the guest's memory. The IOSP may operate on hardware that does or does not support virtualization technology for directed I/O. | 05-03-2012 |
20120110576 | RESOURCE SHARING SYSTEM AND METHOD THEREOF - A resource sharing system for a plurality of user devices accessing at least one resource at the same time is provided. The system comprises a plurality of virtual machines and a connection broker. The virtual machines are established on at least one computer, wherein each user device corresponds to at least one of the virtual machines and each of the at least one resource corresponds to one of the virtual machines. The connection broker connects to the virtual machines and receives login information from each of the virtual machines and joins the virtual machines sending the login information into a group. Through the connection broker, the user devices share and access the resources corresponding to the virtual machines in the group. | 05-03-2012 |
20120110577 | CONTROLLING MEMORY CONDITIONS IN A VIRTUAL MACHINE - A method of managing host physical memory using a balloon application executing within a guest virtual machine (GVM) running on a host platform is described. The balloon application receives allocation parameters from an entity outside the GVM, the allocation parameters identifying an amount of memory for the balloon application to allocate. The balloon application adjusts the allocated amount of memory according to the allocated amount. Physical memory backing up the allocated memory can then be assigned by virtualization software for use by another virtual machine running on the host platform. | 05-03-2012 |
20120110578 | DATA PROCESSING SYSTEM AND METHOD - A data processing system includes a hardware platform, a virtualization platform, a physical machine, and at least two virtual machines. The virtual machines run on the virtualization platform. The physical machine is configured to bear the virtualization platform. The physical machine is connected to the hardware platform through a system bus. The hardware platform is configured to process data sent by a first virtual machine and send the processed data to a second virtual machine. The hardware platform is employed to process data transmission or data exchange between various virtual machines, so that the virtual machines occupy fewer Central Processing Unit (CPU) and memory resources of the physical machine that bears the running of the virtual machines, the system resource consumption is reduced, and the running performance and speed of the system are improved. | 05-03-2012 |
20120117562 | METHODS AND STRUCTURE FOR NEAR-LIVE REPROGRAMMING OF FIRMWARE IN STORAGE SYSTEMS USING A HYPERVISOR - Methods and structure for reprogramming firmware in a storage controller using a virtual machine management (VMM) environment. A storage process (current firmware) in the storage controller operates in a current virtual machine (VM) under control of a hypervisor. Reprogrammed (new) firmware is loaded into a new virtual machine under control of the hypervisor. The new firmware initializes and directs the current firmware to quiesce its processing. The new firmware also requests the hypervisor to map data in the memory space of the current virtual machine into the memory space of the new virtual machine and to transfer ownership/control of devices and network addresses from the current virtual machine to the new virtual machine. The new firmware operating on the new virtual machine then takes control of the storage controller and resumes processing of requests. | 05-10-2012 |
20120117563 | OVERLOAD CONTROL IN A CLOUD COMPUTING ENVIRONMENT - Provided is a method and devices for overload control in a cloud computing environment. The method includes receiving a first message from a network element associated with the cloud computing environment. The first message includes information associated with a target virtual machine and a list of sessions from the one or more sessions to move from the serving virtual machine to the target virtual machine. Associating one or more client addresses with an address associated with the target virtual machine based on the list of sessions. And, treating the target virtual machine as the serving virtual machine if processing sessions associated with the list of sessions. | 05-10-2012 |
20120117564 | GUEST TIMER FACILITY IN A VIRTUALIZED PROCESSING SYSTEM - A method includes, in a virtualized processing system, generating a local value of a first counter. The local value is accessible while executing in a first mode of the virtualized processing system. The local value is generated based on a value of a second counter and a ratio of a rate of the first counter to a rate of the second counter. The first counter is inaccessible while executing in the first mode of the virtualized processing system and accessible while executing in a second mode of the virtualized processing system. The first mode may be a guest mode and the second mode may be a host mode. The first counter may be an ACPI Power Management Timer. The second counter may be a Time Stamp Counter. | 05-10-2012 |
20120117565 | VIRTUAL-MACHINE-BASED APPLICATION-SERVICE PROVISION - Embodiments of the present invention are directed to application-service provision by application-service-provider centers to remote application-service consumers, including small businesses, medium-sized businesses, and other similarly-sized institutions and organizations, as well as to users of individual computers, such as home computers. Rather than executing applications at an application-service-provider center, the applications are instead distributed to remote client sites for execution by virtual machines controlled and managed by an application-service-provider center. Execution of the applications by virtual machines at remote client sites alleviates constraints and bottlenecks associated with communications between client computers and remotely executing applications, while providing management capabilities and security that allow the application-service center to manage application execution as if the remotely executing applications were executing within the application-service center. | 05-10-2012 |
20120117566 | INFORMATION PROCESSING DEVICE, INFORMATION PROCESSING METHOD, AND PROGRAM DISTRIBUTION SYSTEM - Provided is an information processing device which is capable of preventing data leakage caused by a malicious application or malicious device driver and of allowing cooperation among virtual machines. The information processing device ( | 05-10-2012 |
20120117567 | INFORMATION PROCESSING SYSTEM - An information processing system that maintains balance in the processing loads between processors and that includes operating systems, which each perform execution control on processing tasks by assigning each task to a task group and causing all tasks in the same task group to be executed on the same processor, and a hypervisor that associates each processor with one or more task groups in the operating systems and causes each operating system to perform the execution control so that all tasks in the same task group are executed on the processor associated with the same task group. The hypervisor sequentially acquires load indicators indicating a processing load of each task group in each operating system, refers to the processing loads indicated by the sequentially acquired load indicators, and when determining that the processing loads are not balanced between the processors, re-associates the processors with the task groups. | 05-10-2012 |
20120124572 | VIRTUALIZATION OF VENDOR SPECIFIC NETWORK INTERFACES OF SELF-VIRTUALIZING INPUT/OUTPUT DEVICE VIRTUAL FUNCTIONS - A vendor independent partition interface between a logical partition and an adjunct partition associated with a self-virtualizing IO resource is used to effectively abstract away vender-specific interface details for the self-virtualizing IO resource. By doing so, vender-specific implementation details may be isolated from the operating systems resident in logical partitions, thus requiring only changes in vendor specific firmware in order to support new or revised self-virtualizing IO resources. | 05-17-2012 |
20120124573 | SYSTEM AND METHOD FOR SECURELY HOSTING APPLICATIONS - A computer-implemented system for providing a virtualized application hosting environment comprising: a plurality of application/game servers each having a memory for storing application program code and data and a processor for executing the application program code and processing the data on behalf of a client; a virtualization service executed on each of the application/game servers, the virtualization service comprising a file redirection module for redirecting file read/write operations generated by the application program code to one or more virtualized file locations; and a registry redirection module for intercepting registry operations generated by the application program code and executing the registry operations on behalf of the application program code at one or more virtualized registry locations. | 05-17-2012 |
20120124574 | VIRTUAL COMPUTER SYSTEM AND METHOD OF INSTALLING VIRTUAL COMPUTER SYSTEM - The user needs to install an OS every LPAR on a virtual computer. Simultaneous OS installing in a plurality of LPARs is implemented by generating a first LPAR (special LPAR), a second LPAR (install mode LPAR), and a third LPAR (normal LPAR) on the basis of LPAR configuration information, copying an OS image to an MMIO space of the first LPAR, allocating the MMIO space of the first LPAR to an MMIO space of the second LPAR, and converting access to the MMIO space of the second LPAR to access to the MMIO space of the first LPAR | 05-17-2012 |
20120124575 | VIRTUAL MACHINE CONTROL PROGRAM AND VIRTUAL MACHINE SYSTEM - The program attains compatibility of suppression of an overhead accompanying page exception handling in the case of operating a program whose amount of memory use is large on a virtual machine and suppression of the overhead accompanying page exception handling in the case of operating a first OS that has a function of making another OS run on a virtual machine. A VMM creates a shadow PT (Page Table) for prohibiting reading-writing of privileged memory that requires emulation of reading/writing by using a RSV-bit, and registers the shadow PT and the second PT that a second OS operating on the first OS has in an x86 compatible CPU equipped with page exception detecting function using two PT's. When a page exception occurs, the VMM refers to cause code of the page exception and, when a P field of the cause code is 0, determines immediately that emulation is unnecessary. | 05-17-2012 |
20120124576 | Managing Virtual Machines Based on Business Priority - According to one embodiment, a method for managing one or more virtual machines includes generating a request for at least one performance characteristic for at least one virtual machine, the at least one virtual machine being associated with a processing group, the processing group including one or more processing modules; receiving a response to the generated request for at least one performance characteristic for the at least one virtual machine; automatically determining whether an increase in the number of processing modules included in the processing group is required, by analyzing the received response to the generated request; and, in response to a determination that an increase in the number of processing modules included in the processing group is required, automatically adding at least one processing module to the processing group. | 05-17-2012 |
20120124577 | MANAGING RESOURCES FOR VIRTUAL MACHINES BASED ON IDENTIFIERS - A method of managing resources in a virtual environment based on identifiers is disclosed. The method includes detecting an instantiation of a virtual machine and determining a delay value based on a unique identifier. The method also includes delaying an initiation of at least one support process for the virtual machine by the delay value. | 05-17-2012 |
20120124578 | CLASSIFYING WORKLOAD FOR A SERVICE - In one example embodiment, a machine implemented method is provided. The method comprises sampling a plurality of values of a parameter associated with a software service by monitoring said parameter; determining a workload signature for the software service based on statistical analysis performed during a first sampling period; and classifying the workload of said software service by selecting, based on said plurality of values, a first workload classification from a plurality of predefined workload classifications, wherein the workload of the software service is reclassified to a second workload classification, based on a workload signature calculated during a second sampling period. | 05-17-2012 |
20120124579 | METHOD AND APPARATUS FOR ADAPTIVE INTEGRITY MEASUREMENT OF COMPUTER SOFTWARE - Systems and methods are described herein that discuss how a computing platform executing a virtualized environment, in one example, can be integrity verified adaptively and on demand. This may occur at initial runtime, as well as during continued operations, and allows the platform user to install software from various vendors without sacrificing the integrity measurement and therefore the trustworthiness of the platform. | 05-17-2012 |
20120124580 | CONTROLLING A VIRTUAL MACHINE - A system is operatively coupled to a remote computer via a network. The system comprises service processing logic and a server executing a virtual machine. The service processing logic controls the virtual machine as directed by the remote computer. | 05-17-2012 |
20120124581 | VIRTUAL COMPUTER SYSTEM AND CONTROL METHOD OF VIRTUAL COMPUTER SYSTEM - It is provided a virtual computer system, comprising a physical computer, a virtualization unit and a storage apparatus. The storage apparatus includes a first storage unit and a second storage unit. The virtualization unit includes file link information containing a correspondence relation, and a file control unit. The file control unit receives an access from the virtual computer to a file stored in the first storage unit, determines whether absence or presence of a correction file to be applied to the file by referring to the file link information, provides the file for which the access has been received from the first storage unit in a case where there is no correction file to be applied to the file, and provides a correction file in the second storage unit as the file in a case where there is the correction file to be applied to the file. | 05-17-2012 |
20120131571 | PERFORMANCE IN A NESTED VIRTUALIZED ENVIRONMENT - Methods and systems for improving performance in a virtualization environment. The method comprising: detecting, by a first software, a first set of features supported by a hardware device, wherein the first software runs on the hardware device; detecting a second set of features with which to run a second software, wherein the second software is launched by the first software with the second set of features; exposing one or more features in the second set of features to the second software, wherein the second software detects and enables the exposed features for a third software launched by the second software; and emulating a first feature without causing a trap such that control is transferred to the second software, in response to determining that the first feature is an exposed feature not included in the first set of features, wherein one or more sensitive events are associated with the first feature. | 05-24-2012 |
20120131572 | Method for Specification of Environment Required for Crowdsourcing Tasks - Embodiments of the invention are associated with crowdsourcing, wherein a computing environment comprising all of the tools and other resources required to perform a specified task can be made available to all prospective participants, as a virtual machine image. One embodiment of the invention is directed to a method associated with a particular task that is provided by a task requester, wherein the particular task is to be performed by means of a crowdsourcing process. The method comprises the steps of selecting a plurality of participants, wherein each participant of the plurality is qualified to perform the particular task, and specifying a computing environment that comprises a set of resources, wherein each resource is required to perform the particular task. A virtual machine image that contains each of the resources of the set is constructed, and is then made available for use by each selected participant of the plurality. | 05-24-2012 |
20120131573 | METHODS, SYSTEMS, AND APPARATUS TO PRIORITIZE COMPUTING DEVICES FOR VIRTUALIZATION - In one embodiment, a virtualization analysis tool defines a classification weight value for each physical computing device from a plurality of physical computing devices, defines an effective utilization value for that physical computing device, and associates a virtual migration priority with that physical computing device based on the effective utilization value. The virtualization analysis tool defines the effective utilization value based on the classification weight value, a first utilization weight value, and a second utilization weight value. The first utilization weight value is associated with a first resource of that physical computing device, and the second utilization weight value associated with a second resource of that physical computing device. The virtual migration priority has a first value if the effective utilization value is within a first range of utilization weight values and a second value if the effective utilization value is within a second range of utilization weight values. | 05-24-2012 |
20120131574 | Virtual machine data structures corresponding to nested virtualization levels - A system includes a processor providing hardware virtualization, and a memory to store a first virtual machine data structure corresponding to a first nested virtualization level and a second virtual machine data structure corresponding to a second nested virtualization level. The virtual machine data structures assist management of the hardware virtualization provided by the processor. The system includes a first nested virtual machine located within the first nested virtualization level and a second nested virtual machine located within the second nested virtualization level. The system includes hypervisors to manage the nested virtual machines using the virtual machine data structures. A root hypervisor is to manage the first nested virtual machine using the first virtual machine data structure. A first nested virtualization level hypervisor is to run within the first nested virtual machine and is to manage the second nested virtual machine using the second virtual machine data structure. | 05-24-2012 |
20120131575 | DEVICE EMULATION IN A VIRTUALIZED COMPUTING ENVIRONMENT - Systems and methods for optimizing operation of a physical device in a virtualized computing environment are provided. The method comprises monitoring instructions issued by an application running on a virtual machine's (VM) operating system, wherein the VM is hosted by a hypervisor providing access to a physical device connected to a virtualized computing environment; wherein a device driver supported by the operating system issues one or more instructions to an emulated device supported by the hypervisor to control the physical device according to the issued instructions, wherein the emulated device's registers are implemented in memory of the hypervisor and the instructions issued by the device driver are stored in said registers, wherein the hypervisor handles the instructions, in response to learning that the instructions are stored in said registers. | 05-24-2012 |
20120131576 | VIRTUAL COMPUTER SYSTEM AND MIGRATION METHOD OF VIRTUAL COMPUTER - When LPAR in a physical computer is migrated to LPAR in another in the state that OS operates, OS in LPAR can access disk which the OS has accessed before migration just after migration. FC-HBA's connected to the physical computers of the source and destination are constructed redundantly and one FC port is set to logging-out state in migration source before resumption of OS on the way of migration. After logging-in processing of FC is performed beforehand in migration destination by means of WWN of FC logged out in migration source, processing is performed so that path between FC logged and disk is viewed as being on-line from only OS. Path between FC not logged at destination and disk is processed to be viewed as being off-line from OS just after OS operation at destination, so that OS at destination can access the disk. | 05-24-2012 |
20120131577 | PROVIDING A VIRTUAL MACHINE - A software element database stores software element definitions according to a software element hierarchy. A score is assigned to at least one of the software element based at least in part upon the software element's hierarchy level. Further, virtual machine templates are stored in a library. The virtual machine templates include at least one software element associated with a software element from the software element database. A user enters a specification that specifies desired software elements to be included in the virtual machine via a user interface. After the specification is entered, the a score value is calculated for at least one virtual machine template from the library, based on the scores assigned to the software elements. Using the calculated score, the virtual machine template having the highest score value is selected and the selected virtual machine template is delivered as the virtual machine to a hypervisor. | 05-24-2012 |
20120131578 | Optimization of Virtual Appliance Deployment - In an embodiment for deploying a new virtual appliance ( | 05-24-2012 |
20120131579 | METHOD AND SYSTEM FOR DEPLOYING AT LEAST ONE VIRTUAL NETWORK ON THE FLY AND ON DEMAND - The invention relates to a method for generating, on the fly and on demand, at least one virtual network ( | 05-24-2012 |
20120137285 | PLANNING A RELIABLE MIGRATION IN A LIMITED STABILITY VIRTUALIZED ENVIRONMENT - Systems and methods for reliably migrating a virtual machine (VM) are provided. The method comprises receiving overhead and reliability factors for hosts in a hosting fabric; determining a plurality of viable migration paths for migrating a source VM from a source host to a target host in the hosting fabric, wherein the migration is accomplished through a series of migration phases, wherein a phase involves migration of the source VM from one host to another host in a migration path; determining total overhead costs associated with each migration path; and selecting a migration path from among said plurality of migration paths according to the overhead costs and reliability factors, wherein the reliability of the migration over the selected path is monitored and controlled by dynamically allocating and de-allocating one or more replicas of the source VM on one or more hosts in the migration fabric. | 05-31-2012 |
20120137286 | RESOURCE ALLOCATION FOR SOFTWARE DEVELOPMENT - Software development data indicative of a development activity is accessed. A component parameter of a component of a software development platform is set, in which the component parameter is based upon, at least in part, an anticipated component workload associated with the development actively. At least one system resource is allocated for the component of the software development platform based upon, at least in part, the component parameter. | 05-31-2012 |
20120137287 | OPTIMIZED GAME SERVER RELOCATION ENVIRONMENT - A system is provided for migrating a VM over a WAN. A first server has a VM. The first and second servers are operatively connected over the WAN by a virtual private local area network service. The first server migrates the VM to the second server by coping files and state of the VM to the second server without interrupting the interactive software on the VM. During a last round of migrating the VM, for packets intended for the VM on the first server, the first server buffers the packets in a buffer as buffered packets. Instead of delivering the buffered packets to the VM, the first server transmits the buffered packets to the second server. The second server plays the buffered packets to the VM migrated to and operating on the second server, such that buffered packets are played before current packets currently received from the clients are played. | 05-31-2012 |
20120137288 | VIRTUALIZATION OF VENDOR SPECIFIC CONFIGURATION AND MANAGEMENT OF SELF-VIRTUALIZING INPUT/OUTPUT DEVICE - A vendor independent interface is provided between a hypervisor and an adjunct partition associated with a self-virtualizing IO resource to effectively abstract away vendor-specific interface details for the self-virtualizing IO resource and its adjunct partition. By doing so, vendor-specific implementation details may be isolated from the configuration and management functionality in a hypervisor, thus minimizing the changes to vendor specific firmware in order to manage new or revised self-virtualizing IO resources. | 05-31-2012 |
20120137289 | PROTECTING HIGH PRIORITY WORKLOADS IN A VIRTUALIZED DATACENTER - A computer program product is provided, including computer usable program code for running a plurality of virtual machine workloads across a plurality of servers within a common power domain, and computer usable program code for setting an operating level for each of a plurality of hardware resources within the common power domain in response to receiving an early power off warning from a power source that supplies power to the common power domain, wherein the operating level for each of the hardware resources is determined as a function of the priority of the virtual machine workloads that are utilizing each of the hardware resources. | 05-31-2012 |
20120137290 | MANAGING MEMORY OVERLOAD OF JAVA VIRTUAL MACHINES IN WEB APPLICATION SERVER SYSTEMS - The invention relates to memory overload management for Java virtual machines (JVMs) in Web application sever systems. Disclosed is a method and system of memory overload management for a Web application sever system, wherein the Web application sever system comprises multiple JVMs, the method comprising: determining one or more replica shards for which replacement shall be performed; determining one or more target JVMs for storing a corresponding replica shard set including at least one replica shard from the one or more replica shards; and for each target JVM, performing the following: judging whether the free memory of the target JVM is adequate for storing the corresponding replica shard set; if the judging result is negative, performing the following: causing the target JVM to suspend the creation of session until the free memory of the target JVM becomes adequate for storing the corresponding replica shard set. | 05-31-2012 |
20120137291 | PLACING A VIRTUAL MACHINE ON A TARGET HYPERVISOR - A method is provided for placing a virtual machine on a target virtual machine manager out of multiple virtual machine managers. System management software is connected to the virtual machine managers and selects the target virtual machine manager for placing the virtual machine. The method includes: the system management software creating a memory profile for all virtual machines hosted on the multiple virtual machine managers, the system management software evaluating probabilities for samepage mapping of the virtual machine to be placed on the multiple virtual machine managers based on the memory profiles of the virtual machines, the system management software selecting under consideration of the probabilities for samepage mapping a most appropriate virtual machine manager as the target virtual machine manager, and the system management software placing the virtual machine on the target virtual machine manager. | 05-31-2012 |
20120137292 | VIRTUAL MACHINE MIGRATING SYSTEM AND METHOD - A virtual machine migration method conducted in a virtual machine migration system that includes a virtual machine of a migration source computer and a migrating target computer. The migration source computer conducts processing to send host physical address information of an MMIO region corresponding to a device used by the virtual machine through directed I/O to a migration target computer, and to cause a connection target of the device to be switched to the migration target computer by a switching device that connects the device to the computers. The migration target computer conducts processing to allocate an MMIO region corresponding to the host physical address information sent by the migration source computer, to the device connected to the computers by the switching device. | 05-31-2012 |
20120137293 | Dynamic migration of virtual machine computer programs upon satisfaction of conditions - A system includes a number of server computing devices and a management server computing device. Each server computing device has a virtual host computer program running thereon to support one or more virtual machine computer programs. Each virtual machine computer program is able to execute an instance of an operating system on which application computer programs are executable. The management server computing device monitors the server computing devices, and causes the virtual machine computer programs supported by the virtual host computer program of a first server computing device to dynamically migrate to the virtual host computer program of a second server computing device, upon one or more conditions being satisfied. The conditions may include the first server being predicted as failure prone, the first server consuming power less than a threshold, and the first server having resource utilization less than a threshold. | 05-31-2012 |
20120144388 | Database Access for Native Applications in a Virtualized Environment - A database may be accessed by creating a virtualized environment on a computer system and instantiating a driver for a database within the virtualized environment. A first execution thread within the virtualized environment is created and a first unique identifier is associated with the first execution thread within the driver for the database. A first connection to the database is then opened from within the first execution thread using the first unique identifier. A first native program containing embedded structured query language (SQL) statements is executed within the first execution thread and the first native program is provided with access to said database using the first connection to said database. | 06-07-2012 |
20120144389 | OPTIMIZING VIRTUAL IMAGE DEPLOYMENT FOR HARDWARE ARCHITECTURE AND RESOURCES - A method of optimally deploying virtual images in a system of servers having different architectures and resources automatically deploys a first virtual image to each of a plurality of servers in the heterogeneous system of servers. The method monitors performance of the first virtual image on each of the servers. The method calculates a quality of service metric for the first virtual image on each server. The method ranks the servers in terms of said quality of service metric for the first virtual image. The method automatically deploys the first virtual image to a highest ranked server in terms of quality of service metric for the first virtual image. | 06-07-2012 |
20120144390 | CUSTOMIZED COMPUTER IMAGE PREPARATION AND DEPLOYMENT INCLUDING VIRTUAL MACHINE MODE - A computer-implemented technique significantly reduces the time required to configure software images deployed from a golden reference machine to destination machines. Time is saved by applying a priori knowledge of the configuration of the intended destination machines and omitting normally run configuration steps known to be non-essential or irrelevant to the destination machines. This technique is particularly advantageous when the destination machines are virtual machines, as time-consuming commands for configuring hardware on the destination machines can be avoided. | 06-07-2012 |
20120144391 | PROVISIONING A VIRTUAL MACHINE - An information processing system which includes: a storage controlling module in any of computer apparatuses that runs a clone origin virtual machine, where the storage controlling module controls: booting up the clone origin virtual machine when the clone origin virtual machine is detached from a virtual network interface; acquiring running state information indicating a running state of the clone origin virtual machine; and storing an image including the running state information and virtual disk information in a storage apparatus; and a boot controlling module in any of computer apparatuses that creates a clone virtual machine, where the boot controlling module controls: resuming at least one of the clone virtual machines with the image when each of the clone virtual machines is detached from the virtual network interface; and attaching the virtual network interface to the at least one clone virtual machine. | 06-07-2012 |
20120151471 | ADDRESS TRANSLATION TABLE TO ENABLE ACCESS TO VIRTUALIZED FUNCTIONS - A computer-implemented method may include assigning an address translation table to a peripheral component interconnect host bridge and determining that an input/output adapter accessible to the peripheral component interconnect host bridge is configured as a virtualized adapter to provide a plurality of virtual functions to a plurality of logical partitions. In response to determining that the input/output adapter is configured as the virtualized adapter, the address translation table may be subdivided to enable the plurality of virtual functions to access the memory of at least one logical partition of the plurality of logical partitions. | 06-14-2012 |
20120151472 | VIRTUALIZATION OF HARDWARE QUEUES IN SELF-VIRTUALIZING INPUT/OUTPUT DEVICES - Hardware transmit and/or receive queues in a self-virtualizing IO resource are virtualized to effectively abstract away resource-specific details for the self-virtualizing IO resource. By doing so, a logical partition may be permitted to configure and access a desired number of virtual transmit and/or receive queues, and have an adjunct partition that interfaces the logical partition with the self-virtualizing IO resource handle the appropriate mappings between the hardware and virtual queues. | 06-14-2012 |
20120151473 | SIMPLIFIED DMA MAPPINGS FOR SELF-VIRTUALIZING INPUT/OUTPUT DEVICE VIRTUAL FUNCTIONS - Multiple TCE entries at the same indices in multiple, same size TCE tables are mapped to facilitate data communication between a self-virtualizing IO resource and a logical partition. First and second TCE tables used by an adjunct partition that interfaces a self-virtualizing IO resource with a logical partition may be identically sized, so that whenever a DMA operation between the self-virtualizing IO resource and the logical partition is desired the same TCE entries in the first and second TCE tables may be used to perform a redirected DMA operation, and without the need to perform hashing or other mapping algorithms to map to the respective TCE entries in the respective TCE tables. | 06-14-2012 |
20120151474 | DOMAIN MANAGEMENT AND INTERGRATION IN A VIRTUALIZED COMPUTING ENVIRONMENT - Systems and methods for domain management in a virtualized computing environment are provided. In one embodiment, the method comprises collating advice received from one or more domain advisors connected in the virtualized computing environment; resolving any conflicts among the advice received from said one or more domain advisors; utilizing the collated advice to generate a placement plan comprising a plurality of operations for virtual machines in said virtualized computing environment; and executing the one or more operations in the placement plan, wherein one or more domain handlers may be called to update the virtualized computing environment before, during or after execution of one or more operations from among said plurality of operations in the plan. | 06-14-2012 |
20120151475 | Virtualizing Baseboard Management Controller Operation - Virtualizing baseboard management controller operation in a host computer that includes a base BMC, where virtualizing BMC operation includes executing, by the host computer, a virtual BMC; registering, by the virtual BMC, with the base BMC of the host computer; and processing BMC requests by the virtual BMC. | 06-14-2012 |
20120151476 | VIRTUAL MACHINE MORPHING FOR HETEROGENEOUS MIGRATION ENVIRONMENTS - Virtual machines may migrate between heterogeneous sets of implementation resources in a manner that allows the virtual machines to efficiently and effectively adapt to new implementation resources. Furthermore, virtual machines may change types during migration without terminating the virtual machines. Migration templates may be established to manage migration of sets of virtual machines between sets of implementation resources and/or virtual machine types. Migration templates may be established based at least in part on information provided by migration agents added to the virtual machines under consideration for migration. The migration agents may detect and augment relevant virtual machine capabilities, as well as trigger reconfiguration of virtual machine components in accordance with migration templates. | 06-14-2012 |
20120151477 | TEMPLATE VIRTUAL MACHINES - There is provided a method of providing a template virtual machine. An exemplary method comprises creating a template virtual machine comprising an image of an operating system and software running on the operating system. The template virtual machine does not include machine-specific identifiers. The template virtual machine is booted into a virtual machine environment. Subsequently, machine-specific identifiers for the template virtual machine are updated. The template virtual machine may then be booted with machine-specific identifiers into a service instance to perform a function determined by the software running on the operating system such that uniform code pages representing the operating system and software running on the operating system are shared among virtual machines in the virtual machine environment. | 06-14-2012 |
20120151478 | Communication redirection where primary machine images are cloned to secondary machine images - Prior to a first primary machine image being cloned to a first secondary machine image and a second primary machine image being cloned to a second secondary machine image, a controller determines that the first primary machine image communicates with the second primary machine image. Cloning of the first primary machine image to the first secondary machine image and of the second primary machine image to the second secondary machine image is such that communication from the first secondary machine image to the second primary machine image is not directed to the second secondary machine image. Afterwards, a software agent running on the first secondary machine image changes a global machine image-wide hostname file at the first secondary machine image, so that the communication from the first secondary machine image to the second primary machine image is now directed to the second secondary machine image. | 06-14-2012 |
20120151479 | HORIZONTAL SPLITTING OF TASKS WITHIN A HOMOGENOUS POOL OF VIRTUAL MACHINES - Horizontal splitting of tasks within a homogenous pool of virtual machines. A primary virtual machine is provided to service requests from a request source during a session. The primary virtual machine services requests having a first priority received during the session. A secondary virtual machine is provided to service requests from the request source during the session. The secondary virtual machine services requests having a second priority received during the session. The first virtual machine and the second virtual machine run on a single physical computing platform. | 06-14-2012 |
20120151480 | PRESERVING CHANGES TO A CONFIGURATION OF A RUNNING VIRTUAL MACHINE - A method is provided for preserving changes to a configuration of a running virtual machine. The method includes reading an initial configuration, starting the virtual machine under application of the initial configuration, modifying the configuration of the virtual machine during runtime, storing the modified configuration of the virtual machine during shutdown, and reading the modified configuration at re-start of the virtual machine and re-starting the virtual machine under application of the modified configuration. | 06-14-2012 |
20120151481 | SYSTEM FOR MANAGING PERSONALIZATION INFORMATION OF VIRTUAL MACHINE BASED ON CLOUD COMPUTING AND METHOD THEREOF - Disclosed are a system and method for managing personalization information of a virtual machine based on cloud computing. | 06-14-2012 |
20120151482 | SYSTEM AND METHOD FOR PROCESSING VIRTUAL MACHINE - Disclosed are a system and a method for efficiently managing virtual machine images that are varied for ever user, which generates a personal virtual machine image based on a shared virtual machine image using a copy on write method and processes the requested virtual machine through the generated image to support multi users. According to exemplary embodiments of the present invention, it is possible to integratively manage the same virtual machine and reduce the capacity of the virtual machine image in a data center, which reduces the cost for building the data center. | 06-14-2012 |
20120151483 | MIGRATING VIRTUAL MACHINES CONFIGURED WITH DIRECT ACCESS DEVICE DRIVERS - In one or more embodiments of the invention, migration of a virtual machine includes identifying a hardware resource which is accessed directly by a device driver of the virtual machine and generating an emulation of the hardware resource to simulate the hardware resource as experiencing an error. The current state of the virtual machine can then be transmitted to the destination host computer, wherein the current state includes the emulation which simulates the hardware resource as experiencing an error. In at least one embodiment, generating the emulation includes defining the error as one that triggers a reset of the hardware resource after migration of the virtual machine from the source host computer to the destination host computer. | 06-14-2012 |
20120151484 | Virtual Machine and Programming Language for Event Processing - A virtual machine supports execution of compiler-generated code and provides an execution environment for code that may correspond to any of several different event-driven or message-driven programming models (such as state machines, rules for complex event processing and event correlation, monitoring contexts, processes, and mediations). The programming models may be used in business monitoring and/or business integration environments. A programming language is defined for execution using the virtual machine, which contains a relatively small number of instructions and which is preferably generated by a compiler from a higher-level, event-driven or message-driven model. | 06-14-2012 |
20120159473 | EARLY NETWORK NOTIFICATION IN LIVE MIGRATION - A method and system for sending early notification when a guest migrates in a virtual machine system. A guest hosted by a computer system is migrating from a source hypervisor to a target hypervisor. During the migration, the source hypervisor stops transmission of outbound packets from the guest. A signal is sent to the target hypervisor after stopping the transmission and before completing migration of the guest. The signal requests that a notification containing network addresses of the guest be sent to network devices associated with the target hypervisor. | 06-21-2012 |
20120159474 | SYSTEM AND METHOD OF I/O PATH VIRTUALIZATION BETWEEN A RAID CONTROLLER AND AN ENVIRONMENT SERVICE MODULE IN A STORAGE AREA NETWORK - A system and method of I/O path virtualization between a RAID controller and an environment service module (ESM) in a storage area network (SAN) is disclosed. In one embodiment, a type of I/O request is identified by an input/output (I/O) control engine upon receiving an I/O request from a host computer via a RAID controller. Further, a priority is assigned to the received I/O request based on the type of I/O request by the I/O control engine. Furthermore, the processing of the prioritized I/O request is interrupted by the I/O control engine. In addition, the prioritized I/O request is separated into a command I/O request or a status request. Also, the separated command I/O request or the status request is sent to an associated queue in a plurality of solid state drive (SSD) buffer queues (SBQ) in the I/O control engine. | 06-21-2012 |
20120159475 | Acquiring Guest Operating System Instrumentation Information In A Hypervisor - Methods, apparatuses, and computer program products are provided for acquiring guest operating system instrumentation information in a hypervisor. Embodiments include receiving from a platform management application, by a hypervisor communication module of the hypervisor, a platform request for guest operating system instrumentation information associated with at least one guest operating system executing within the hypervisor; in response to receiving the platform request for the guest operating system instrumentation information, retrieving through shared memory between the hypervisor and the guest operating system, by the hypervisor communication module, the guest operating system instrumentation information associated with the at least one guest operating system; and transmitting, by the hypervisor communication module, the guest operating system instrumentation information to the platform management application. | 06-21-2012 |
20120159476 | COMPUTER WORKLOAD CAPACITY ESTIMATION - A proximity table is generated for a first of a group of hosts for one or more computer workloads. Workloads are assigned to the host by applying proximity rules to the proximity table. The generating of proximity tables and the assignment of workloads is iterated for other hosts until all workloads have been assigned. Once all workloads have been assigned, an opportunity estimate is calculated | 06-21-2012 |
20120159477 | SYSTEM AND METHOD FOR PROVIDING DIRECT SOCKET I/O FOR JAVA IN A VIRTUAL MACHINE - A system and method for providing direct socket input/output (I/O) for Java in a Java Virtual Machine (JVM) environment. During runtime, the system periodically garbage collects software objects in the Java memory space or heap. In accordance with an embodiment, the system provides a means to change the state of a thread performing the I/O which temporarily disallows garbage collection from taking place, thus guaranteeing that a receiving object in the heap does not move. The use of safepoints to prohibit GC from happening during the I/O call means that a global GC lock is not required. Non-blocking I/O is utilized, while prohibiting a thread from entering a GC safepoint during an I/O system call. The technique addresses disadvantages of previous methods by removing contended locks and by removing restrictions on allocation. In accordance with an embodiment, a special thread state can be used to prevent the thread from entering a GC safepoint. | 06-21-2012 |
20120159478 | VIRTUAL MACHINE BRANCHING AND PARALLEL EXECUTION - A state branching system is described herein that allows parallel execution of complex state transitions while leveraging time invested to setup a starting state. By allowing branching at the virtual machine level, the state branching system allows setup of a particular condition or state in a virtual machine, then copying and branching to parallel instances of the virtual machine to explore different possible subsequent states. Upon detecting a large state change with unknown outcome, the state branching system instructs the hypervisor to copy the executing virtual machine into one or more separate virtual machines. The system then allows divergent branching between the two or more virtual machines to explore different states from a similar starting point. Once the executions have reached the next state, the system coordinates to determine which copies will continue execution. Thus, the state branching system allows faster exploration of complex state changes. | 06-21-2012 |
20120159479 | PROVIDING A PERSONA-BASED APPLICATION EXPERIENCE - Technologies are described herein for providing; a persona-based application experience. A query for a location of a persona package is received from a virtualization client. When the query is received, a current persona of a user requesting execution of a virtualized application is determined. The location of the persona package corresponding to the current persona of the user is determined The location of the persona package is sent to the virtualization client in response to the query. The virtualization client is configured to execute the virtualized application adapted to the persona package. | 06-21-2012 |
20120159480 | DATA PROCESSING METHOD AND APPARATUS FOR REMOTE STORAGE SYSTEM - In an information system which includes a local site including a cache file server coupled with a host computer, and a remote site including a remote file server coupled with a surrogate processing computer, a method determines whether each of one or more files of data for a data processing request is cached or not. If yes, the method executes data processing for each cached file to produce results in local site. If no, the method includes creating a file list of files to be processed in remote site; sending the file list and processing program to the surrogate computer; if the processing is sufficiently effective to be done by the surrogate computer, receiving results of the processing executed in remote site, and, if not, then receiving an unprocessed file list from remote site and executing data processing in local site and receiving any results already executed in remote site. | 06-21-2012 |
20120159481 | BEST FIT MAPPING OF SELF-VIRTUALIZING INPUT/OUTPUT DEVICE VIRTUAL FUNCTIONS FOR MOBILE LOGICAL PARTITIONS - A virtual function mapping process, which is capable of being used in connection with the migration of a logical partition to a target system, creates candidate lists for virtual functions assigned to the logical partition, which are populated with candidate physical ports from a target system that are capable of being mapped to such virtual functions. Candidate physical ports are assigned weights based upon their suitability to be mapped to a particular virtual function, and as candidate physical ports are selected for virtual functions, weights for other candidate physical ports for other virtual functions are recalculated to reflect the impact of the selection of candidate physical ports on the suitability of the remaining physical ports for other virtual functions. | 06-21-2012 |
20120159482 | SEAMLESS APPLICATION INTEGRATION APPARATUS AND METHOD - A seamless application integration apparatus and method are provided. The seamless application integration apparatus includes a seamless integration server that is installed in a host virtual machine so as to provide a seamless application integration (SAI) environment in a virtualization system that comprises the host virtual machine and at least one guest virtual machine. The apparatus also includes a dynamic reconfiguration unit determines whether a seamless integration client that corresponds to the seamless integration server has been installed in the guest virtual machine, and that may install the seamless integration client in the guest virtual machine according to the determination result. | 06-21-2012 |
20120159483 | Searching Virtual Resources - A mechanism is provided for searching a virtual resource in a large scale computing system environment. The virtual resource is deployed on at least one server. Each server is coupled to a sensor and communicates with the sensor. The sensors communicate with each other and consist of a communication network. Each sensor stores an identifier of a virtual resource deployed in a server connected with the sensor and the location information of the sensor itself. The mechanism receives a searching request for a virtual resource by the at least one sensor, the searching request containing an identifier of the virtual resource being searched; forwards the searching request in the communication network of the sensors; and returns a location information of a sensor storing the identifier of the virtual resource by the sensor itself. | 06-21-2012 |
20120159484 | SCHEDULING THE TIMELINES OF VIRTUAL MACHINES - A method for scheduling timelines of virtual machines (VMs) is presented. The method includes determining, by a host controller device, a time span based on configurable timelines recorded in a plurality of timers stored in memory of the host controller device, wherein the time span comprises a time interval when a plurality of virtual machines (VMs) are started and does not include a run time of the plurality of VMs. The method further includes starting, by the host controller device during the determined time span, the plurality of VMs on a plurality of host machines managed by the host controller device, wherein start times of each of the plurality of VMs are distributed across the determined time span. | 06-21-2012 |
20120159485 | OPTIMIZING VIRTUAL STORAGE SIZE - A method, system and computer program product for optimizing virtual storage in a virtual computer system including at least one virtual machine, each virtual machine being associated with one or more virtual disks. A target set of virtual machines among the virtual machines comprised in the virtual computer system is determined based on information related to the virtual machines and on shrinking constraints. For each virtual machine in the target set of virtual machines, each virtual disk associated with the virtual machine is identified. Furthermore, for each virtual disk associated with the virtual machine, the following occurs: the virtual disk is analyzed, a virtual disk saving quantity based on the virtual disk analysis is estimated, a resized virtual disk based on the estimated virtual disk saving quantity is generated, and the current virtual disk is replaced with the resized virtual disk. | 06-21-2012 |
20120159486 | SYSTEM, METHOD AND COMPUTER PROGRAM PRODUCT FOR ACCESSING A MEMORY SPACE ALLOCATED TO A VIRTUAL MACHINE - A method for accessing a memory space allocated to a virtual machine, the method includes: receiving a request from the virtual machine to generate, for another virtual machine, a memory credential associated with a certain memory space allocated to the virtual machine; generating, in response to the request, a cryptographically signed credential; sending the cryptographically signed credential to the other virtual machine; receiving from the other virtual machine an access request to access at least one memory entry within the certain memory space; and accessing the at least one memory entry, if the access request complies with the memory credential. | 06-21-2012 |
20120167076 | Service aware virtualization - A system and method for service aware virtualization is disclosed. The system comprises a plurality of virtual instances operating on virtualization software and a plurality of service manager modules operating on the virtualization software. Each service manager module is coupled to a separate virtual instance and configured to interface with an operation of guest software operating within the virtual instance on the virtualization software. A management interface coupled to the service manager modules interfaces with the plurality of virtual instances. | 06-28-2012 |
20120167077 | Bulk data management in a virtual environment - A system and method for service aware virtualization is disclosed. The system comprises a plurality of virtual instances operating on virtualization software and a plurality of service manager modules operating on the virtualization software. Each service manager module is coupled to a separate virtual instance and configured to interface with an operation of guest software operating within the virtual instance on the virtualization software. A management interface coupled to the service manager modules interfaces with the plurality of virtual instances. | 06-28-2012 |
20120167078 | Obtaining diagnostic information in a virtual environment - A system and method for service aware virtualization is disclosed. The system comprises a plurality of virtual instances operating on virtualization software and a plurality of service manager modules operating on the virtualization software. Each service manager module is coupled to a separate virtual instance and configured to interface with an operation of guest software operating within the virtual instance on the virtualization software. A management interface coupled to the service manager modules interfaces with the plurality of virtual instances. | 06-28-2012 |
20120167079 | METHOD AND SYSTEM FOR REDUCING POWER LOSS TO BACKUP IO START TIME OF A STORAGE DEVICE IN A STORAGE VIRTUALIZATION ENVIRONMENT - A method and controller device for supplying battery power to a virtualized storage environment having a storage controller with a virtual machine manager and a second virtual machine. In response to a battery engaged event, the first virtual machine manager enables the image of the second virtual machine to be shared with a new instance of the second virtual machine so that the image does not have to be loaded therein. The first virtual machine manager then creates the new virtual machine. The old virtual machine shuts down non-necessary hardware devices and sets necessary hardware devices to low power mode. During this time, the new virtual machine executes a backup specific start-of-day (SOD) initialization sequence. The method also synchronizes the new and old virtual machines. The method also initiates a cache memory backup operation upon synchronization of the new and old virtual machines and then shuts down the old virtual machine. | 06-28-2012 |
20120167080 | GUEST FILE SYSTEM INTROSPECTION AND DEFRAGMENTABLE VIRTUAL DISK FORMAT FOR SPACE EFFICIENCY - A virtualized computer system employs a virtual disk with a space efficient (SE) format to store data for virtual machines running therein. The SE format allows for defragmentation at a fine-grained level, where unused, stale, and zero blocks are moved to the end of the virtual disk so that the virtual disk may be truncated and space reclaimed by the underlying storage system as part of a special defragmentation process. | 06-28-2012 |
20120167081 | Application Service Performance in Cloud Computing - Methods and apparatuses associated with cloud computing are disclosed herein. In embodiments, a method may include receiving, by a service monitor operating on a computing device, performance information associated with services provided by an application service with instances operating on a plurality of virtual machines deployed on a plurality of servers of a computing cloud. The service monitor, in response, may identify one or more requests of the computing cloud to attempt to improve performance of the services provided by the application service. Other embodiments may be disclosed and claimed. | 06-28-2012 |
20120167082 | DIRECT SHARING OF SMART DEVICES THROUGH VIRTUALIZATION - In some embodiments devices are enabled to run virtual machine workloads directly. Isolation and scheduling are provided between workloads from different virtual machines. Other embodiments are described and claimed. | 06-28-2012 |
20120167083 | COALESCING VIRTUAL MACHINES TO ENABLE OPTIMUM PERFORMANCE - Virtual machine resources may be monitored for optimal allocation. One example method may include generating a list of virtual machines operating in a network and surveying the virtual machines to determine their current resource usage data. The method may also include ranking the virtual machines based on their current resource usage data to indicate available resources of the virtual machines, and assigning the virtual machines to at least one business application service group (BASG) that requires the available resources of the virtual machines. | 06-28-2012 |
20120167084 | AUTOMATIC SIMULATION OF VIRTUAL MACHINE PERFORMANCE - A method and apparatus of simulating performance characteristics of a virtual machine are disclosed. An example method may include selecting and inserting a virtual machine into a business application service group that includes a plurality of enterprise network devices, initiating a simulation sequence for a predetermined amount of time, recording results of the simulation sequence, and storing the results in memory. | 06-28-2012 |
20120167085 | SHARING MULTIPLE VIRTUAL FUNCTIONS TO A HOST USING A PSEUDO PHYSICAL FUNCTION - A method is provided comprising: enumerating a group of available virtual functions corresponding to the physical function; mapping the group of available virtual functions to a non-transparent port of the switch by creating a copy of a configuration space for the physical function while assigning unique vendor and device identifications for different classes of devices, wherein the mapping creates a pseudo physical function exposing a subset of the SR-IOV capability from the configuration space for the physical function; receiving a request to access the physical function from the single host; and providing the pseudo physical function to the single host for loading on the single host, in response to the receiving of the request, wherein the pseudo physical function is designed to call management functions of the single host to enable the group of available virtual functions in a local hierarchy of the single host. | 06-28-2012 |
20120167086 | OPERATING METHODS FOR VIRTUAL MACHINE SERVER AND NODE AND APPARATUSES THEREOF - Disclosed are operating methods of a server and a node, and apparatuses thereof, and more particularly, to operating methods of a virtual machine server and a virtual machine node, and apparatuses thereof. The operating method of the virtual machine server according to the exemplary embodiment of the present invention includes: generating index information of blocks for booting a virtual machine in a virtual machine image; generating list information of neighboring nodes for a target node; and transferring the index information and the list information to the target node. | 06-28-2012 |
20120167087 | APPARATUS AND METHOD FOR DRIVING VIRTUAL MACHINE, AND METHOD FOR DEDUPLICATION OF VIRTUAL MACHINE IMAGE - Disclosed herein is a method for deduplication of virtual machine images, including: generating a plurality of chunks by dividing the virtual machine images into predetermined units; determining chunks corresponding to identifiers previously stored in a repository as chunks previously stored in the repository using identifiers for each of the plurality of chunks; storing chunks that have not been stored in the repository among the plurality of chunks in the repository using the chunks previously stored in the repository; and storing inner position information on each of the plurality of chunks for the virtual machine images in image specifications corresponding to the virtual machine images. | 06-28-2012 |
20120167088 | SYSTEM FOR MOVING INDIVIDUAL VIRTUAL MACHINE AMONG CLOUD COMPUTING ENVIRONMENT AND METHOD THEREOF - Disclosed is a method for moving a personal virtual machine among clouds in a cloud computing environment, including: requesting metadata for driving a virtual machine allocated to a user and an updated file in a first cloud; generating a virtual machine image in a second cloud based on the metadata and the updated file; and reproducing the virtual machine by using the generated virtual machine image. | 06-28-2012 |
20120167089 | SOFTWARE COMPONENT AND DEVICE FOR THE AUTOMATED PROCESSING OF MULTI-PURPOSE DATA, EMPLOYING FUNCTIONS REQUIRING DIFFERENT SECURITY LEVELS OR RESPONSIBILITY LIMITS - A software component for automated processing of multi-usage data, implementing functions requiring various levels of security or limits of responsibility. The software component includes a plurality of virtual machines, each virtual machine being adapted for executing at least one function requiring a level of security or a limit of responsibility which is predetermined and a hypervisor adapted for controlling execution of the plurality of virtual machines. | 06-28-2012 |
20120167090 | HYPERVISOR FOR STARTING A VIRTUAL MACHINE - A hypervisor obtains an agent with an obtaining device. A file for implementing an agent of the virtual machine is obtained in response to an instruction to start the virtual machine. An agent replacing device stores the obtained file to a specified location in a file system of the virtual machine. A virtual machine starting device starts the virtual machine. | 06-28-2012 |
20120174094 | VIRTUAL APPLIANCE DEPLOYMENT - A method, article of manufacture, and apparatus for efficiently processing information. In some embodiments, this includes determining a physical appliance to virtualize, creating a virtual appliance based on the physical appliance, and storing the virtual appliance in a storage array. In some embodiments, creating the virtual appliance includes creating the virtual appliance from a template | 07-05-2012 |
20120174095 | AUTOMATICALLY AND SECURELY CONFIGURING AND UPDATING VIRTUAL MACHINES - A method and program product for automatically and securely updating software on a virtual machine (VM). A VM coming online in a virtualized server is detected. A current version of the software that is installed on the VM is determined. The current version is determined to not match an updated version of the software available from a remote update server via a network. The updated version of the software is received from the remote update server and via the network without the VM being connected to the network. A confirmation is received indicating that the updated version of the software is installed on the VM. In response to receiving the confirmation, the VM is connected to the network. | 07-05-2012 |
20120174096 | SYSTEMS AND METHODS TO LOAD APPLICATIONS AND APPLICATION DATA INTO A VIRTUAL MACHINE USING HYPERVISOR-ATTACHED VOLUMES - Systems, methods, and software are described herein for operating a data management system, including a virtual machine agent running within a virtual machine responding to an attach-triggering event, determining selected storage volumes to be attached to the virtual machine based on a request generated by the virtual agent in response to the attach-triggering event, and dynamically attaching the selected storage volumes to the virtual machine. | 07-05-2012 |
20120174097 | METHODS AND SYSTEMS OF MANAGING RESOURCES ALLOCATED TO GUEST VIRTUAL MACHINES - A method of managing one or more resources allocated to a plurality of guest virtual machines. The method comprises executing a plurality of guest virtual machines in a host platform managing at least one resource, allocating the at least one resource among the plurality of guest virtual machines, separately collecting, from each the guest virtual machine, resource usage data by locally monitoring at least one of an operating system operation, an executed procedure, and an executed tread in each the guest virtual machine, gathering the resource usage data from each the guest virtual machine, and reallocating the at least one resource among the plurality of guest virtual machines according to an analysis of the gathered resource usage data from the plurality of guest virtual machines. | 07-05-2012 |
20120174098 | COMPUTER SYSTEM - A computer system enables two virtual machines | 07-05-2012 |
20120174099 | AUTOMATED TUNING IN A VIRTUAL MACHINE COMPUTING ENVIRONMENT - Automatic optimization of application performance parameters is provided. This optimization may be provided by identifying the resource demands of applications using virtual resources, identifying the virtual resources that can be available to the applications, and allocating the virtual resources to the applications to be run on a virtual machine. | 07-05-2012 |
20120174100 | PLUGGABLE ACTIVATION ENGINE EXTENSIONS VIA VIRTUAL DISKS - A computer-implemented method configures an extended virtual machine. A virtual image is executed to initialize a virtual machine. An activation engine is activated to search for special extensions in the virtual image. The virtual machine scans at least one virtual disk for script to implement the special extensions as virtual machine extensions, such that the virtual machine reads and executes the extensions prior to being available for use. | 07-05-2012 |
20120174101 | Detection and Management of Dynamic Migration of Virtual Environments - A mechanism is provided to detect that a dynamic migration of a virtual environment is in progress or has been executed during a hardware or software scan without requiring instrumentation of applications running within the virtual machine. The mechanism relies on a particular sequencing and usage of application programming interfaces and commands that scanning technology provides, associated with the modularity of the scans and on the external virtual machine enabler component running on the host. The mechanism may detect a dynamic migration and take proper actions based on the system configuration. The actions may include discarding the output of the scan executed across a dynamic migration and repeat the scan. The actions may also include notifying the initialization subsystem of the non-migration-safe application to execute a script or program to address migration safety issues. One particular program or script may be an agent ID regeneration program or script. | 07-05-2012 |
20120174102 | SYSTEM AND METHOD FOR ACCELERATING INPUT/OUTPUT ACCESS OPERATION ON A VIRTUAL MACHINE - A system and method for accelerating input/output (IO) access operation on a virtual machine, The method comprises providing a smart IO device that includes an unrestricted command queue (CQ) and a plurality of restricted CQs and allowing a guest domain to directly configure and control IO resources through a respective restricted CQ, the IO resources allocated to the guest domain. In preferred embodiments, the allocation of IO resources to each guest domain is performed by a privileged virtual switching element. In some embodiments, the smart IO device is a HCA and the privileged virtual switching element is a Hypervisor. | 07-05-2012 |
20120174103 | COMPUTER SYSTEM, DEVICE SHARING METHOD, AND DEVICE SHARING PROGRAM - In a storage system provided with a plurality of storage modules, the rated power consumption can be reduced. The storage system is provided with a charge control unit. The charge control unit stops, when detecting that a predetermined number of a plurality of battery modules are during battery charging, the battery charging in the remaining battery modules. | 07-05-2012 |
20120174104 | Techniques for Placing Applications in Heterogeneous Virtualized Systems While Minimizing Power and Migration Cost - N applications are placed on M virtualized servers having power management capability. A time horizon is divided into a plurality of time windows, and, for each given one of the windows, a placement of the N applications is computed, taking into account power cost, migration cost, and performance benefit. The migration cost refers to cost to migrate from a first virtualized server to a second virtualized server for the given one of the windows. The N applications are placed onto the M virtualized servers, for each of the plurality of time windows, in accordance with the placement computed in the computing step for each of the windows. | 07-05-2012 |
20120180040 | TECHNIQUES FOR PERSONALIZING FEED CONTENT IN VIRTUALIZED COMPUTING ENVIRONMENTS - A technique for personalizing feed content in virtualized computing environments includes accessing, using a data processing system, metadata associated with a virtual machine instance that is stored in a database. The technique also includes prioritizing, using the data processing system, feed content delivered to a client associated with the virtual machine instance based on the metadata. | 07-12-2012 |
20120180041 | TECHNIQUES FOR DYNAMICALLY DISCOVERING AND ADAPTING RESOURCE AND RELATIONSHIP INFORMATION IN VIRTUALIZED COMPUTING ENVIRONMENTS - A technique for dynamically discovering and adapting resource and relationship information in virtualized computing environments including receiving, by a discovery manager, a discovery request for a virtual machine image that was created within a virtualized computing environment. The technique also includes using the discovery manager to discover resource and relationship information for the virtual machine image in response to the discovery request. The technique also includes invalidating, using the discovery manager, the resource and relationship information in response to receipt of an invalidate request for the virtual machine image. The resource and relationship information is then stored in a database using the discovery manager. | 07-12-2012 |
20120180042 | Mechanism for Memory State Restoration of Virtual Machine (VM)-Controlled Peripherals at a Destination Host Machine During Migration of the VM - A mechanism for memory change tracking during migration of a virtual machine (VM) with VM-controlled assigned peripherals is disclosed. A method of the invention includes receiving a state of a VM-controlled peripheral device running on a destination host machine from an origin host machine, wherein the VM is executed by a hypervisor of the destination host machine, providing the received state of the peripheral device to at least one of the VM, a memory of the VM, or the peripheral device in order to cause the peripheral device to be restored to that state on the destination host machine, and interacting with the VM in order to cause the VM to start the peripheral device in the restored state. | 07-12-2012 |
20120180043 | Mechanism for Memory State Transfer of Virtual Machine-Controlled Peripherals During Migration of the Virtual Machine - A mechanism for memory change tracking during migration of a virtual machine (VM) with VM-controlled assigned peripherals is disclosed. A method of the invention includes interacting with a VM executed by a hypervisor of a origin host machine in order to cause the VM to prevent a state of a peripheral device controlled by the VM from changing, receiving the state of the peripheral device from at least one of the VM, a memory of the VM, or the peripheral device, and transferring the obtained state of the peripheral device to a destination host machine as part of a migration process of the VM. | 07-12-2012 |
20120180044 | Communications Between Virtual Machines That Have Been Migrated - A method, apparatus, and computer program product for managing communications between a plurality of applications are presented. A determination is made as to whether an address in a message is a prior address for a second application in the plurality of applications in response to receiving a message from a first application in the plurality of applications. The message is sent onto a virtual network configured to handle prior addresses for the plurality of applications in response to the address in the message being the prior address for the second application being located on another virtual machine from the first application. | 07-12-2012 |
20120180045 | DETERMINING AN OPTIMAL COMPUTING ENVIRONMENT FOR RUNNING AN IMAGE - Aspects of the present invention provide an approach that evaluates a locally running image (e.g., such as that for a virtual machine (VM)) and determines if that image could run more efficiently and/or more effectively in an alternate computing environment (e.g., a cloud computing environment). Specifically, embodiments of the present invention evaluate the local (existing/target) image's actual and perceived performance, as well as the anticipated/potential performance if the image were to be migrated to an alternate environment. The anticipated/potential performance can be measured based on another image that is similar to the existing/target image but where that image is running in a different computing environment. Regardless, the system would display a recommendation to the end user if it were determined that the image could perform better in the alternate environment (or vice versa). It is understood that performance is just one illustrative metric for which the system would perform a comparison. Other metrics such as cost, reliability, availability, etc. could also be used. | 07-12-2012 |
20120180046 | ADJUNCT PARTITION WORK SCHEDULING WITH QUALITY OF SERVICE ATTRIBUTES - Operating system-directed workload scheduling of an adjunct partition in a logically partitioned computer is selectively overridden to handle platform work requiring a Quality of Service (QoS) guarantee. Firmware may track outstanding requests for platform work for an adjunct partition, and in response to a request for platform work that requires a QoS guarantee, the firmware may assume or take over scheduling decisions for the adjunct partition from the operating system of an associated logical partition and schedule execution of the adjunct partition to ensure that the adjunct partition will be allocated sufficient execution resources to perform the platform work independent of the scheduling desires of the operating system. As a result, any platform work that potentially impacts the platform work of other adjunct partitions will not be held up as a result of an unwillingness or inability of the operating system to schedule execution of the adjunct partition. | 07-12-2012 |
20120180047 | PRESERVING TRAFFIC CLASS PRIORITY QoS WITH SELF-VIRTUALIZING INPUT/OUTPUT DEVICE - Frame wrapping such as Q-in-Q frame wrapping is used to enforce QoS prioritization with a converged or multi-function self-virtualizing IO resource such as a converged SRIOV network adapter. Frame wrapping may be used to avoid conflicting priorities from frames associated with virtual functions for which ACLs are not enforced, and thereby preserve QoS prioritization for lossless traffic classes. | 07-12-2012 |
20120180048 | ALLOCATING RESOURCES TO VIRTUAL FUNCTIONS - A method of assigning resources to an input/output adapter having multiple ports may include determining a first port of the input/output adapter that includes a first bandwidth availability. A first number of resources assigned to the first port may be modified. The method may further include comparing a total count of resources assigned the ports to a maximum number of resources, where the total count includes the modified first number of resources. At least a portion of the modified first number of resources to the first port may be allocated to the first port. | 07-12-2012 |
20120180049 | LAUNCHING SOFTWARE APPLICATION IN VIRTUAL ENVIRONMENT - In a virtual environment, a virtual machine (VM) host creates and displays one or more application shortcuts in the VM host. An application shortcut correlates to a software application that is installed in a VM guest. When the VM host receives an execution command for the application shortcut from the VM host, the VM host establishes a connection channel between the VM host and the VM guest and transmits a launch request to the VM guest via the connection channel. The VM guest launches the software application in response to the launch request. | 07-12-2012 |
20120180050 | METHOD AND SYSTEM FOR COORDINATING HYPERVISOR SCHEDULING - A method for executing an application on multiple nodes includes synchronizing a first clock of a first node and a second clock of a second node, configuring a first hypervisor on the first node to execute a first application domain and a first privileged domain, and configuring a second hypervisor on the second node to execute a second application domain and a second privileged domain. Configuring the hypervisor includes allocating a first number of cycles of the first clock to the first privileged domain. Configuring the second hypervisor includes allocating the first number of cycles of the first clock to the second privileged domain. The method further includes executing the application in the first application domain and the second application domain. The first application domain and the second application domain execute semi-synchronously and the first privileged domain and the second privileged domain execute semi-synchronously. | 07-12-2012 |
20120180051 | VIRTUAL MACHINE MIGRATION MANAGING METHOD, COMPUTER USING THE METHOD, VIRTUALIZER USING THE METHOD AND COMPUTER SYSTEM USING THE METHOD - In a system including a plurality of physical machines to execute virtual machines (VM | 07-12-2012 |
20120180052 | Application Performance with Support for Re-Initiating Unconfirmed Software-Initiated Threads in Hardware - A method, system and computer-usable medium are disclosed for managing prefetch streams in a virtual machine environment. Compiled application code in a first core, which comprises a Special Purpose Register (SPR) and a plurality of first prefetch engines, initiates a prefetch stream request. If the prefetch stream request cannot be initiated due to unavailability of a first prefetch engine, then an indicator bit indicating a Prefetch Stream Dispatch Fault is set in the SPR, causing a Hypervisor to interrupt the execution of the prefetch stream request. The Hypervisor then calls its associated operating system (OS), which determines prefetch engine availability for a second core comprising a plurality of second prefetch engines. If a second prefetch engine is available, then the OS migrates the prefetch stream request from the first core to the second core, where it is initiated on an available second prefetch engine. | 07-12-2012 |
20120185846 | NETWORK COMMUNICATIONS OVER SHARED LINKS IN A VIRTUALIZED ENVIRONMENT - A method of data processing includes a physical host executing a virtual machine monitor (VMM) that instantiates a plurality of virtual machines (VMs). The VMM supports processing of a virtual link manager (VLM) that deploys and configures a plurality of Layer 2 virtual links sharing bandwidth of a Layer 2 physical link between the physical host and an access switch. The VMM communicates parameters of the plurality of virtual links with the access switch. | 07-19-2012 |
20120185847 | SYSTEM AND METHOD FOR LOCKING MEMORY AREAS IN A JVM TO FACILITATE SHARING BETWEEN VIRTUAL SERVERS - A system and method for locking memory areas in a Java Virtual Machines (JVM) to facilitate sharing between virtual servers. In accordance with an embodiment, the system comprises a computing/virtual execution environment, which includes one or more physical computers, computer systems, server computers, or similar computing devices, a hypervisor, and a virtual machine or JVM. The JVM allows one or more application images to be run on the computer, wherein the application images can include application server instances. The application server uses a first large page in memory during its startup process, and is configured so that it signals to the JVM when the application server has completed its startup and has potentially pre-optimized important code. When the JVM receives this signal, it internally write-protects or locks the page used for application server code memory, so that any new JITed code or re-optimized code is subsequently written into a new large page. The original page will therefore be undisturbed and will always be shareable between the virtual servers running within the hypervisor. | 07-19-2012 |
20120185848 | TASK PRIORITIZATION MANAGEMENT IN A VIRTUALIZED ENVIRONMENT - A method, computer program product, and system for managing tasks for a virtual machine are presented. An amount of resources to perform a task for the virtual machine are identified in response to receiving a request to perform the task for the virtual machine in a set of data processing systems. A set of resources in the set of data processing systems available to complete the task for the virtual machine are identified. A set of priorities for a set of phases of the task are identified. Operations are scheduled on the set of resources to perform the task for the virtual machine based on the set of priorities identified for the set of phases of the task. | 07-19-2012 |
20120185849 | IDENTITY MANAGEMENT METHOD AND SYSTEM - An identity management method and system is disclosed. The method includes identity context management (ICM) clients monitoring access to Internet resources using dedicated Virtual Machines (VM). An ICM server monitors associations between Internet resource identifiers (IDs) and the Internet resources accessed by the VMs. The VMs register context for the ICM clients with the ICM server. An ICM client enables access to Internet resources and presentation of Webpages and Internet contents associated with the Internet resources within the associated Virtual Machine context. | 07-19-2012 |
20120185850 | CODE REARRANGER AND METHOD FOR REARRANGING CODE FOR A VIRTUAL MACHINE - Provided is an code rearranger and method for a virtual machine that uses a just-in-time-compiler (JITC) to manage a location of machine code stored in a code cache. The apparatus may rearrange consecutively-executable machine codes from among those stored in the code cache to be placed successively. | 07-19-2012 |
20120185851 | CALCULATING VIRTUAL MACHINE RESOURCE UTILIZATION INFORMATION - A system and method are provided for estimating physical resource utilization information for virtual machines. The system includes a model which indicates how virtual resource activities are transformed into physical resource activities for each virtual machine running on a server. A run-time calibrator utilizes the model to estimate physical resource utiliation information for each individual virtual machine on the server. | 07-19-2012 |
20120185852 | STORAGE AREA NETWORK ACCESS FOR VIRTUAL MACHINES - Techniques for enabling a virtual machine (VM) executing on a physical node to access a partition of a storage system are described. One embodiment associates an identifier with the VM for use when communicating with the storage system, wherein the identifier differs from a default identifier of a host bust adapter (HBA) usable by the physical node to communicate with the storage system. | 07-19-2012 |
20120185853 | Virtual Input-Output Connections for Machine Virtualization - A computing method includes specifying a virtual computer system including at least one virtual or physical compute node, which produces data packets having respective source attributes. At least one Virtual Input-Output Connection (VIOC) that is uniquely associated with the values of the source attributes is defined. A policy specifying an operation to be performed with regard to the VIOC is defined. The virtual computer system is implemented on a physical computer system, which includes at least one physical packet switching element. The physical packet switching element is configured to identify the data packets whose source attributes have the values that are associated with the VIOC and to perform the operation on the identified data packets, so as to enforce the policy on the VIOC. | 07-19-2012 |
20120185854 | SYSTEM AND METHOD TO IMPROVE MEMORY USAGE IN VIRTUAL MACHINES RUNNING AS HYPERVISOR GUESTS - A system and method to improve memory usage in virtual machines running as hypervisor guests. In accordance with an embodiment, the invention provides a system for changing the memory usage of a virtual machine on request from a hypervisor, comprising: a hypervisor; a guest operating system executing inside the hypervisor; a communication channel between the hypervisor and the guest operating system; a balloon driver in the guest operating system; a virtual machine for executing a software application; a communication channel between the balloon driver and the virtual machine; a memory space or heap for use by the virtual machine in storing software objects and pointers as part of the software application; and a compacting garbage collector for use by the virtual machine. | 07-19-2012 |
20120185855 | IMAGE MANAGEMENT FOR VIRTUAL MACHINE INSTANCES AND ASSOCIATED VIRTUAL STORAGE - A storage management method provides an intermediary between storage subsystems and a virtual machine manager, e.g., a hypervisor. The storage management provides a unified user interface for configuration and unifies handling virtual machine image storage/retrieval, as well as management of virtual disk volumes provided to the operating systems and applications within virtual machine images. The images including the virtualized storage along with the entire state of the virtual machine form snapshots that can be cloned, stored when taking a virtual machine off-line and loaded when the virtual machine is being brought on-line. | 07-19-2012 |
20120185856 | COMPUTER SYSTEM AND MIGRATION METHOD OF VIRTUAL MACHINE - A computer system of the present invention is provided with an open flow controller | 07-19-2012 |
20120192175 | Method and System to Accelerate Copying of Virtual Machine Images - A system, program product, and method are provided for copying a virtual machine image. An image library comprising a plurality of system images is provided by a target data processing system. A source image selection is received at the target data processing system. A given image from the image library is selected by the target system based on the received source image. The selected given image is transformed into a copy of the virtual machine image by receiving blocks from a source system that are part of the virtual machine image but not a part of the selected given image, such that only the blocks missing from the selected given image are transferred from the source system to the target system in order to form the copy of the virtual machine image. The source image is preferably a virtual data processing system image. | 07-26-2012 |
20120192176 | Providing Seamless Copy-Paste Operations in a Virtual Machine Environment - A hypervisor running on a host computer system receives data that was requested to be copied on a client device using one of a plurality of copy operations supported by the client device. The hypervisor transfers the data and an identifier of a copy operation used on the client device to a virtual machine hosted by the host computer system to allow a user to paste the data in the virtual machine via a paste operation that corresponds to the copy operation used on the client device. | 07-26-2012 |
20120192177 | FEATURE DRIVEN BACKEND SWITCHING - A method and system for switching backend devices in a virtual machine system based on features of the backend devices. In one embodiment, a computer system includes at least a first device and a second device that function as a backend device. The first device is currently used by a guest of the computer system as the backend device. A hypervisor of the computer system receives an indication from the guest to use a feature of the backend device. The hypervisor determines whether the use of the feature of the backend device triggers a switching condition. In response to a determination that the switching condition is triggered, the guest is switched to use the second device as the backend device. The switching operation can be transparent to the guest. | 07-26-2012 |
20120192178 | RESETTING A VIRTUAL FUNCTION THAT IS HOSTED BY AN INPUT/OUTPUT ADAPTER - A computer-implemented method may include selecting a first virtual function that is hosted by a hardware input/output adapter and identifying a first logical partition that is associated with the first virtual function. The computer-implemented method may include retrieving first data corresponding to the first virtual function from a table in response to determining that the first logical partition has initiated a shutdown operation. The computer-implemented method may also include creating a first reset virtual function structure that includes at least a portion of the first data. The computer-implemented method may include sending the first reset virtual function structure to a dispatchable hypervisor. | 07-26-2012 |
20120192179 | Method and Apparatus for Distributing a Composite Software Stack as a Virtual Machine Image - Methods and apparatus are provided for distributing a composite software stack as a virtual appliance. A new virtual appliance is published by obtaining a parent virtual appliance; configuring the new virtual appliance; obtaining file level differences between the parent virtual appliance and the new virtual appliance; and publishing the new virtual appliance as a patch comprising the file level differences relative to the parent virtual appliance. The file level differences comprise one or more of additions, deletions and modifications to the parent virtual appliance. An update to a given virtual appliance can be published as a fix pack, wherein the fix pack comprises file level differences relative to the given virtual appliance. An end user obtains a desired virtual appliance is obtained (for example, from a library of virtual appliances) by selecting the desired virtual appliance; obtaining metadata for the desired virtual appliance comprising an identifier of a patch file and a parent virtual appliance; and applying the patch file to the parent virtual appliance to get a modified virtual appliance. | 07-26-2012 |
20120192180 | PLAYING TELEVISION PROGRAM IN VIRTUAL ENVIRONMENT - In a virtual environment, a virtual machine (VM) host includes a host screen and a first host window displayed in the host screen. A VM guest is instantiated on the VM host. The VM guest includes a guest screen that is presented in the first host window. The VM launches a television player application and instructs the television player application to play a television program in the guest screen. The VM host generates one or more key words according to program information of the television program and sends a query request with the one or more key words to a remote server via a network. After receiving return information from the remote server, the VM host creates a second host window displayed in the host screen and displays the return information in the second host window. | 07-26-2012 |
20120192181 | CONSENT-BASED VIRTUAL MACHINE MIGRATION - A system, method, and computer program product for controlling migration of a VM operable on a first site and a second site. The system includes an identify component for identifying the second site; a request component for sending a consent request message to a software component, wherein the consent request message comprises at least one of an identifier of the VM and an identifier of the second site; a calculate component, operable on the software component and responsive to receiving the consent request message, for determining consent for the second site; a send component, responsive to a positive determination, for sending a consent message; and a migrate component, responsive to receiving the consent message, for migrating the VM from the first site to the second site. | 07-26-2012 |
20120192182 | MIGRATION OF VIRTUAL MACHINES - To migrate two or more virtual machines in a source hypervisor to a target hypervisor, a list of active and connected virtual machines in the source hypervisor is acquired. Connections between the source virtual machines are rerouted to a buffer so that data flowing between the source virtual machines is captured. The source virtual machines are migrated to a target hypervisor and are connected in the same manner as in the source hypervisor. The buffered data is migrated to the respective migrated virtual machines, and the target virtual machines are activated. The virtual machines can be migrated in order of data flow dependency such that the least dependent virtual machine is migrated first. | 07-26-2012 |
20120192183 | DYNAMIC NETWORK ADAPTER QUEUE PAIR ALLOCATION - A method of dynamically changing an allocation of queue pair resources to an operating system image running in virtualized environment receives a notification at the operating system image of a change in allocation of queue pair resources to the operating system image. The method queries a physical network adapter through a hypervisor to obtain a new queue pair resource count that will exist in the virtualized system after the change in allocation. The method performs a hashing operation in the operating system image using the new queue pair resource count to distribute transmit traffic among the queue pair resources that will exist in the virtualized system after the change in allocation. | 07-26-2012 |
20120192184 | VIRTUAL IMAGE DEPLOYMENT WITH A WARM CACHE - A cache image including only cache entries with valid durations of at least a configured deployment date for a virtual machine image is prepared via an application server for the virtual machine image. The virtual machine image is deployed to at least one other application server as a virtual machine with the cache image including only the cache entries with the valid durations of at least the configured deployment date for the virtual machine image. | 07-26-2012 |
20120192185 | VIRTUAL IMAGE OVERLOADING FOR SOLUTION DEPLOYMENT - At least one master overloaded virtual image is deployed, by a virtual image configuration device, to a hypervisor cloud that includes a group of servers, each of the group of servers configured based upon a hypervisor platform to support at least one virtual machine. A service solution is instantiated as a group of virtual machines associated with at least one of the group of servers, based upon at least one master overloaded virtual image, where the service solution includes a platform agnostic pattern independent of the hypervisor platform. Startup is initiated for each of the instantiated group of virtual machines. | 07-26-2012 |
20120198440 | Mechanism for Communication in a Virtualization System Via Multiple Generic Channels of a Paravirtualized Device - A mechanism for communication in a virtualization system via multiple generic channels of a paravirtualized device is disclosed. A method of the invention includes creating one or more communication devices for each VM managed by a hypervisor of a host machine, exposing a plurality of ports on top of each created communication device to the VM that the communication device is associated with, specifying options for each port to enable the port to be used to establish a communication channel between the hypervisor and the VM, the options including a port name to associate with both of the port and the communication channel of the port, and creating a direct connection between two or more VMs via their associated communication devices in order to enable direct communication between the two or more VMs by utilizing the ports of their associated communication devices. | 08-02-2012 |
20120198441 | Bypass Mechanism for Virtual Computing Infrastructures - In accordance with one embodiment of the present disclosure, a system includes one or more computer systems including a memory, one or more processors, and a bypass switch with an open position and a closed position. The one or more computer systems further include computer-executable program code. The computer-executable program code includes one or more virtual machines modules including computer-executable instructions configured, when executed, to cause the one or more processors to implement one or more virtual machines that host one or more guest operating systems and one or more applications. The computer-executable program code further includes a virtual bypass switch module including computer-executable instructions configured, when executed, to cause the one or more processors to, responsive to the availability of the one or more applications, forward packets received on the first physical network interface to at least one of the one or more virtual machines. | 08-02-2012 |
20120198442 | Virtual Container - A virtual container includes an application computer program and metadata representing the operating system requirements. The virtual container is stored on a computer-readable storage medium for delivery to an end user for copying to a target computing device. The virtual container is equivalent to a virtual appliance minus an operating system. The virtual container is executable on an existing logical partition (LPAR) of the target computing device that provides a virtual operating system for the application of the virtual container, where the virtual container is specifically executable within a workload partition (WPAR) of the LPAR. The virtual container is also executable on a new LPAR of the target computing device, where the end user provides a guest operating system to run within the new partition for the virtual container, such that addition of the guest operating system to the virtual container renders the virtual container as a virtual appliance. | 08-02-2012 |
20120198443 | STORAGE RECLAMATION SYSTEMS AND METHODS - Efficient and effective storage reclamation systems and methods are presented. In one embodiment, a storage reclamation method comprises: receiving an indication from a virtual machine that a reclamation opportunity for reclamation of a physical storage resource is available; and performing a reclamation process of the physical storage resource in accordance with the indication from the virtual machine that the reclamation opportunity is available, wherein the reclamation process is performed while the virtual machine is running. The indication can be received from a virtual communication protocol (e.g., can include an in-band communication protocol, out-of band communication protocol, a socket based communication protocol or a serial character device communication protocol). | 08-02-2012 |
20120198444 | PLAYING TELEVISION PROGRAM IN VIRTUAL ENVIRONMENT - In a virtual environment, a virtual machine (VM) host includes a host screen and a first host window displayed in the host screen. AVM guest is instantiated on the VM host. The VM guest includes a guest screen that is presented in the first host window. The VM launches a television player application and instructs the television player application to play a television program in the guest screen. The VM host registers a content server with a content receiver via a network. The content receiver receives one or more content items from the content server. The VM host creates a second host window displayed in the host screen and display the one or more content items in the second host window. | 08-02-2012 |
20120198445 | PLAYING TELEVISION PROGRAM IN VIRTUAL ENVIRONMENT - In a virtual environment, a virtual machine (VM) host includes a host screen and a first host window displayed in the host screen. A VM guest includes a guest screen presented in the first host window. The VM launches a television player application and instruct the television player application to play a television program in the guest screen. The VM host registers a VoIP service provider, create a second host window displayed in the host screen, and present a VoIP user interface in the second host window. When the VM host receives a dial-up number input by a user from the VoIP user interface, the VM host establishes a conversation channel between the VM host and a target client associated with the dial-up number input. The VM host and the target client thus may exchange voice data packets over the conversation channel. | 08-02-2012 |
20120198446 | Computer System and Control Method Therefor - A hypervisor records error device information in a virtual PCI bridge, and makes error information in a device consistent with error information in a PCI bridge. A computer system includes a CPU, memory, and physical device PCI tree. In the memory, virtual machines capable of mutually independently acting, and a hypervisor that manages the virtual machines are existent. The physical device PCI tree includes physical bridges and devices. The physical bridge has a register in which information specifying the device is recorded. The virtual machine includes a virtual CPU, virtual memory, and virtual device PCI tree. The virtual device tree includes virtual bridges and virtual devices. The virtual bridge has a virtual memory space in which information specifying the virtual device in which an error has occurred is recorded. The hypervisor includes an interrupt handling program that is a virtual bridge modification program which modifies information in the virtual bridge. | 08-02-2012 |
20120198447 | DETERMINING AN ALLOCATION CONFIGURATION FOR ALLOCATING VIRTUAL MACHINES TO PHYSICAL MACHINES - An information processing apparatus having a prediction section that determines the predicted peak usage amount of physical resources for each time interval for individual clusters each including a plurality of virtual machines having the same function; a setting section sets a constraint that ensures that, for individual combinations of a first physical machine, a second physical machine, and a time interval, the total predicted peak usage amount of a physical resource predicted for the first physical machine if the second physical machine stops during the time interval does not exceed a physical resource amount prepared for the first physical machine; and an allocation-configuration deriving section derives an allocation configuration by calculating, in accordance with the constraint, a solution to an optimization problem for minimizing, as an objective function, the sum total of the physical resource amounts of all of physical machines to which the virtual machines are allocated. | 08-02-2012 |
20120198448 | STORAGE MANAGER FOR VIRTUAL MACHINES WITH VIRTUAL STORAGE - A storage manager connects containers of virtual machine (VM) images and virtual storage device data within hosts. The storage management provides a set of northbound application programming interfaces (APIs) that allow configuration of images associated with VM images and virtual storage devices provided to the operating systems and applications within virtual machine images. The northbound APIs, include methods for creating a virtual storage container that contains storage for the VM instance and also at least one virtual storage device to be provided to an operating system executed by the VM, a method for causing an attach of the virtual storage container to the host and a method for causing a deployment of the virtual storage device within the host. A set of southbound APIs are provided by the host, including at least a method that creates virtual storage devices and a method that attaches virtual storage devices to the host. | 08-02-2012 |
20120198449 | Saving Unsaved User Process Data In One Or More Logical Partitions Of A Computing System - Methods, systems, and products are disclosed for saving unsaved user process data in one or more logical partitions (‘LPARs’) of a computing system, the computing system having installed upon it a hypervisor for administering the LPARs, each LPAR supporting an operating system, each operating system supporting one or more user processes, that include: detecting, by the hypervisor, a predefined event that the hypervisor interprets as an indication that unsaved data of user processes for at least one LPAR should be saved; transmitting, by the hypervisor in response to detecting the predefined event, a save instruction to the operating system supported by the LPAR; and instructing, by the operating system in response to receiving the save instruction, each user process supported by the operating system to save any unsaved data. | 08-02-2012 |
20120198450 | Method to Dynamically Provision Additional Computer Resources to Handle Peak Database Workloads - A method to handle peak database workloads may include requesting resources, receiving virtual-machine information in response to the requesting, allocating first and second portions of a workload according to the virtual-machine information, processing the first portion on a virtual machine to generate a first result, creating a cloned virtual machine with a virtualization layer, and cloning with the virtualization layer a storage allocated to the virtual machine to create a cloned storage. | 08-02-2012 |
20120198451 | PREPROVISIONING VIRTUAL MACHINES - According to one aspect of the present disclosure, a method and technique for preprovisioning virtual machines is disclosed. The method includes analyzing requests for a plurality of different virtual machine (VM) configurations where each VM configuration has a plurality of configuration attributes. The method also includes determining a request frequency corresponding to each requested VM configuration, determining a configuration of each provisioned VM, and responsive to determining the configuration of each provisioned VM, determining a configuration for a preprovisioned VM based on a request frequency of the different VM configurations and the configurations of the provisioned VMs. The method further includes creating the preprovisioned VM. | 08-02-2012 |
20120198452 | CONTROLLING DEPTH AND LATENCY OF EXIT OF A VIRTUAL PROCESSOR'S IDLE STATE IN A POWER MANAGEMENT ENVIRONMENT - A mechanism is provided in a logically partitioned data processing system for controlling depth and latency of exit of a virtual processor's idle state. A virtualization layer generates a cede latency setting information (CLSI) data. Responsive to booting a logical partition, the virtualization layer communicates the CLSI data to an operating system (OS) of the logical partition. The OS determines, based on the CLSI data, a particular idle state of a virtual processor under a control of the OS. Responsive to the OS calling the virtualization layer, the OS communicates the particular idle state of the virtual processor to the virtualization layer for assigning the particular idle state and wake-up characteristics to the virtual processor. | 08-02-2012 |
20120198453 | VIRTUALIZATION OF STORAGE BUFFERS USED BY ASYNCHRONOUS PROCESSES - The amount of host real storage provided to a large guest storage buffer is controlled. This control is transparent to the guest that owns the buffer and is executing an asynchronous process to update the buffer. The control uses one or more indicators to determine when additional host real storage is to be provided. | 08-02-2012 |
20120204172 | PROCESSOR EXCLUSIVITY IN A PARTITIONED SYSTEM - A computer system including a plurality of physical processors (CPs) having physical processor performances (PCPs), a plurality of logical processors (LCPs), a plurality of logical partitions (LPARs) where each partition includes one or more of the logical processors (LCPs), and a system assist processor having a control element. The control element controls the virtualization of the physical processors (CPs), the logical partitions (LPARs) and the logical processors (LCPs) and allocates the physical processor performances (PCPs) to the logical partitions (LPARs). The control element operates to exclusively bind logical processors (LCPs) to the physical processors (CPs). For a logical processor (LCP) exclusively bound to a physical processor (CP), the logical processor (LCP) has exclusive use of the underlying physical processor (CP) and no other logical processor (LCP) can be dispatched on the underlying physical processor (CP) even if the underlying physical processor (CP) is otherwise available. | 08-09-2012 |
20120204173 | VIRTUAL MACHINE CONFIGURATION SYSTEM - A computing device configures virtual machines using configuration commands. Each of the virtual machines may boot from a corresponding virtual machine image file. As a virtual machine boots or executes, the virtual machine may read from or write to application and operating system files included in the corresponding virtual machine image file. Prior to the virtual machine booting, the computing device may get or set configuration properties of the operating system and applications to be booted in a respective virtual machine by reading from or writing to the application and operating system files included in the corresponding virtual machine image file. The computing device may execute configuration commands to get or set the configuration properties of the operating system and the applications. | 08-09-2012 |
20120204174 | MANAGING ASSIGNMENT OF PARTITION SERVICES TO VIRTUAL INPUT/OUTPUT ADAPTERS - Automated management of partition service assignment to a virtual input/output (VIO) adapter is provided. Responsive to creation of a new partition service in a data processing system, a partition priority number is determined for the new partition service, and, for each VIO adapter, the partition priority numbers of the partition services currently assigned to that VIO adapter are summed. For a VIO adapter with a lowest sum of partition priority numbers, logic determines whether assigning the new partition service to that VIO adapter results in its summed partition priority number being above a predefined threshold, and the new partition service is assigned to a VIO adapter based, at least in part, on whether assigning the new partition service to the VIO adapter with the lowest sum of partition priority numbers results in that VIO adapter's summed partition priority number exceeding the predefined threshold. | 08-09-2012 |
20120204175 | VIRTUAL COMPUTER SYSTEM AND CONTROL METHOD THEREOF - When a failure occurs in an LPAR on a physical computer under an SAN environment, a destination LPAR is set in another physical computer to enable migrating of the LPAR and setting change of a security function on the RAID apparatus side is not necessary. When a failure occurs in an LPAR generated on a physical computer under an SAN environment, configuration information including a unique ID (WWN) of the LPAR where the failure occurs is read, a destination LPAR is generated on another physical computer, and the read configuration information of the LPAR is set to the destination LPAR, thereby enabling migrating of the LPAR when the failure occurs, under the control of a management server. | 08-09-2012 |
20120204176 | METHOD AND DEVICE FOR IMPLEMENTING LOAD BALANCE OF DATA CENTER RESOURCES - The present disclosure relates to a method and a device for implementing load balance of data center resources, and the method includes: obtaining current utilization ratios of properties of each physical machine in a scheduling domain, and determining, according to the current utilization ratios, physical machines that have residual capability satisfying a current allocation task, where the properties include a CPU load, a memory load and a network load;predicting a load degree of each of the physical machines on the assumption that the virtual machine is allocated by each of the physical machines; selecting, according to the predicted load degree of each of the physical machines, a physical machine from the physical machines to allocate the virtual machine. By adopting the solutions of the present disclosure, the problem of the load imbalance caused by the inconsistency of specification requirements of users and specifications of physical servers is solved. | 08-09-2012 |
20120204177 | METHOD, SYSTEM AND PROGRAM PRODUCT FOR CAPTURING CENTRAL PROCESSING UNIT (CPU) UTILIZATION FOR A VIRTUAL MACHINE - The present invention allows CPU utilization for a virtual machine (VM) to be captured from a perspective of a host. Specifically, under the present invention, a work request having a set (e.g., one or more) of jobs is received by a host and allocated to a virtual machine on a node. The work request is typically accompanied by an account identifier such as a multi-value billing code. Once the work request is allocated to a particular VM on the node, a “startacct” script is issued, and a first account record is created. Thereafter, the work request is processed and the CPU utilization needed to complete the set of jobs is monitored. Once the set of jobs is completed, an “endacct” script is issued and a second account record is created. Among other things, the second account record includes the monitored CPU utilization and the account identifier. | 08-09-2012 |
20120210318 | VIRTUAL SWITCH INTERCEPTOR - Application management is facilitated by observing messages communicated amongst virtual applications external to application-hosting virtual machines. In one instance, the messages can be observed from within a virtual switch outside hosting virtual machines. One or more actions can subsequently be performed as a function of the messages such as but not limited to application monitoring as well as message routing, filtering, and/or transformation. | 08-16-2012 |
20120210319 | NETWORK COMMUNICATIONS OVER SHARED LINKS IN A VIRTUALIZED ENVIRONMENT - A method of data processing includes a physical host executing a virtual machine monitor (VMM) that instantiates a plurality of virtual machines (VMs). The VMM supports processing of a virtual link manager (VLM) that deploys and configures a plurality of Layer 2 virtual links sharing bandwidth of a Layer 2 physical link between the physical host and an access switch. The VMM communicates parameters of the plurality of virtual links with the access switch. | 08-16-2012 |
20120216187 | MULTILEVEL SUPPORT IN A NESTED VIRTUALIZATION ENVIRONMENT - A method of handling an event occurring in a nested virtualization computing environment is provided, wherein N hypervisors are executed nestedly, with a first hypervisor running at level zero (L0) directly over a host machine, and other hypervisors nestedly running at levels L1 to Ln, respectively. The method comprises trapping an event generated by software running at level Ln; determining a hypervisor x at level Lx designated for handling the trapped event; and wherein the hypervisor x emulates features needed for performing the trapped event for the software running at the level Ln. | 08-23-2012 |
20120216188 | EXPOSING A DMA ENGINE TO GUESTS IN A VIRTUAL MACHINE SYSTEM - A method and system for copying data within a guest using a direct memory access (DMA) engine. A computer system hosts a hypervisor and a guest. The hypervisor detects an inquiry of the guest about a DMA engine. In response to the inquiry, the hypervisor indicates to the guest that a DMA engine is available. The hypervisor then receives a DMA request from the guest, the DMA request indicating a source address and a target address for copying data. Both the source address and the target address are within an address space allocated to the guest. Based on one or more data transfer policies, the hypervisor determines whether to direct the DMA engine to copy the data for the guest. | 08-23-2012 |
20120216189 | Methods, Devices and Computer Program Products for Emulating a Physical Hard Drive as Multiple Virtual Hard Drives - A virtual machine includes a virtual operating system operating in multiple modes. A hypervisor emulates a physical hard drive to the virtual operating system as multiple virtual hard drives. The hypervisor controls access to the multiple virtual hard drives by the virtual operating system. Each of the multiple virtual hard drives is dedicated to a different one of the multiple modes of operation. Each virtual hard drive is allocated a portion of storage space by the hypervisor that is a subset of a total amount of storage space available in the physical hard drive. Changes to a subset of the storage space allocated to a virtual hard drive dedicated to one mode of operation do not affect changes to a subset of the storage space allocated to another virtual hard drive dedicated to another mode of operation. | 08-23-2012 |
20120216190 | On Demand Scan Engine Deployment - Methods, systems, and apparatus, including computer programs encoded on a computer storage medium, for on-demand scan engine deployment. In one aspect, a method includes obtaining parameters of a scheduled scan, the parameters defining computer assets to be scanned and performance requirements. The method includes obtaining historical data describing prior scans that were performed according to similar parameters. The method includes determining performance measures of the prior scans using the historical data. The method includes calculating resource requirements based on the parameters and the performance measures, the resource requirements being requirements that are determined to be needed to meet the performance requirements of the scheduled scan. The method includes determining a number of scan engines required to meet the performance requirements based on the resource scan requirements. The method includes adjusting a number of scan engines in virtual machines so that the number of scan engines are available. | 08-23-2012 |
20120216191 | CONFIGURING UNIVERSAL SERIAL BUS DEVICE IN VIRTUAL ENVIRONMENT - In a computer system, virtual machine (VM) guests are concurrently instantiated on a VM host. When a USB device is plugged in to the computer system, the VM host loads a backend driver associated with the USB device, which controls the USB device. The VM host provides a user interface to presenting a list of VM guests, in which a user can select a VM guest to be bound with the USB device. Then the VM host allocates the control of the USB device to the selected VM guest. | 08-23-2012 |
20120216192 | TRIGGERING OPERATION MODE IN VIRTUAL ENVIRONMENT - In a computer system, a virtual machine (VM) guest is instantiated on a VM host. The VM host detects a plurality of successive universal serial bus (USB) events occurring in the computer system and compares the plurality of successive USB events to a preset criterion. When the plurality of successive USB events meets the preset criterion, the VM host transmits a request for triggering an operation mode to the VM guest. The VM guest executes a control program for initiating the operation mode in response to the request. | 08-23-2012 |
20120216193 | APPARATUS AND METHOD FOR CONTROLLING VIRTUAL MACHINE SCHEDULE TIME - A virtualization apparatus is provided. The virtualization apparatus includes a plurality of virtual machines (VMs), a process scheduler configured to schedule processes to be executed on the respective virtual machines, a virtual machine monitor (VMM) configured to provide each of the virtual machine with a virtualized execution environment, a virtual machine scheduler configured to schedule the virtual machines to run in the virtual machine monitor, and a synchronization unit configured to synchronize a process schedule time which is scheduled by the process scheduler and a virtual machine schedule time which is scheduled by the virtual machine scheduler, or to change the virtual machine schedule time in consideration of the process schedule time. | 08-23-2012 |
20120216194 | HYPERVISOR APPLICATION OF SERVICE TAGS IN A VIRTUAL NETWORKING ENVIRONMENT - A physical host executes a virtual machine monitor (VMM) in communication with a plurality of consumer virtual machines (VMs). In response to receipt of a packet, the VMM determines whether a service is to be performed for the packet by a service virtual machine (VM) in communication with the VMM. In response to determining that the service is to be performed for the packet by the service VM, the VMM applies a tag to the packet that differentiates the packet from any other packet sharing a common address with the packet but having a different associated consumer, passes the packet to the service VM for performance of the service, and thereafter removes the tag from the packet in response to receipt of the packet from the service VM following performance of the service. In response to receipt of the packet from the service VM, the VMM forwards the packet. | 08-23-2012 |
20120216195 | DIAGNOSE INSTRUCTION FOR SERIALIZING PROCESSING - A system serialization capability is provided to facilitate processing in those environments that allow multiple processors to update the same resources. The system serialization capability is used to facilitate processing in a multi-processing environment in which guests and hosts use locks to provide serialization. The system serialization capability includes a diagnose instruction which is issued after the host acquires a lock, eliminating the need for the guest to acquire the lock. | 08-23-2012 |
20120216196 | Administration Of Virtual Machine Affinity In A Data Center - Administration of virtual machine affinity in a data center, where the data center includes a plurality of virtual machines (VMs), each VM being a module of automated computing machinery installed upon a computer in the data center and characterized by a Universally Unique Identifier (UUID), at least two of the VMs having an affinity requirement to be installed on separate computers, the data center further including a data center administration server operably coupled to the VMs, including communicating, by at least one of the VMs having an affinity requirement to the data center administration server, the UUIDs of the VMs having an affinity requirement; and moving by the data center administration server the VMs having an affinity requirement to separate computers in the data center. | 08-23-2012 |
20120216197 | VIRTUALIZING THE EXECUTION OF HOMOGENEOUS PARALLEL SYSTEMS ON HETEROGENEOUS MULTIPROCESSOR PLATFORMS - An embodiment of the invention is a virtual machine monitor that is executable by computer processor. The virtual machine monitor runs a virtual processor. When the virtual processor encounters a faulting instruction the virtual processor is unmapped from the physical processor, and generates a list of other physical processors that could execute the instruction. The virtual machine monitor determines if one of the other of the physical processors in the list is currently idle, and when one of the other of the physical processors in the list is determined to be currently idle, the virtual processor is mapped to a second physical processor, which is the one of the other of the physical processors in the list that was determined to be currently idle. | 08-23-2012 |
20120216198 | INTERPRETING I/O OPERATION REQUESTS FROM PAGEABLE GUESTS WITHOUT HOST INTERVENTION - Input/output (I/O) operation requests from pageable storage mode guests are interpreted without host intervention. In a pageable mode virtual environment, requests issued by pageable storage mode guests are processed by one or more processors of the environment absent intervention from one or more hosts of the environment. Processing of the requests includes manipulating, by at least one processor on behalf of the guests, buffer state information stored in host storage. The manipulating is performed via instructions initiated by the guests and processed by one or more of the processors. | 08-23-2012 |
20120216199 | PROVIDING A VIRTUAL MACHINE - A software element database stores software element definitions according to a software element hierarchy. A score is assigned to at least one of the software element based at least in part upon the software element's hierarchy level. Further, virtual machine templates are stored in a library. The virtual machine templates include at least one software element associated with a software element from the software element database. A user enters a specification that specifies desired software elements to be included in the virtual machine via a user interface. After the specification is entered, the a score value is calculated for at least one virtual machine template from the library, based on the scores assigned to the software elements. Using the calculated score, the virtual machine template having the highest score value is selected and the selected virtual machine template is delivered as the virtual machine to a hypervisor. | 08-23-2012 |
20120222027 | Mechanism for Synchronizing Backend Peripheral Devices with a Virtual Machine Running State - A mechanism for synchronizing backend peripheral devices with a virtual machine (VM) running state is disclosed. A method of the invention includes modifying a running state of a (VM managed by a hypervisor of a host machine, and updating a VM state indication associated with the VM to reflect the change in running state of the VM, wherein a peripheral device associated with the VM accesses the VM state indication to determine whether to continue processing a VM state changing instruction. | 08-30-2012 |
20120222028 | VIRTUAL COMPUTER MANAGEMENT METHOD AND VIRTUAL COMPUTER MANAGEMENT SYSTEM - It is an object of the present invention to provide a technique that can comprehensively manage plural kinds of network addresses allocated to virtual computers. | 08-30-2012 |
20120222029 | METHOD OF MONITORING PERFORMANCE OF VIRTUAL COMPUTER AND APPARATUS USING THE METHOD - Provided are a method and an apparatus for monitoring performance of a virtual computer. In a method of controlling a computer system including a computer, the computer executes a virtualization program for causing logically divided resources of the computer to operate as first and second virtual computers, the first virtual computer executes a first OS, and the second virtual computer executes a second OS. In the method, information regarding the resources allocated to the first virtual computer and the second virtual computer by the virtualization program is obtained from the virtualization program, information indicating performance of the first virtual computer is obtained from the first OS, information indicating performance of the second virtual computer is obtained from the second OS, the obtained information and information indicating a time of obtainment of the information are stored in a storage system, and stored information is output. | 08-30-2012 |
20120227037 | INSTALLATION SYSTEM AND METHOD FOR INSTALING VIRTUAL MACHINES - A remote computer and method installs a virtual machine and one or more operating systems in cloud servers of a data center. The remote computer determines if each of the cloud servers has been successfully installed the virtual machine. The remote computer obtains the IP address of the cloud servers that have been successfully installed the virtual machine by the virtual machine controlling application. The remote computer sends an operating system image file to each of obtained cloud servers to install an operating system on the virtual machine of each of the obtained cloud servers according to the IP address of the obtained cloud servers. | 09-06-2012 |
20120227038 | LIGHTWEIGHT ON-DEMAND VIRTUAL MACHINES - Virtual machines are made lightweight by substituting a library operating system for a full-fledged operating system. Consequently, physical machines can include substantially more virtual machines than otherwise possible. Moreover, a hibernation technique can be employed with respect to lightweight virtual machines to further increase the capacity of physical machines. More specifically, virtual machines can be loaded onto physical machines on-demand and removed from physical machines to make computational resources available as needed. Still further yet, since the virtual machines are lightweight, they can be hibernated and restored at a rate substantially imperceptible to users. | 09-06-2012 |
20120227039 | METHOD FOR EXECUTING VIRTUAL APPLICATION DELIVERY CONTROLLERS HAVING DIFFERENT APPLICATION VERSIONS OVER A COMPUTING DEVICE - A method for executing virtual application delivery controllers (vADCs) having different application versions over a computing device. The method comprises installing a virtualization infrastructure in the computing device; creating by the virtualization infrastructure a plurality of vADCs having different application versions, wherein each vADC is created from a software image maintained in a hardware infrastructure of the computing device; gathering version information associated with each of the plurality of vADCs; independently executing the plurality of vADCs over an operating system of the computing device; and controlling the execution of the plurality of the vADCs over an operating system of the computing device using the virtualization infrastructure using in part the version information. In one embodiment, each of the plurality of vADCs does not execute its own guest operating system. | 09-06-2012 |
20120227040 | Hybrid Operating System - A hybrid operating system may allocate two sets of resources, one to a first operating system and one to a second operating system. Each operating system may have a memory manager, process scheduler, and other components that are aware of each other and cooperate. The hybrid operating system may allow one operating system to provide one set of services and a second operating system to provide a second set of services. For example, the first operating system may have monitoring applications, user interfaces, and other services, while the second operating system may be a lightweight, high performance operating system that may not provide the same services as the first operating system. | 09-06-2012 |
20120227041 | MANAGEMENT OF DISTRIBUTED VIRTUAL SWITCH AND DISTRIBUTED VIRTUAL PORTS - A method creates a distributed virtual switch (DVswitch) and distributed virtual ports (DVports) for the DVswitch. The DVswitch binds virtual switches in a collection of hosts together in a software abstraction. Also, the DVports are available for connection by virtual network interface cards (VNICs) of virtual machines in the collection of hosts. A request is received for a connection of a virtual network interface card (VNIC) of a virtual machine for a host in the collection of hosts to a DVport. If the requested DVport is available, the method provides connection information for the requested DVport to the host to allow the host to connect the requested DVport to the VNIC. The DVport stores a runtime state for a virtual port associated with a virtual switch for the host and the virtual switch forwards network frames between the VNIC and a physical network interface card (NIC). | 09-06-2012 |
20120227042 | MECHANISM FOR SCHEDULING EXECUTION OF THREADS FOR FAIR RESOURCE ALLOCATION IN A MULTI-THREADED AND/OR MULTI-CORE PROCESSING SYSTEM - A thread scheduling mechanism is provided that flexibly enforces performance isolation of multiple threads to alleviate the effect of anti-cooperative execution behavior with respect to a shared resource, for example, hoarding a cache or pipeline, using the hardware capabilities of simultaneous multi-threaded (SMT) or multi-core processors. Given a plurality of threads running on at least two processors in at least one functional processor group, the occurrence of a rescheduling condition indicating anti-cooperative execution behavior is sensed, and, if present, at least one of the threads is rescheduled such that the first and second threads no longer execute in the same functional processor group at the same time. | 09-06-2012 |
20120233606 | AUTONOMIC CUSTOMIZATION OF A VIRTUAL APPLIANCE - A customizer autonomically customizes a virtual appliance by retrieving customization values for various customizable properties of a virtual machine from various providers to customize the virtual appliance in order to simplify deployment of the virtual appliance. The customization properties may include CPU properties, memory properties, storage properties, network properties and properties specific to the software in the virtual appliance. The customizer allows an end user to initiate autonomic customization of the virtual appliance at various times prior to deployment of the virtual appliance. The customizer also allows the user to provide additional customization upon execution. | 09-13-2012 |
20120233607 | Establishing Relationships Among Elements In A Computing System - Establishing relationships among elements in a computing system, the computing system including one or more servers, one or more switches, the one or more servers and one or more switches forming one or more local area networks and also forming one or more virtual local area networks, including: identifying, for each server in the computing system, physical topology data; identifying, for each server in the computing system, virtual topology data; and creating, in dependence upon the physical topology data and the virtual topology data, a system map. | 09-13-2012 |
20120233608 | Virtualization Support in Platform Management (PLM) Information Model - A method and system for protecting against failure of hardware elements (HEs) in a virtual machine system using an information model with virtualization support. Based on the information model, the method boots virtual machine monitors (VMMs), virtual machines (VMs) and operating systems (OSes). The information model includes a graph of objects representing configured relationships between the hardware elements (HEs), VMMs, VMs, and OSes through parent child relationships between the graph's objects. The graph of objects includes: a domain object, HE objects, VMM objects, VM objects, and OS objects. The VM objects of a migration-enabled type represent those of the VMs that may migrate between the VMMs. Based on the runtime associations between the VMM objects and the VM objects of the migration-enabled type, the method identifies which of the migration-enabled VMs are hosted by a same HE and are therefore vulnerable to hardware failure of the same HE. | 09-13-2012 |
20120233609 | OPTIMIZING VIRTUAL MACHINE SYNCHRONIZATION FOR APPLICATION SOFTWARE - Real-time application metrics of an application executed by a virtual machine are dynamically monitored by a controlling agent and analyzed to determine an optimal configuration of the virtual machine for executing the application. Based on the measured metrics, tunable parameters of the virtual machine may be adjusted to achieve desired application performance. | 09-13-2012 |
20120233610 | RUNTIME VIRTUAL PROCESS CREATION FOR LOAD SHARING - Methods and systems for managing execution of processes in virtual environments are disclosed. One method includes creating a virtual process from a process executing on a first virtual machine, and transferring the virtual process from the first virtual machine to a second virtual machine. The method also includes executing the virtual process on the second virtual machine, and, after the virtual process completes, removing the virtual process from the second virtual machine. | 09-13-2012 |
20120233611 | Hypervisor-Agnostic Method of Configuring a Virtual Machine - In one embodiment, there is a method for configuring a virtual machine where there are two storage mechanisms available to the virtual machine: a first storage containing virtual machine operating information, and a second storage including virtual machine configuration information. The configuration information in the second storage is used to configure the virtual machine, including changing the information in the operating storage. The configuration information can pertain to the hypervisor, any logical container within the hypervisor, and any operating environment within on of the logical containers. In a further embodiment, the configuration information from the second storage can be saved and provided to another virtual machine, and used to configure the second virtual machine in a similar fashion. Each virtual machine can have an independent copy of the second storage, or the storage can be mounted in the first machine, unmounted, and then mounted in the second machine. | 09-13-2012 |
20120233612 | CODE INJECTION AND CODE INTERCEPTION IN AN OPERATING SYSTEM WITH MULTIPLE SUBSYSTEM ENVIRONMENTS - Systems, methods, and apparatuses are provided for code injection and code interception in an operating systems having multiple subsystem environments. Code injection into a target process can rely on generation of a virtual process that can permit analysis of information loaded in a memory image of the target process regardless of the host environment in which the target process is executed. Based at least on information collected via the analysis, code can be injected into the target process while preserving integrity of the target process. Code interception also can exploit the analysis for suitable hooking that preserves integrity of target process. Code interception can utilize relocatable tokenized code that can be parameterized through token replacement. | 09-13-2012 |
20120233613 | INFORMATION PROCESSOR APPARATUS, VIRTUAL MACHINE MANAGEMENT METHOD AND VIRTUAL MACHINE MANAGEMENT PROGRAM - An information processing apparatus that controls a resource allocation amount for one or a plurality of virtual machines run by a computer, the information processing apparatus includes a memory that stores an allowable index upper limit and index information indicating an association between the resource allocation amount and an index and a processor that, when a virtual machine lacking resources is detected from a resource usage status, increases the resource allocation amount allocated to the virtual machine lacking resources such that an index value calculated according to the index information and the resource allocation amount for the one or a plurality of virtual machines does not exceed the upper limit. | 09-13-2012 |
20120240109 | HARDWARE CHARACTERIZATION IN VIRTUAL ENVIRONMENTS - A, system, and computer program product for hardware characterization in a virtual environment are provided in the illustrative embodiments. The hardware resource is allocated to a test virtual machine (VM). A characterization workload is configured to execute in the test VM to characterize a parameter of the hardware resource. The characterization workload is caused to execute on the test VM. A first result of the characterization workload execution is received from the test VM, wherein the result assigns a value to a characteristic of the hardware resource indicative of a behavior of the hardware resource under a set of circumstances. | 09-20-2012 |
20120240110 | OPTIMIZED DEPLOYMENT AND REPLICATION OF VIRTUAL MACHINES - Systems and methods for deploying a virtual machine (VM) on a host are provided. An exemplary method comprises notifying a host to download a master copy of a VM image from a remotely located network storage device, in response to a service provider providing a definition manifest for a service request supported by the VM, wherein the host deploys the VM directly from the VM image downloaded to a storage medium locally connected to the host machine, wherein deployment of the VM allows the host to locally service the service request associated with the definition manifest, wherein the host replicates copies of the VM image, in response to receiving additional service requests to create one or more VM clones; wherein the host customizes the one or more VM clones based on the definition manifest. | 09-20-2012 |
20120240111 | STORAGE MEDIUM STORING PROGRAM FOR CONTROLLING VIRTUAL MACHINE, COMPUTING MACHINE, AND METHOD FOR CONTROLLING VIRTUAL MACHINE - A computer executes processes of: setting the upper limit of an available processing capacity for each user; setting a plurality of virtual machines for each of the users; and distributing the processing capacity to the plurality of virtual machines for each of the users within the upper limit of the processing capacity set for each of the users. | 09-20-2012 |
20120240112 | COMPUTER PRODUCT AND INFORMATION PROCESSING APPARATUS - A computer-readable recording medium stores therein a scheduling program that causes a computer to execute a process. The process includes executing multiple virtual computing machines that operate an OS; receiving a change in the number of activations of completely activated software from the OS; updating when the change in the number of activations has been received, a first table that stores software completely activated in the OS; selecting from a second table that stores combinations of a completely activated software group, a combination according to a software group stored in the first table; extracting from the second table and according to the selected combination, priorities of software stored for each of the combinations; and updating the priorities of the software stored in the first table to the extracted priorities. | 09-20-2012 |
20120240113 | CONTROLLING AND SELECTING CLOUD CENTER - Provided are apparatus and method for managing a plurality of cloud centers that provide a virtual computing environment to a client. The method may include selecting a target cloud center from the plurality of cloud centers based on electricity costs and carbon emission costs of the plurality of cloud centers, and controlling the selected target cloud center so as to manage at least one virtual machine to provide the virtual computing environment to the client. For the selecting the target cloud center, a cloud center having a lowest electric cost and a lowest carbon emission cost may be selected from the plurality of cloud center as the target cloud center. Then, the selected target cloud center may be controlled so as to create a virtual machine. | 09-20-2012 |
20120240114 | Method and System for Managing Virtual and Real Machines - Managing virtual and real machines through a provisioning system. The provisioning system allows a user to create and manage machines through a “self-service” approach. The provisioning system interacts with one or more agents that manage the lifecycle of a machine. The system may provide templates that enable a user to readily create a virtual machine. The system may also include interfaces for administrators to manage virtual and real machine resources. | 09-20-2012 |
20120240115 | HARDWARE CHARACTERIZATION IN VIRTUAL ENVIRONMENTS - A method for hardware characterization in a virtual environment is provided in the illustrative embodiments. The hardware resource is allocated to a test virtual machine (VM). A characterization workload is configured to execute in the test VM to characterize a parameter of the hardware resource. The characterization workload is caused to execute on the test VM. A first result of the characterization workload execution is received from the test VM, wherein the result assigns a value to a characteristic of the hardware resource indicative of a behavior of the hardware resource under a set of circumstances. | 09-20-2012 |
20120240116 | Performance In A Virtualization Architecture With A Processor Abstraction Layer - Embodiments of apparatuses and methods for improving performance in a virtualization architecture are disclosed. In one embodiment, an apparatus includes a processor and a processor abstraction layer. The processor abstraction layer includes instructions that, when executed by the processor, support techniques to improve the performance of the apparatus in a virtualization architecture. | 09-20-2012 |
20120240117 | Virtual Machine Management Among Networked Servers - Virtual machine management among networked servers coupled for data communications with a data communications network that includes a network device and a Virtual Machine Management Module (‘VMMM’), where VM management includes: monitoring, by the network device, network traffic of applications executing in virtual machines of the servers; determining, in dependence upon the monitored network traffic, whether a particular application's network traffic exceeds a predetermined threshold, the particular application executing in a particular virtual machine of a particular server; if the particular application's network traffic exceeds the predetermined threshold, allocating, by the VMMM, an additional virtual machine in a different server; and instantiating, by the VMMM, in the additional virtual machine at least one application. | 09-20-2012 |
20120240118 | TERMINAL AND COMPUTER FOR OPERATION WITH AN ASSEMBLY FOR VIRTUAL DATA PROCESSING, ASSEMBLY AND METHOD FOR VIRTUAL DATA PROCESSING - A terminal for operation with an assembly for virtual data processing includes a controller, an adaptation unit coupled to the controller, a virtualization interface linked to the controller which accesses data processing resources, a display coupled to the virtualization interface via the adaptation unit which displays information, a communication unit coupled to the controller and to the virtualization interface, and an energy supply coupled to the controller which provides energy to operate the terminal, wherein the controller, the energy supply, the adaptation unit, the virtualization interface and the communication unit are integrated in a housing of the display. | 09-20-2012 |
20120246637 | Distributed load balancer in a virtual machine environment - In one embodiment, a method includes receiving a request packet at a flow director in communication with a plurality of servers, each server comprising a virtual load balancer module and one or more virtual machines and forwarding the request packet to one of the virtual load balancer modules at one of the servers. The virtual load balancer module is configured to select one of the virtual machines to receive the request packet and transmit a response packet. The response packet is transmitted without passing through the flow director. An apparatus for load balancing in a virtual machine environment is also disclosed. | 09-27-2012 |
20120246638 | FORECASTING BASED SERVICE ASSIGNMENT IN CLOUD COMPUTING - A mechanism is provided for reassigning virtual machines to resources in a computing environment. Monitoring data is collected about virtual machine request history and resource usage in a form of time series for measured indicators. Forecasted time series are computed for the measured indicators over a time window by utilizing time series forecasting. A new assignment is computed by executing a snapshot based assignment algorithm on the forecasted time series. The new assignment is used for reassignment of virtual machines on the resources in the computing environment. | 09-27-2012 |
20120246639 | CONFIGURATION OF VIRTUAL APPLIANCES - Embodiments of the invention relate to post-install configuration of virtual appliances in a virtual machine. A packaging and distribution format is provided with two tools to support the configuration of the virtual machine. The first tool is employed to support configuration of the virtual machine, and employs an activation script during a boot operation. The second tool is employed by a call from the first tool. The second tool configures a virtual application in communication with the virtual machine with use of a configuration script. The configuration script is separate from the activation script and disassociated from an image binary of the virtual machine. The disassociation of the configuration script from the activation script supports changes to the configuration script without affecting the image binary. | 09-27-2012 |
20120246640 | Replication of Machine Instances in a Computing Environment - Disclosed are various embodiments for replication of machine instances in a computing environment. A clone machine instance is instantiated from a machine image associated with an original machine instance. A stored execution state of the original machine instance is applied to the clone machine instance. At least a portion of a series of stored events received by the original machine instance is applied to the clone machine instance. | 09-27-2012 |
20120246641 | Method for Switching Between Virtualized and Non-Virtualized System Operation - A method performed by an embedded system controlled by a CPU and capable of operating as a virtualized system under supervision of a hypervisor or as a non-virtualized system under supervision of an operating system, is provided. The embedded system is executed in a normal mode if no execution of any security critical function is required by the embedded system, where the normal mode execution is performed under supervision of the operating system. If a security critical function execution is required by the embedded system, where protected mode execution is performed under supervision of the hypervisor, the operating system is switching execution of the embedded system from normal mode to protected mode, by handing over the execution of the embedded system from the operating system to the hypervisor, and when execution of the security critical function is no longer required by the embedded system is switched from protected mode to normal mode, under supervision of the hypervisor. | 09-27-2012 |
20120246642 | Management of File Images in a Virtual Environment - A method, system, and computer program product provides effective management and deployment of image files within a Virtual Input/Output (I/O) Server (VIOS) cluster. An Image Management (IM) utility utilizes a discovery process to establish connections with objects in order to manage these objects. Following discovery of an ICP client, the IM utility enables creation of a virtual server partition and initiates the importing of a captured image to a VIOS cluster Storage Pool (SP). The IM utility creates logical units (LUs) within the Image Management Fileset (IMF) for each file within the captured image. The IM utility maps the LUs to the VIOS Cluster SP using unique image file handles. The IM utility configures the new storage that comprises the created LUs. The IM utility copies image files to the appropriate storage device/LU. When import/copy of the image is completed, the IM utility activates the virtual server partition. | 09-27-2012 |
20120246643 | FILE SYSTEM FOR STORAGE AREA NETWORK - Methods and apparatus are disclosed for managing access to data in a data storage system. For example, an apparatus comprises at least one processing platform associated with a distributed virtual infrastructure. The processing platform comprises at least one processing device having a processor coupled to a memory. The processing platform is operative to instantiate a meta data management process that is configured to provide at least one client process with information to allow the client process to perform one or more operations in accordance with one or more data storage devices through a storage area network. The information provided to the client process may comprise one or more data block descriptors. Each of the one or more data block descriptors may comprise path information for at least one of the data storage devices and an offset address in the at least one data storage device. The one or more data block descriptors may correspond to one or more data blocks of a given data file that is stored or storable across the one or more data storage devices. | 09-27-2012 |
20120246644 | VIRTUAL COMPUTER SYSTEM AND CONTROLLING METHOD OF VIRTUAL COMPUTER - A method of controlling a virtual machine of a computer, the computer comprising: a physical machine comprising an I/O adapter having a physical function that creates a virtual function; a virtualization unit that provides computer resources of the physical machine to the virtual machine; and an OS that is executed on the virtual machine, the virtualization unit creating a virtual machine to which the virtual function is assigned, the virtual machine running the OS thereon, the method comprising: a first step of detecting, by the virtualization unit, a state change of the I/O adapter; a second step of identifying, by the virtualization unit, when a state of the I/O adapter becomes a predetermined state, the virtual machine to which the virtual function is assigned; and a third step of notifying, by the virtualization unit, the OS running on the identified virtual machine of the state of the I/O adapter. | 09-27-2012 |
20120246645 | METHOD FOR MANAGING VIRTUAL MACHINE, INFORMATION PROCESSING APPARATUS, AND MEDIUM FOR VIRTUAL MACHINE MANAGEMENT PROGRAM - A method of managing a virtual machine by an information processing system including one or more information processing apparatuses, the method includes: comparing one or more files used for operation of the virtual machine with one or more template files; and generating configuration information indicating a setting state of the virtual machine based on a result of the comparing. | 09-27-2012 |
20120246646 | METHOD, SYSTEM AND APPARATUS FOR CALCULATING CHARGEBACK FOR VIRTUALIZED COMPUTING RESOURCES - Method, system and apparatus for calculating chargeback for virtualized computing resources in a virtualized environment allowing efficient use of computing resources, improving costs recovery including fixed and over-usage cost of utilizing computing resources. | 09-27-2012 |
20120246647 | MANAGING SOFTWARE CONTENT IN VIRTUAL DESKTOP ENVIRONMENTS - Software is managed in a virtual desktop environment by discovering virtual appliances contained in the virtual desktop environment, and for each virtual appliance discovering the virtual machines belonging to the virtual appliance. Software is further managed by discovering the standalone virtual machines comprised in the virtual desktop environment, and for each discovered virtual machine, introspecting each virtual machine to detect non-virtual applications and virtualized applications running in the virtual machine. For each detected application, identification is made of the operating system on which the application is running | 09-27-2012 |
20120254860 | VIRTUAL MACHINE PLACEMENT TO IMPROVE MEMORY UTILIZATION - Virtual machines having a high amount of identical memory pages are grouped under a common hypervisor to enable greater memory savings as the result of transparent page sharing. One embodiment provides a computer program product including computer usable program code for performing a method that comprises analyzing the software image content of a plurality of virtual machines running on a plurality of hypervisors in a common migration domain, identifying two or more of the virtual machines having greater than a threshold amount of common memory pages, placing the two or more virtual machines under a common hypervisor, and sharing the common memory pages among the two or more virtual machines. Optionally, the identifying of two or more of the virtual machines may include identifying two or more of the virtual machines having the same software image content classifications; thereby, identifying images with a greater affinity for common memory pages. | 10-04-2012 |
20120254861 | METHOD AND APPARATUS OF DATA CENTER FILE SYSTEM - Embodiments of the invention provide a capability to validate volume status on the host and storage system stored virtual machine image in the datacenter management server. In one embodiment, a server system includes a storage system having a plurality of volumes, a plurality of host systems, and a management server coupled with the storage system and the host systems via a network. A method of managing the server system comprises: generating a golden image in the storage system corresponding to a virtual machine image file; copying virtual machine data from the golden image to some of the plurality of volumes in the storage system; and keeping track, by the management server, of status of the volumes which have copied virtual machine data by monitoring activity of the virtual machines. | 10-04-2012 |
20120254862 | EFFICENT MIGRATION OF VIRTUAL FUNCTIONS TO ENABLE HIGH AVAILABILITY AND RESOURCE REBALANCE - A computing platform (source) supporting virtualization comprises a plurality of virtual machines each including a virtual function driver and a guest operating system, and a hardware block including a plurality of virtual functions and visible states and invisible states. The virtual function driver or a guest OS or a virtual machine monitor further includes a self-emulation layer to create a shadow state, which emulates the invisible states of the virtual function, which is to be migrated. The virtual function driver uses contents of the shadow state to migrate one or more of the plurality of virtual functions to a target virtual machine. The source and the target virtual machines are included in a cloud data center and the virtual functions, migrated to the target virtual machine is highly available to provide services. | 10-04-2012 |
20120254863 | AGGREGATING SHARED ETHERNET ADAPTERS IN A VIRTUALIZED ENVIRONMENT - In a method for managing shared Ethernet adapters in a virtualized computer environment, a computer programmed with a hypervisor program receives a first request from a virtual client to transmit data. In response to receiving the first request, the computer programmed with the hypervisor program selects a first active virtual server from a first plurality of concurrently active virtual servers of the virtualized computer environment. The computer programmed with the hypervisor program copies the data from a memory of the virtual client to a trunk adapter of a first shared Ethernet adapter of the selected first active virtual server. | 10-04-2012 |
20120254864 | METHOD, APPARATUS AND SYSTEM FOR LIMITING ACCESS TO VIRTUALIZATION INFORMATION IN A MEMORY - Techniques for limiting access to virtualization information which is stored in a memory region allocated to a virtual machine instance. In an embodiment, virtualization information is written over in response to an indication of a close event which is to change an allocation of the memory region to the virtual machine instance. In another embodiment, the virtualization information is written over before the memory region is made available for a subsequent allocation. | 10-04-2012 |
20120254865 | HYPERVISOR REPLACING METHOD AND INFORMATION PROCESSING DEVICE - When executing firmware of a first hypervisor stored in a first memory area, an information processing device stores firmware of a second hypervisor into a second memory area. The information processing device issues, from the first hypervisor, a stopping instruction that instructs a caller of a hypervisor call to stop issuing a new hypervisor call. Herein, let designating information be information that designates a memory area storing firmware of a hypervisor executed by the information processing device. The information processing device rewrites the designating information from a first value that designates the first memory area to a second value that designates the second memory area. The information processing device starts execution of the firmware of the second hypervisor in response to the rewriting of the designating information. The information processing device issues, from the second hypervisor to the caller, a canceling instruction that cancels the stopping instruction. | 10-04-2012 |
20120254866 | SYSTEM FOR MIGRATING A VIRTUAL MACHINE BETWEEN COMPUTERS - An I/O device performs data input and data output between the I/O device and first and second computers. An I/O switch relays input and output data between the I/O device and each of the first and second computers. A device emulator emulates the I/O device when a virtual machine running on the first computer and directly accessing the I/O device is migrated from the first computer to the second computer. A connection controller controls switching connection between any one of the first and second computers and any one of the I/O device and the device emulator. The first computer is connected to the I/O device and the second computer is connected to the device emulator until the virtual machine running on the first computer is stopped, and the second computer is connected to the I/O device after the virtual machine running on the first computer is stopped. | 10-04-2012 |
20120254867 | JAVA VIRTUAL MACHINE HAVING INTEGRATED TRANSACTION MANAGEMENT SYSTEM - A computing system is configured to deploy a JAVA application for execution in a distributed manner. The computing system includes a plurality of computing nodes including a domain manager node, the plurality of computing nodes forming a computing domain configured as an administrative grouping of the nodes administered by the domain manager node. The domain manager node is configured to provide, to each of the computing nodes, a main portion of the JAVA application. The main portion defines, for each computing node, a portion of the behavior of the JAVA application to be accomplished by that computing node. Furthermore, each computing node is configured to receive at least one class file having classes appropriate for the portion of the behavior of the JAVA application defined, by the main portion, to be accomplished by that computing node. | 10-04-2012 |
20120254868 | Optimizing Virtual Graphics Processing Unit Utilization - Methods, systems, and computer program products are provided for optimizing virtual graphics processing unit utilization. Embodiments include assigning a computing intensity level to each virtual machine of a plurality of virtual machines; assigning a priority level to each virtual machine of the plurality of virtual machines; determining for each server of a plurality of servers whether the server includes a virtual graphics processing unit (VGPU) that is available to perform compute intensive tasks for the plurality of virtual machines; and assigning one or more VGPUs to a virtual machine of the plurality of virtual machines in dependence upon the computing intensity level and the priority level of the virtual machine and the number of VGPUs available to perform the compute intensive tasks. | 10-04-2012 |
20120260247 | Fine-Grained Cloud Management Control Using Nested Virtualization - A computer implemented method, a computer program product and a data processing system allocate resources within a computing organization. A hypervisor layer is implemented on an underlying hardware. The hypervisor layer comprises a set of virtual machines. A first pseudo-hypervisor layer is then implemented within a first one of the set of virtual machines of the hypervisor layer. The first pseudo-hypervisor layer comprises a second set of virtual machines. A first software component is located within a first virtual machine of the second set of virtual machines of the first pseudo-hypervisor layer. A second software component is collocated within a second virtual machine of the second set of virtual machines of the first pseudo-hypervisor layer. | 10-11-2012 |
20120260248 | AUTOMATED COST CALCULATION FOR VIRTUALIZED INFRASTRUCTURE - In one embodiment, a method includes storing a cost assigned to a physical computing device in a storage device. The physical computing device is found in a physical infrastructure of a data center. The method determines an instantiation of a virtual machine in a virtual infrastructure. Information for a provisioning of the virtual machine with the physical computing device in the physical infrastructure of the data center is then received. The cost assigned to the physical computing device from the storage device is determined where the cost is used to determine a charge for the virtual machine based on usage of the physical computing device. | 10-11-2012 |
20120260249 | SOFTWARE TOOL AND METHOD FOR UPDATING A VIRTUAL APPLIANCE - A virtual appliance update mechanism detects when an update to a corresponding workload is made, and makes automatic corresponding changes to the virtual appliance to reflect the update to the workload. In one specific implementation, the virtual appliance update mechanism determines that configuration units corresponding to the update to the workload are present in a knowledge base of configuration units, and automatically updates the virtual appliance with the configuration units corresponding to the update. In this manner a virtual appliance may be automatically updated based on an update to a workload, thereby assuring the virtual appliance reflects the current state of the workload. | 10-11-2012 |
20120260250 | INFORMATION PROCESSING DEVICE, VIRTUAL MACHINE CREATION METHOD, AND APPLICATION DISTRIBUTION SYSTEM | 10-11-2012 |
20120266160 | DISTRIBUTING DATA VIA A NETWORK INCLUDING TIMING INFORMATION - A method and apparatus for timely delivery of classes and objects is provided. A header comprising timing information is attached to said classes and/or objects. A “start loading” time and a “load by” time are specified in the header. Other classes and/or objects to be loaded are also specified in the header. Optional compression, security, and/or error resilience schemes are also specified in the header. A process for creating the header and attaching it to a class or object is provided. A process for receiving and processing a class or object with an attached header is provided. Embodiments of the invention allow timely delivery of classes and/or objects over a wide variety of transport mechanisms, including unreliable transport mechanisms and those lacking any guarantees of timely delivery. | 10-18-2012 |
20120266161 | Mechanism For Host Machine Level Template Caching In Virtualization Environments - A computing device receives a command to start a virtual machine, the virtual machine having a read-only layer and a copy-on-write (COW) layer. The computing device accesses the COW layer of the virtual machine from a network storage. The computing device determines whether the read-only layer of the virtual machine is cached in a local storage. Upon determining that the read-only layer of the virtual machine is cached in the local storage, the computing device starts the virtual machine based on a combination of the downloaded COW layer and the cached read-only layer of the virtual machine. | 10-18-2012 |
20120266162 | Mechanism for Storing a Virtual Machine on a File System in a Distributed Environment - A mechanism for storing virtual machines on a file system in a distributed environment is disclosed. A method of the invention includes initializing creation of a VM by a hypervisor of a host machine, allocating a logical volume from a logical volume group of a shared storage pool to the VM, and creating a file system on top of the allocated logical volume, the file system to manage all files, metadata, and snapshots associated with the VM. | 10-18-2012 |
20120266163 | Virtual Machine Migration - Virtual machine migration, including: monitoring, by a management agent, the utilization of a system resource in a computing system; determining, by the management agent, a rate of change in the utilization of the system resource over a predetermined period of time; comparing, by the management agent, the rate of change in the utilization of the system resource over a predetermined period of time to a predetermined maximum allowable rate of change in the utilization of the system resource over the predetermined period of time; and taking, by the management agent, corrective action upon determining that the rate of change in the utilization of the system resource over the predetermined period of time exceeds the predetermined maximum allowable rate of change in the utilization of the system resource over the predetermined period of time. | 10-18-2012 |
20120266164 | DETERMINING STARTING VALUES FOR VIRTUAL MACHINE ATTRIBUTES IN A NETWORKED COMPUTING ENVIRONMENT - Embodiments of the present invention provide an approach for determining starting values of attributes for a requested virtual machine. Specifically, in a typical embodiment, a request for a virtual machine is received from a requester. An image corresponding to the virtual machine is then obtained. A set of statistics pertaining to at least one previous operation of a related virtual machine is analyzed and used to recommend starting values for a set of attributes of the virtual machine (e.g., a processor speed, a memory capacity, a disk capacity, a network capacity, etc.). The starting values can be determined by selecting a graphical curve, which depicts attribute values versus time, pertaining to a particular attribute and then providing recommended the starting values for the attribute based on a position along the graphical curve as determined based upon the analysis of the set of statistics. Thereafter, an instance of the virtual machine that has the starting values can be generated based on the image. | 10-18-2012 |
20120266165 | COMBINED VIRTUAL GRAPHICS DEVICE - A system comprises a guest graphics subsystem with a combined virtual graphics device that combines underlying emulated virtual graphics device and virtual function of a physical graphics device to support virtual machine migration. The VMM in the system may expose to the guest a single combined virtual PCIe graphics device that combines access to the virtual graphics device and the virtual function, and switches between the virtual graphics device and the virtual function for graphics acceleration without triggering a PnP event in the guest OS. In response to the switch, the guest graphics stack and applications may redraw their windows to provide a consistent user experience. | 10-18-2012 |
20120266166 | HOST SELECTION FOR VIRTUAL MACHINE PLACEMENT - In one embodiment, a method for placing virtual machines in a collection is provided. A plurality of equivalence sets of hosts is determined prior to placing virtual machines in the collection. The hosts in an equivalence set of hosts are considered similar. An equivalence set of hosts in the plurality of equivalence sets is selected to place the virtual machines in the collection. The method then places at least a portion of the virtual machines in the collection on one or more hosts in the selected equivalence set of hosts. | 10-18-2012 |
20120266167 | Tenant Data Center for Establishing a Virtual Machine in a Cloud Environment - Apparatuses, computer readable media, methods, and systems are described for generating a first measurement of a virtualization platform, receiving a create measured virtual machine request comprising authentication information, in response to the request, creating a measured virtual machine based on the authentication information, generating a second measurement of the measured virtual machine, and communicating a quote generated based on the first measurement and the second measurement for attesting to authenticity of the virtualization platform and of the measured virtual machine. | 10-18-2012 |
20120266168 | DEPLOYMENT SYSTEM FOR MULTI-NODE APPLICATIONS - A deployment system provides the ability to deploy a multi-node distributed application, such as a cloud computing platform application, which has a plurality of interconnected nodes performing specialized jobs. The deployment system includes a deployment director that provisions an infrastructure that includes one or more virtual machines (VMs) for hosting the plurality of nodes of the cloud computing platform application. The deployment director distributes a plurality of jobs (e.g., application packages and configurations) to deployment agents executing on the provisioned VMs, based on a mapping in the deployment manifest between the infrastructure and the plurality of specialized jobs to be performed by the cloud computing platform application. The deployment agents apply the jobs to their respective VM (e.g., launching applications), thereby deploying the cloud computing platform application. | 10-18-2012 |
20120266169 | SYSTEM AND METHOD FOR CREATING OR RECONFIGURING A VIRTUAL SERVER IMAGE FOR CLOUD DEPLOYMENT - A system and method to convert a software application, such as a Java application into a virtual server image that is suitable for cloud deployment. In accordance with an embodiment, the system and method allows for building a hypervisor appliance containing a virtual machine running an application. In particular, the hypervisor appliance can be compatible with any hypervisor for server virtualization and the application virtual machine can be a Java Virtual Machine (JVM). | 10-18-2012 |
20120266170 | Virtual Machine Image Management System and Methods - A computer-implemented method for managing virtual machine information in a cloud computing environment includes receiving, at a web server, an indication from a user to import an image of a virtual machine to a cloud in the cloud computing environment. The image may be uploaded to storage isolated to the user. At least one descriptor in the image may be parsed in a computer process to identify information (e.g., image meta-data) associated with an infrastructure service to be provided to the user. An infrastructure service item may be created in a computer process, corresponding to an instance of the infrastructure service. The service item is created based on the information identified by the parsing, e.g., by storing the image meta-data. The infrastructure service item may be inserted into an infrastructure service catalog of the user. | 10-18-2012 |
20120266171 | APPARATUS AND METHOD FOR CARRYING OUT A MIGRATION PROCESS OF A VIRTUAL MACHINE IN A DEVICE - A device carries out virtualization technology. An apparatus and a method in which an operating system or a program migrates a virtual machine during implementing in the device to a different type of device. The apparatus for migrating the virtual machine in the device may comprise an input unit for requesting the migration of the virtual machine, a display for displaying the migration process of the virtual machine, a virtualization processing unit for creating the virtual machine to run an application corresponding to a plural of operating system and for collecting the data related to the application run through the virtual machine and a controller for transmitting the data related to the application run through the virtual machine to a peripheral device using a different instruction set architecture. | 10-18-2012 |
20120266172 | APPARATUS AND METHOD FOR CONTROLLING A VIRTUAL MACHINE - The present disclosure relates to an apparatus for controlling a virtual machine in a device. The apparatus comprises a virtualization requesting part and a controlling part. The virtualization requesting part is configured to transmit a first instruction word for controlling the virtual machine to an independent virtualization apparatus. The controlling part is configured to execute an operation corresponding to a second instruction word received from the virtualization apparatus. | 10-18-2012 |
20120266173 | MANAGING ASSIGNMENT OF PARTITION SERVICES TO VIRTUAL INPUT/OUTPUT ADAPTERS - Automated management of partition service assignment to a virtual input/output (VIO) adapter is provided. Responsive to creation of a new partition service in a data processing system, a partition priority number is determined for the new partition service, and, for each VIO adapter, the partition priority numbers of the partition services currently assigned to that VIO adapter are summed. For a VIO adapter with a lowest sum of partition priority numbers, logic determines whether assigning the new partition service to that VIO adapter results in its summed partition priority number being above a predefined threshold, and the new partition service is assigned to a VIO adapter based, at least in part, on whether assigning the new partition service to the VIO adapter with the lowest sum of partition priority numbers results in that VIO adapter's summed partition priority number exceeding the predefined threshold. | 10-18-2012 |
20120272234 | Scoring of Computing Equipment for Virtualized Computing Environments - Performance test data of a plurality of virtualization computing equipment configurations is collected and stored for each of a plurality of virtualization computing performance categories. At a computing device, data is received that describes requirements for virtualization computing services. Virtualization computing equipment configurations among the plurality of virtualization computing equipment configurations are identified that are capable of satisfying the requirements. For each identified virtualization computing equipment configuration, a measure of efficiency is determined for each of the plurality of performance categories based on the requirements and the virtualization computing performance test data. From the efficiency measure computed for the plurality of performance categories, a score is generated that represents the overall performance efficiency for each identified virtualization computing equipment configuration. | 10-25-2012 |
20120272235 | CONSOLIDATION OF IDLE VIRTUAL MACHINES - Idle virtual machine partitions in a virtualized computing environment are consolidated onto one or more idle logical processors. A hypervisor monitors the individual utilization of multiple virtual machine partitions in a computing environment and determines which virtual machine partitions are idle. The hypervisor also monitors the individual utilization of multiple logical processors in the computing environment and determines which logical processors are idle. The hypervisor schedules all of the idle virtual machine partitions on one or more of the idle logical processors. This can improve the performance for work-generating partitions and ensure compliance with service level agreements. At the same time, it can provide efficient power management in that is consolidates idle virtual machines onto a smaller subset of logical processors. | 10-25-2012 |
20120272236 | MECHANISM FOR HOST MACHINE LEVEL TEMPLATE CACHING IN VIRTUALIZATION ENVIRONMENTS - A computing device receives a command to start a virtual machine, the virtual machine having a read-only layer and a copy-on-write (COW) layer. The computing device accesses the COW layer of the virtual machine from a network storage. The computing device determines whether the read-only layer of the virtual machine is cached in a local storage. Upon determining that the read-only layer of the virtual machine is cached in the local storage, the computing device starts the virtual machine based on a combination of the downloaded COW layer and the cached read-only layer of the virtual machine. | 10-25-2012 |
20120272237 | MECHANISM FOR MANAGING QUOTAS IN A DISTRIBUTED VIRTUALZIATION ENVIRONMENT - A computing device assigns a quota to a entity, the quota specifying a total amount of a low level resource that the entity is permitted to use. The computing device divides the quota into quota portions, and assigns each of the quota portions to one of a plurality of high level resources assigned to the entity. Each of the plurality of high level resources may use low level resources of a plurality of targets. The computing device automatically adjusts quota portions for one or more of the plurality of high level resources in response to changes in utilization requirements of the plurality of high level resources. | 10-25-2012 |
20120272238 | MECHANISM FOR STORING VIRTUAL MACHINES ON A FILE SYSTEM IN A DISTRIBUTED ENVIRONMENT - A mechanism for storing virtual machines on a file system in a distributed environment is disclosed. A method of the invention includes initializing creation of a VM by a hypervisor of a host machine, allocating a logical volume from a logical volume group of a shared storage pool to the VM, and creating a file system on top of the allocated logical volume, the file system to manage all files, metadata, and snapshots associated with the VM. | 10-25-2012 |
20120272239 | SHARING CLASS DATA - This docucment descirbes techniques for sharing Java class information in virtualized computing environments. In some embodimetns, an apparatus includes hardware components including one or more central processing units and main memory, wherein a portion of the main memory is configured to store shared Java classes. The apparatus can also include a hypervisor configured to control access to the hardware components, and to host a plurality of operating systems. Each of the operating systems can include a character device driver configured to write directly to the portion of main memory configured to store the shared Java classes. The apparatus can also include one or more Java virtual machines configured to execute the shared Java classes and modify the shared Java classes using the character device driver. | 10-25-2012 |
20120272240 | Virtual Disk Storage Techniques - This document describes techniques for effecting a virtual disk. In an exemplary configuration, a virtual disk file can be associated with a log that acts as both a log and a check point record. When a log entry is generated, information that identifies the tail can be stored therein. This information can be used in the event that virtual disk file is improperly closed, i.e., a crash or power failure occurs, to discover a sequence of log entries to replay. In addition to the foregoing, other techniques are described in the claims, detailed description, and figures. | 10-25-2012 |
20120272241 | COMPUTER SYSTEM AND VIRTUAL MACHINE CONTROL METHOD - Provided is a computer system capable of linking and integrally operating a plurality of virtual machines. In this regard, a memory management mechanism capable of securing a shared memory secured, before the activation of the virtual machines, in a physical address that can be designated and capable of securing local memories corresponding to the number of the virtual machines is realized. In relation to a virtual system realized by a cooperation process by the plurality of virtual machines using part of physical hardware, a fixed area, in which the physical address and the size are determined at the activation of a hypervisor, and a dynamic allocation area, which is managed by the hypervisor, allocated from a memory pool that is shared by virtual machines that execute other functions, and in which the physical address and the size are determined at the activation of the virtual machines, are allocated to the virtual machines constituting the virtual machine group. | 10-25-2012 |
20120272242 | PROVISIONING VIRTUAL SERVER - Provided are method and system for provisioning a virtual server. The method may include receiving a request to create a virtual server from a client, determining a client purpose of the requested virtual server, obtaining a previous virtual server usage pattern associated with the client, and creating a virtual server provision based on the client purpose and the previous virtual server pattern. | 10-25-2012 |
20120272243 | PROTECTING HIGH PRIORITY WORKLOADS IN A VIRTUALIZED DATACENTER - A method includes running a plurality of virtual machine workloads across a plurality of servers within a common power domain, and setting an operating level for each of a plurality of hardware resources within the common power domain in response to receiving an early power off warning from a power source that supplies power to the common power domain, wherein the operating level for each of the hardware resources is determined as a function of the priority of the virtual machine workloads that are utilizing each of the hardware resources. | 10-25-2012 |
20120272244 | VIRTUAL MACHINE PLACEMENT TO IMPROVE MEMORY UTILIZATION - Virtual machines having a high amount of identical memory pages are grouped under a common hypervisor to enable greater memory savings as the result of transparent page sharing. One method comprises analyzing the software image content of a plurality of virtual machines running on a plurality of hypervisors in a common migration domain, identifying two or more of the virtual machines having greater than a threshold amount of common memory pages, placing the two or more virtual machines under a common hypervisor, and sharing the common memory pages among the two or more virtual machines. Optionally, the identifying of two or more of the virtual machines may include identifying two or more of the virtual machines having the same software image content classifications; thereby, identifying images with a greater affinity for common memory pages. | 10-25-2012 |
20120278799 | Virtual Disk Storage Techniques - In an exemplary embodiment, a virtual disk file can be assigned an identifier and a virtual disk files that is dependent on the virtual disk file can include a copy of the identifier. In the instance that the virtual disk file is opened and data is modified that causes the contents of a virtual disk extent to change the identifier can be changed. If the virtual disk file and the dependent virtual disk file are used to instantiate a virtual disk the difference between identifiers can be detected, which is indicative of the fact that the virtual disk may be corrupted. Other techniques are described in the detailed description, claims, and figures that form a part of this document. | 11-01-2012 |
20120278800 | Virtual Processor Allocation Techniques - In an exemplary embodiment, one or more virtual processors can be added or removed from a virtual machine based on CPU pressure measured within the virtual machine. In addition to the foregoing, other techniques are described in the detailed description, claims, and figures that form a part of this document. | 11-01-2012 |
20120278801 | MAINTAINING HIGH AVAILABILITY OF A GROUP OF VIRTUAL MACHINES USING HEARTBEAT MESSAGES - Embodiments maintain high availability of software application instances in a fault domain. Subordinate hosts are monitored by a master host. The subordinate hosts publish heartbeats via a network and datastores. Based at least in part on the published heartbeats, the master host determines the status of each subordinate host, distinguishing between subordinate hosts that are entirely inoperative and subordinate hosts that are operative but partitioned (e.g., unreachable via the network). The master host may restart software application instances, such as virtual machines, that are executed by inoperative subordinate hosts or that cease executing on partitioned subordinate hosts. | 11-01-2012 |
20120278802 | SYSTEM AND METHOD FOR AUTOMATED VIRTUAL NETWORK CONFIGURATION - A method of automatically configuring a data network, the data network including a controller and a virtualization host with a hypervisor installed thereon, the method including creating a virtual switch in the hypervisor and communicatively coupling the virtual switch to a first physical network interface in the virtualization host. Further, the method includes receiving a request to boot an operating system image in a virtual machine in the hypervisor, the operating system image having network connectivity requirements. The method also includes creating a first virtual port in the virtual switch based upon the network connectivity requirements of the operating system image and creating a first virtual network adapter in the virtual machine in the hypervisor. Further, the method includes communicatively coupling the first virtual network adapter to the first virtual port in the virtual switch and configuring networking attributes of the first virtual network adapter in the virtual machine. | 11-01-2012 |
20120278803 | Hardware Assisted Inter Hypervisor Partition Data Transfers - An invention is disclosed for effectuating direct memory access (DMA) transfers by a guest operating system of a child partition. A guest operating system is presented with virtualized resources rather than physical resources—e.g. a virtualized processor, virtualized memory, and a virtualized DMA controller. When the guest OS attempts to initiate a DMA transfer using the virtualized DMA controller, the child partition detects this, and directs the physical DMA controller to conduct the DMA transfer. | 11-01-2012 |
20120278804 | VIRTUAL MACHINE AND APPLICATION MOVEMENT OVER A WIDE AREA NETWORK - Long distance cloud migration (LDCM) to overcome the limitations faced by the cloud migration techniques over long distance, high speed WAN infrastructures. LDCM overcomes the negative effects of existing TCP/IP mechanisms on the efficient use of available bandwidth. LDCM also acts as an acceleration engine to optimize various hypervisor, storage and security applications. | 11-01-2012 |
20120278805 | DISPLAY APPARATUS HAVING VIRTUAL MACHINE AND METHOD OF CONTROLLING THE SAME - A display apparatus having a virtual machine includes a reception module configured to receive an application written in a specific programming language, a detector configured to detect a first data format included in the application, a translator configured to translate the detected first data format into a second data format capable of being processed by an enhanced virtual machine, the enhanced virtual machine configured to process the translated second data format, a video processor configured to translate the second data format processed by the enhanced virtual machine into outputtable data, and a display module configured to output the translated data. | 11-01-2012 |
20120278806 | DATA CONTROL SYSTEM FOR VIRTUAL ENVIRONMENT - Embodiments disclosed herein provide systems, methods, and computer readable media for controlling data in a virtual environment. In a particular embodiment, a method of operating a data control system comprises providing a file system interface to a virtual machine environment, wherein the virtual machine environment comprises a plurality of data volumes. The method further provides receiving a request to import a target data volume into the virtual machine environment and transferring the target data volume into the virtual machine environment. | 11-01-2012 |
20120278807 | VIRTUAL NETWORK CONTROL PROGRAM, VIRTUAL NETWORK CONTROL METHOD AND INFORMATION PROCESSING APPARATUS - A virtual network control program includes allowing a physical port of a destination server device, which is a destination of a virtual machine to be transferred by migration, to use a virtual network used by the virtual machine to be transferred when the physical port of the destination server device does not belong to the virtual network; determining whether or not the virtual network, which has been used by the virtual machine in a source server device, is used by another virtual machine running on the source server device when the virtual machine to be transferred has been transferred onto the destination server device; and inhibiting, when it is determined that the another virtual machine does not use the virtual network, that a physical port of the source server device uses the virtual network which has been used by the virtual machine to be transferred. | 11-01-2012 |
20120284707 | METHOD AND SYSTEM FOR MIGRATING A SELECTED SET OF A VIRTUAL MACHINES BETWEEN VOLUMES - A method for migrating a selected set of virtual machines from a first volume to a second volume. The method includes receiving a list of virtual machines which are not migrating from a first volume to a second volume, accessing a host file system usage map of a host machine that indicates active blocks of the host file system, and accessing virtual file system usage maps of a plurality of virtual machines that indicate active blocks. A filter usage map that identifies the active blocks of the virtual machines which are not migrating is generated. The plurality of virtual machines are migrated from the first volume to the second volume, wherein the active blocks of the virtual file systems which are not migrating are skipped in accordance with the filter usage map. Subsequently, the metadata at the second volume is processed to reflect the virtual machines which were not migrated. | 11-08-2012 |
20120284708 | CONFIGURING VIRTUAL MACHINE IMAGES IN A NETWORKED COMPUTING ENVIRONMENT - Embodiments of the present invention provide an approach for configuring virtual machine (VM) images in a networked computing environment (e.g., a cloud computing environment). Specifically, in a typical embodiment, a provided VM image is associated with a set of competing VM images (e.g., via a web-based portal). Once the competing VM image(s) are associated with the provided VM image, a designation of a set of statistical actions can be designated for the provided VM image. Thereafter, a performance of the provided VM image and a performance of each of the set of competing VM images are monitored with respect to a set of predetermined attributes. This allows the performance of the provided VM image to be compared to the performance of each of the set of corresponding VM images. Based on the comparison, at least one of the set of statistical actions can be performed. In a typical embodiment, a statistical action may result in an adjustment (upward or downward) of a value of an attribute of the provided VM image where the performance of the provided image is either under-performing or over-performing with respect to a competing VM image. For example, if the provided image is performing at a speed that is a certain percentage lower than that of its competitors, the speed can be increased by a predetermined amount/percentage. | 11-08-2012 |
20120284709 | DYNAMIC VIRTUAL MACHINE DOMAIN CONFIGURATION AND VIRTUAL MACHINE RELOCATION MANAGEMENT - Dynamic relocation of virtual machines among hypervisors in a domain is provided. A domain management module is executed on a computer processor and is configured to receive a request to add a new hypervisor to a domain. Each hypervisor and each domain comprises an architecture description (ARD). A new canonical ARD is generated for all hypervisors in the domain. A virtual machine executing on a first system is relocated to any other hypervisor in the domain. Virtual machines may also be moved to out-of-domain hypervisors through the use of override masks. In addition, under certain conditions, new hypervisors additions, as well as hypervisor and system updates may be performed without disrupting the virtual machines that are controlled by the hypervisors. | 11-08-2012 |
20120284710 | VIRTUAL-MACHINE-DEPLOYMENT-ACTION ANALYSIS - Actions performed when one or more virtual-machine-deployment instructions are executed are exposed. The actions can subsequently be analyzed to identify potential inefficiencies or other issues in the process of deploying one or more virtual machines. In furtherance thereof, metadata associated with the actions, such as performance information, can also be attached to relevant actions. | 11-08-2012 |
20120284711 | Method and Arrangement for Configuring a Resource for a Virtual Runtime Environment - A method and an arrangement for configuring a resource or a plurality of resources for use by a first virtual runtime environment of a hardware platform, wherein at least one management device for virtual runtime environments is provided on the hardware platform and a second virtual runtime environment with a configuration device is also provided, and wherein in a first step the resource is assigned to the second runtime environment by the management device, in a second step the resource is configured by the configuration device, and in a third step the configured resource is assigned to the first runtime environment such that the configuration occurs largely without influencing the operational sequence of the management device and other virtual runtime environments, and such that the management device also does not require any drivers nor any specific settings and procedures to configure the resource. | 11-08-2012 |
20120284712 | SYSTEMS AND METHODS FOR SR-IOV PASS-THRU VIA AN INTERMEDIARY DEVICE - This disclosure describes a system for Single Root I/O Virtualization (SR-IOV) pass-thru for network packet processing via a virtualized environment of a device. The system includes a device comprising a virtualized environment and a plurality of virtual machines having a virtual network interface for receiving and transmitting network packets. A driver for the physical network interface of the device creates a plurality of virtual devices corresponding to the physical network interface, which appear as a Peripheral Component | 11-08-2012 |
20120284713 | SYSTEMS AND METHODS FOR ANALYZING PERFORMANCE OF VIRTUAL ENVIRONMENTS - Intelligent monitoring systems and methods for virtual environments are disclosed that understand various components of a virtual infrastructure and how the components interact to provide improved performance analysis to users. In certain examples, a monitoring system assesses the performance of virtual machine(s) in the context of the overall performance of the physical server(s) and the environment in which the virtual machine(s) are running. For instance, the monitoring system can track performance metrics over a determined period of time to view changes to the allocation of resources to virtual machines and their location(s) on physical platforms. Moreover, monitoring systems can utilize past performance information from separate virtual environments to project a performance impact resulting from the migration of a virtual machine from one physical platform to another. | 11-08-2012 |
20120284714 | VIRTUAL MACHINE FAULT TOLERANCE - In a computer system running at least a first virtual machine (VM) and a second VM on virtualization software, a computer implemented method for the second VM to provide quasi-lockstep fault tolerance for the first VM. The method includes enabling operations of the second VM to diverge from being in lockstep with operations with the first VM without resynchronizing the second VM with the first VM, if output of the second VM is not diverging from output of the first VM. The output of the second VM is compared with the output of the first VM to determine whether the output of the second VM has diverged from the output of the first VM. In response to a determination that the output of the second VM has diverged from the output of the first VM, a resynchronization of the second VM is executed. | 11-08-2012 |
20120284715 | COMPLIANCE-BASED ADAPTATIONS IN MANAGED VIRTUAL SYSTEMS - Techniques are disclosed for controlling and managing virtual machines and other such virtual systems. VM execution approval is based on compliance with policies controlling various aspects of VM. The techniques can be employed to benefit all virtual environments, such as virtual machines, virtual appliances, and virtual applications. For ease of discussion herein, assume that a virtual machine (VM) represents each of these environments. In one particular embodiment, a systems management partition (SMP) is created inside the VM to provide a persistent and resilient storage for management information (e.g., logical and physical VM metadata). The SMP can also be used as a staging area for installing additional content or agentry on the VM when the VM is executed. Remote storage of management information can also be used. The VM management information can then be made available for pre-execution processing, including policy-based compliance testing. | 11-08-2012 |
20120284716 | SUPPORT FOR PERSONAL COMPUTING IN A PUBLIC COMPUTING INFRASTRUCTURE BY USING A SINGLE VM DELTA IMAGE FOR EACH VM BASE IMAGE UTILIZED BY A USER - A method and system for supporting personal computing in a public computing infrastructure. The system includes a plurality of computers to be used by patrons of the public computing infrastructure. The system includes a server coupled to the plurality of computers via a network connection. Each of the plurality of computers includes a virtual machine monitor, which includes a plurality of base virtual machine images. Each of the base virtual machine images is customized for a particular hardware and software configuration representing a specific computing environment. The virtual machine monitor launches one of the plurality of base virtual machine images, arbitrates access to system resources via the launched virtual machine image, stores the changes in the state of the virtual machine image when a user terminates a session, and returns a computer to an appropriate state to enable the user to resume the terminated session in subsequent sessions. | 11-08-2012 |
20120284717 | Assist Thread Analysis and Debug Mechanism - A processor recognizes a request from a program executing on a first hardware thread to initiate software code on a second hardware thread. In response, the second hardware thread initiates and commences executing the software code. During execution, the software code uses hardware registers of the second hardware thread to store data. Upon termination of the software code, the second hardware thread invokes a hypervisor program, which extracts data from the hardware registers and stores the extracted data in a shared memory area. In turn, a debug routine executes and retrieves the extracted data from the shared memory area. | 11-08-2012 |
20120284718 | SYSTEM AND METHOD FOR PROVIDING HARDWARE VIRTUALIZATION IN A VIRTUAL MACHINE ENVIRONMENT - A system and method for providing hardware virtualization and resource management in a virtual machine environment. An application server environment is extended to provide an interface from the higher layers in the application server environment to the system's actual processing power, such that the allocation of processing can be made in a machine-independent way. A layer of software can be placed between the virtual machine and the hardware that improves the efficiency of the virtualized Java execution system. The system comprises two main components: a first, lower-level, execution environment that replaces the usual operating system; and a second, higher-level, resource broker that is responsible for handing out new virtual machines to the above layers, and for monitoring the current resource usage of the running virtual machines. | 11-08-2012 |
20120291024 | Virtual Managed Network - According to one embodiment of the present disclosure, an approach is provided in which a policy module receives data that is initiated by a first virtual machine and has a destination at a second virtual machine. The policy module selects a policy that corresponds to sending the data from the first virtual machine to the second virtual machine. The policy includes one or more logical references to one or more virtual networks, and does not include a physical reference to a physical entity located on a physical network. In turn, the policy module encapsulates the data with a physical path translation that is based upon the selected policy, and sends the encapsulated data over the physical network to a second policy module that corresponds to the second virtual machine. | 11-15-2012 |
20120291025 | TECHNIQUES FOR OPERATING VIRTUAL SWITCHES IN A VIRTUALIZED COMPUTING ENVIRONMENT - A technique for operating a virtual switch includes determining network connection requirements for virtual machines controlled by a virtual machine monitor. Resources available, for processing data traffic of the virtual machines, are also determined. Finally, based on the network connection requirements and the resources available, a port of a virtual switch is selected to operate as a virtual Ethernet bridge or a virtual Ethernet port aggregator. | 11-15-2012 |
20120291026 | METHOD FOR PROVIDING LOCATION INDEPENDENT DYNAMIC PORT MIRRORING ON DISTRIBUTED VIRTUAL SWITCHES - Techniques for providing location independent dynamic port mirroring on distributed virtual switches is disclosed. A controller is provided to configure one or more virtual switches within a group of physical machines to appear as a set of distributed virtual switches. In response to the receipt of a data packet at a port of a physical machine, a determination is made whether or not the port has a monitor port located on the physical machine. If the port has a monitor port located on the same physical machine, a copy of the data packet is sent to the monitor port of the physical machine. If the port has a monitor port located on a different physical machine, a copy of the data packet along with an identification (ID) of the port and an ID of the monitor port are encapsulated, and the encapsulated information are sent to a controller. | 11-15-2012 |
20120291027 | APPARATUS AND METHOD FOR MANAGING HYPERCALLS IN A HYPERVISOR AND THE HYPERVISOR THEREOF - In one embodiment, an apparatus for managing hypercalls in a hypervisor having an interrupt handler and a schedule is described. A deferrable low-overhead hypercall (DLH) module is configured to assign a separate DLH queue to each of a plurality of virtual machines when the virtual machine is initialized. Each entry in the separate DLH queue represents a hypercall routine. When one of the virtual machines notifies the interrupt handler of at least a deferrable hypercalls to be executed, the scheduler selects at least a virtual CPU (VCPU) and assigns them to the virtual machine to run on at least a physical CPU (PCPU). The DLH module executes the at least a deferrable hypercall inserted in a DLH queue assigned to the virtual machine before restoring the VCPU context to the virtual machine having the at least an assigned VCPU. | 11-15-2012 |
20120291028 | SECURING A VIRTUALIZED COMPUTING ENVIRONMENT USING A PHYSICAL NETWORK SWITCH - A technique for securing a virtualized computing environment includes retrieving identification information from a packet received on a physical port of a network switch. Port assignment data (maintained by one of a virtual machine monitor and a virtual machine monitor management station) for a virtual machine identified in the received packet is retrieved. The identification information from the received packet is compared with the port assignment data to determine whether the virtual machine is assigned to the port. In response to determining that the virtual machine is assigned to the port, the packet is forwarded to a destination designated in the packet. In response to determining that the virtual machine is not assigned to the port, the packet is blocked. | 11-15-2012 |
20120291029 | OPERATING VIRTUAL SWITCHES IN A VIRTUALIZED COMPUTING ENVIRONMENT - A technique for operating a virtual switch includes determining network connection requirements for virtual machines controlled by a virtual machine monitor. Resources available, for processing data traffic of the virtual machines, are also determined. Finally, based on the network connection requirements and the resources available, a port of a virtual switch is selected to operate as a virtual Ethernet bridge or a virtual Ethernet port aggregator. | 11-15-2012 |
20120291030 | COMPLIANCE-BASED ADAPTATIONS IN MANAGED VIRTUAL SYSTEMS - Techniques are disclosed for controlling and managing virtual machines and other such virtual systems. VM execution approval is based on compliance with policies controlling various aspects of VM. The techniques can be employed to benefit all virtual environments, such as virtual machines, virtual appliances, and virtual applications. For ease of discussion herein, assume that a virtual machine (VM) represents each of these environments. In one particular embodiment, a systems management partition (SMP) is created inside the VM to provide a persistent and resilient storage for management information (e.g., logical and physical VM metadata). The SMP can also be used as a staging area for installing additional content or agentry on the VM when the VM is executed. Remote storage of management information can also be used. The VM management information can then be made available for pre-execution processing, including policy-based compliance testing. | 11-15-2012 |
20120291031 | METHOD AND DEVICE FOR LOCALIZING JAVA EDIT BOXES - A method and device for localizing Java edit boxes are provided. The method includes: when a user selects a Java edit box from a Java Platform 2 Micro Edition (J2ME) client interface, a Java virtual machine creates a local edit box for the Java edit box and presents the local edit box to the user; and the Java virtual machine replaces any new Java edit box which is selected by the user with the local edit box and presents the local edit box to the user. The number of the local input box controlled by the virtual machine is only one, therefore the technical solution solves the problem of localizing the Java edit box individually, localization can be realized more simply with the method of the disclosure compared to the method using multiple local edit boxes controls to implement the localization, and the user experience and feelings are also improved. | 11-15-2012 |
20120297379 | VENDOR-INDEPENDENT RESOURCE CONFIGURATION INTERFACE FOR SELF-VIRTUALIZING INPUT/OUTPUT DEVICE - A vendor-independent resource configuration interface automatically maps virtual functions to physical functions in a self-virtualizing IO resource using the concept of a logical port that maps to a particular physical port and protocol in the self-virtualizing IO resource. A user wishing to provide a logical partition access to a self-virtualizing IO resource typically creates or configures a logical port for the logical partition by mapping the logical port to a particular physical port and protocol defined for the self-virtualizing IO resource, and an appropriate virtual function mapped to an appropriate physical function on an appropriate self-virtualizing IO resource is automatically selected, typically without requiring the user to have a detailed understanding of the numbers of supported virtual functions, physical functions and protocols supported by specific resources. | 11-22-2012 |
20120297380 | COMBINING PROFILES BASED ON PRIORITIES - Combining profiles based on priorities associated therewith to create an effective profile are provided. A plurality of profiles defining one or more rules that are applicable to a functional computing object are identified. A priority corresponding to each applicable profile is determined. The applicable profiles are combined by the computing device based on the corresponding priorities to create an effective profile that includes no conflicting rules. | 11-22-2012 |
20120297381 | SYSTEM FOR A MULTI-TENANT STORAGE ARRAY VIA DOMAINS HOSTED ON A VIRTUAL MACHINE - A system for managing a storage array having a set of storage components comprises a storage array controller, the storage array controller including: a first instance of a controller firmware on a virtual machine in a privileged domain, the privileged domain having access to hardware of the storage array; and a second instance of the controller firmware on a separate virtual machine in a first non-privileged array domain. The privileged domain is configured to inspect an I/O request and determine whether a target of the I/O request is an existing non-privileged array domain, to initiate launch of the first non-privileged array domain array when the I/O request does not relate to an existing non-privileged array domain, and to present available storage components to the first non-privileged array domain when the I/O request relates to the first non-privileged array domain. | 11-22-2012 |
20120297382 | VIRTUAL SERVER AND VIRTUAL MACHINE MANAGEMENT METHOD FOR SUPPORTING ZERO CLIENT - Disclosed herein are a virtual server and a virtual machine management method for supporting a zero client. The virtual server includes a virtual machine management agent unit and a virtualization unit. The virtual machine management agent unit generates a virtual host interface resource pool and a directly allocatable host interface resource pool by classifying attributes of host interface resources of the virtual server. The virtualization unit provides a host interface of a virtual machine by selectively using any one of emulation mode in which a virtual host interface set corresponding to the virtual host interface resource pool is generated and direct connection mode in which a directly allocatable host interface set corresponding to the directly allocatable host interface resource pool is connected to the zero client. | 11-22-2012 |
20120297383 | METHODS AND SYSTEMS FOR VIRTUALIZING AUDIO HARDWARE FOR ONE OR MORE VIRTUAL MACHINES - The present disclosure is directed towards methods and systems for virtualizing audio hardware for one or more virtual machines. A control virtual machine (VM) may translate a first stream of audio functions calls from a first VM hosted by a hypervisor. The translated first stream of audio function calls may be destined for a sound card of the computing device executing the hypervisor. The control VM may detect a second stream of audio functions calls from a second VM hosted by the hypervisor. The control VM may translate the second stream of audio functions calls from the second VM. The control VM may further merge the translated first stream of audio function calls and the translated second stream of the audio function calls in response to the detected second stream. The control VM may transmit the merged stream of audio function calls to the sound card. | 11-22-2012 |
20120297384 | Virtual Managed Network - According to one embodiment of the present disclosure, an approach is provided in which a policy module receives data that is initiated by a first virtual machine and has a destination at a second virtual machine. The policy module selects a policy that corresponds to sending the data from the first virtual machine to the second virtual machine. The policy includes one or more logical references to one or more virtual networks, and does not include a physical reference to a physical entity located on a physical network. In turn, the policy module encapsulates the data with a physical path translation that is based upon the selected policy, and sends the encapsulated data over the physical network to a second policy module that corresponds to the second virtual machine. | 11-22-2012 |
20120304168 | SYSTEM AND METHOD FOR GENERATING A VIRTUAL DESKTOP - A technique for composing a virtual desktop associated with one or more applications in a virtualized computing environment. The technique includes generating file system level metadata in the desktop image for applications that create a perception that data blocks in the desktop image have been allocated to applications, but not actually copying any of the application data into the allocated blocks. Instead, the technique builds a mapping table between disk block numbers and the application data, which can be stored in a separate application store. The disclosed techniques provide a more efficient way to compose a virtual desktop, compared to current virtual desktop adoption techniques. | 11-29-2012 |
20120304169 | OPTIMIZING THE CONFIGURATION OF VIRTUAL MACHINE INSTANCES IN A NETWORKED COMPUTING ENVIRONMENT - Embodiments of the present invention provide an approach for optimizing a configuration of virtual machine (VM) instances. In a typical embodiment, such optimization comprises either the splitting of a single VM instance into multiple VM instances or the consolidation of multiple VM instances into fewer (e.g., a single) VM instance. Along these lines, it will first be determined which VM instances would be good candidates for reconfiguration. Under one approach, VM instances that are candidates for reconfiguration are identified based upon an analysis of applicable/associated service level agreement (SLA) terms versus the performance of the VM instances. For example, VM instances can be reconfigured if such reconfiguration will maximize a benefit provided by the applicable SLA terms (e.g., if the splitting of a single VM instance into multiple VM instances would cause a workload to be processed more efficiently, resulting in a more favorable cost/benefit ratio). In another embodiment, candidate VM instances can be identified based upon a commonality of an entity (e.g., a consumer) associated therewith. For example, if a single entity is utilizing multiple VM instances, such VM instances could be considered candidates for consolidation to avoid unnecessary computing resource consumption. | 11-29-2012 |
20120304170 | SYSTEMS AND METHODS FOR INTROSPECTIVE APPLICATION REPORTING TO FACILITATE VIRTUAL MACHINE MOVEMENT BETWEEN CLOUD HOSTS - Embodiments relate to systems and methods for introspective application reporting to facilitate virtual machine movement between cloud hosts. A set of aggregate usage history data can record consumption of processor, software, or other resources subscribed to by one or more users in a or clouds. A cloud management system can host a cloud-based operating system which can support a set of virtual machines via a set of guest operating systems that are virtualized in the operating system. One or more of the virtual machines can be configured with an introspection daemon which is configured to inspect the application set and configuration state of the associated virtual machine. The introspection daemon can generate an introspection report containing application inventories, execution states, and other information and transmit that report to the kernel of the cloud-based operating system. The kernel can receive that report and generate a set of migratable virtual machine images via a virtual machine image manager or other logic. Migrations of the encoded virtual machines and/or applications can be initiated at kernel level. | 11-29-2012 |
20120304171 | Managing Data Input/Output Operations - Systems and methods for managing data input/output operations are described that include virtual machines operating with a shared storage within a host. In such a system, a computer-implemented method is provided for dynamically provisioning cache storage while operating system applications continue to operate, including stalling the virtual machine's local cache storage operations, changing the provision of cache storage size; and resuming the operations of the virtual machine. | 11-29-2012 |
20120304172 | Method and System for Transaction Controlled Sampling of Distributed Hetereogeneous Transactions without Source Code Modifications - A system and method for tracing individual transactions at the granularity of method calls uses instrumentation based transaction tracing mechanisms to enhance thread call stack sampling mechanisms by a) only sampling threads executing monitored transactions while execution is ongoing; and b) tagging sampled call stacks with a transaction ID for correlation of sampled call stacks with instrumentation based tracing data. The combination of instrumentation based tracing with thread call stack sampling reduces sampling generated overhead by only sampling relevant threads, and reduces instrumentation generated overhead because it allows reducing instrumentation. | 11-29-2012 |
20120304173 | SYSTEM AND METHOD FOR SYNCHRONIZING TRANSIENT RESOURCE USAGE BETWEEN VIRTUAL MACHINES IN A HYPERVISOR ENVIRONMENT - A system and method for synchronizing transient resource usage between virtual machines, e.g. Java Virtual Machines (JVMs), running within a hypervisor. In accordance with an embodiment, the system allows for synchronizing garbage collection and code optimization to reduce transient processor (cpu) and memory usage. In accordance with an embodiment, the system comprises a computer; a hypervisor for executing virtual servers running JVMs; a communication channel between the virtual servers; wherein each virtual server further comprises non-transient and transient memory and a synchronization module. In accordance with an embodiment the synchronization modules schedule garbage collects and code optimizations to minimize overlaps, thereby reducing the peak transient memory and cpu usage and the resulting volatility of transient resource usage within a computer. In accordance with another embodiment, a cloud manager can move virtual servers between computers to optimize computer volatility within a cloud. | 11-29-2012 |
20120304174 | VIRTUAL SOLUTION COMPOSITION AND DEPLOYMENT SYSTEM AND METHOD - Various embodiments disclose a method and system for creating a virtual part used for composing a virtual solution. In one embodiment, a user's selection of at least one virtual image is received. A set of configurability points is associated with the virtual image. A set of parameters of a virtual part is set as configurable during virtual solution composition. A set of virtual ports is generated. Each virtual port within the set of virtual ports indicates at least one of a set of virtual parts required by a virtual part including the set of virtual ports and a set of virtual parts that is compatible with the virtual part. A set of configuration operations is received. A virtual part including at least the virtual image, the set of configurability points, the set of virtual ports, and the configuration operations is generated. | 11-29-2012 |
20120304175 | NETWORK PERFORMANCE MONITOR FOR VIRTUAL MACHINES - Embodiments of the present invention relate to a method and system to monitor network performance in a computer including at least one physical server having a hardware machine attached via a hypervisor to a set of virtual machines. According to an embodiment of the present invention, the system measures network performance parameters for selected packet flows in transition between the hardware machine and the virtual machines, analyzes the measured performance parameters, and identifies a source of network degradation. | 11-29-2012 |
20120304176 | VIRTUAL MACHINE HANDLING SYSTEM, VIRTUAL MACHINE HANDLING METHOD, COMPUTER, AND STORAGE MEDIUM - A memory retaining unit ( | 11-29-2012 |
20120311564 | System and method to support subscription based Infrastructure and software as a service - A mini-cloud system has been described to enable subscription or service model for computing infrastructure, software and Digital content. The mini-cloud system work as a pipe line for information delivery connecting end user, infrastructure provider, content provider, and retailer offering automatic provisioning of infrastructure, content lifecycle management, automatic upgrade, servicing, license managements based on subscription model. Mini-cloud system uses a subscription management component, virtualization host to back computing environment, then add routing component to connect to backend cloud infrastructure along with a digital content delivery framework. The system allow a user to subscribe a computing environment, preferred software applications, and digital content like move and pay a monthly utility bill. | 12-06-2012 |
20120311565 | HOST-TO-GUEST CHANNEL FOR GUEST DEVICE IDENTIFICATION - A method and system for providing a descriptive name of a device in a virtual machine system. A computer system hosts a hypervisor, a management component and a guest. The hypervisor receives from the management component a descriptive name of a device available to the guest. The descriptive name defines at least one of the functionality of the device, the content of the device, or a user of the device. The hypervisor then passes the descriptive name to the guest via a host-to-guest channel, which is a shared storage location writable by the hypervisor and readable by the guest. | 12-06-2012 |
20120311566 | COMPUTER SYSTEM AND ITS EVENT NOTIFICATION METHOD - If a failure occurs in physical resources constituting a virtual volume, a management server device is notified of information required by a user. | 12-06-2012 |
20120311567 | VIRTUAL MEDIA SHELF - A method and system for providing a guest with virtual media that can be read by the guest with an emulated media drive. A hypervisor hosted by a computer system presents a guest-to-host channel to a guest in the computer system. The hypervisor receives content from the guest via the guest-to-host channel, and then receives a request from the guest indicating that the guest is to read the content. The hypervisor provides the content for the guest to read by emulating a media drive and an insertion of removable virtual media. The removable virtual media contains the content and is readable by the guest with the emulated media drive. | 12-06-2012 |
20120311568 | Mechanism for Inter-Cloud Live Migration of Virtualization Systems - A mechanism for inter-cloud live migration of virtualization systems is disclosed. A method of the invention includes receiving notification that live migration of a virtual machine (VM) has completed, wherein the VM is migrated from a source host computing machine on a source cloud to a target host computing machine on a target cloud, receiving requests sent to a previous IP address of the VM associated with the source cloud, the requests routed over a layer-2 (L2) network tunnel established between the source cloud and the target cloud, configuring a new network interface with a new Internet Protocol (IP) address for the VM to receive requests directly via a communication connection of the target cloud, and simultaneously handling the requests at both of the previous IP address received via the L2 network tunnel and the new IP address via the communication connection of the target cloud. | 12-06-2012 |
20120311569 | TEST SUITES FOR VIRTUALIZED COMPUTING ENVIRONMENTS - A method and system for performing tests in a virtualized computing environment. A test suite includes test code for testing emulation of a device associated with a guest hosted by a computer system. The computer system sets an input parameter of a migration command in the test code to a first value to turn off the migration command. The computer system executes the test code to produce a first result. The computer system also sets the input parameter of the migration command to a second value to turn on the migration command, and executes the test code to produce a second result. Turning on the migration command causes the guest to migrate to a second hypervisor. Based on the first result and the second result, the computer system determines whether an error occurs in migration of the guest or in the emulation of the device. | 12-06-2012 |
20120311570 | DISTRIBUTED COMPUTING FRAMEWORK - Embodiments are directed to distributing processing tasks from the reduced-performance computer system to at least one other computer system, to processing, at one computer system, a distributed task received from a reduced-performance computer system, and to establishing a simulation environment for testing distributed computing framework functionality. In an embodiment, a reduced-performance computer system monitors computing tasks to determine a processing resource usage level for each task. The computing tasks are part of a software application that is running on the reduced-performance computer system. The reduced-performance computer system determines that one of the monitored tasks is using processing resources beyond a specified threshold level. The reduced-performance computer system sends the task to another computer system that receives, processes and returns the results of the tasks to the reduced-performance computer system. The reduced-performance computer system presents the processed results received from the other computer system within the running software application. | 12-06-2012 |
20120311571 | SYSTEMS AND METHODS FOR TRACKING CLOUD INSTALLATION INFORMATION USING CLOUD-AWARE KERNEL OF OPERATING SYSTEM - Embodiments relate to systems and methods for tracking cloud installation information using a cloud-aware kernel of operating system. A guest operating system can be hosted in a cloud-based network which to support a set of virtual machines operating in that set of host clouds. The guest operating system can be configured with resources in the kernel to detect and manage the identity of the cloud in which the operating system is installed, as well as the duration of operation of the operating system and potentially, usage and other details of the set of virtual machines and their associated guest operating systems. Because the cloud identification and other data capture occurs directly in a cloud-aware kernel of the guest operating system, security and responsiveness are enhanced. The resulting set of kernel hosting data can be securely exported to a metering system or other platform for billing or other purposes. | 12-06-2012 |
20120311572 | METHOD AND APPARATUS FOR IMPLEMENTING VIRTUAL PROXY TO SUPPORT HETEROGENOUS SYSTEMS MANAGEMENT - A computer system apparatus includes a first virtual machine hosted by a first physical machine. A target machine is communicatively coupled to the first virtual machine. The target machine is one of another physical machine and another virtual machine. The first virtual machine serves as a virtual proxy for the target machine. | 12-06-2012 |
20120311573 | ISOLATION OF VIRTUAL MACHINE I/O IN MULTI-DISK HOSTS - Embodiments of the present invention relate to systems, methods, and computer storage media for concurrently maintaining a spanned virtual hard drive across two or more computer-storage media and a non-spanned virtual hard drive on one of computer-storage media. The method includes storing data of the spanned virtual hard drive across the computer-storage media utilizing volume spanning. While the spanned virtual hard drive is maintained on the computer storage media, the method includes storing data of the non-spanned virtual hard drive on one of the computer-storage media. | 12-06-2012 |
20120311574 | SYSTEM AND METHOD FOR PROVIDING EVIDENCE OF THE PHYSICAL PRESENCE OF VIRTUAL MACHINES - In accordance with some embodiments of the present disclosure a method for providing evidence of a physical location of a virtual machine comprises launching, by an information technology (IT) resource, a virtual machine from a virtual machine image. The method further comprises generating, by the IT resource, a chain entry in a physical presence chain of the virtual machine image, the chain entry including an identifier of the IT resource indicating that the IT resource launched the virtual machine. | 12-06-2012 |
20120311575 | SYSTEM AND METHOD FOR ENFORCING POLICIES FOR VIRTUAL MACHINES - In accordance with some embodiments of the present disclosure, a method for enforcing a policy associated with a user of a cloud computing service comprises determining a policy associated with a user of a cloud computing service. The method further comprises determining whether an information technology (IT) resource complies with the policy. The method additionally comprises determining that the IT resource is to launch a virtual machine to perform a computing service requested by the user if the IT resource complies with the policy. | 12-06-2012 |
20120311576 | SYSTEM AND METHOD FOR RESTARTING A WORKLOAD BASED ON GLOBAL LOAD BALANCING - A method for restarting a virtual machine in a virtual computing system having a plurality of hosts and a resource scheduler for the plurality of hosts includes writing a placement request for the virtual machine to a shared channel that is accessible by the resource scheduler. The method further includes reading a placement result from the shared channel, wherein the placement result is generated by the resource scheduler responsive to the placement request; and restarting the virtual machine in accordance with the placement result. | 12-06-2012 |
20120311577 | SYSTEM AND METHOD FOR MONITORING VIRTUAL MACHINE - A remote computer and method monitors a resource utilization rate of a cloud server of a datacenter. The remote computer obtains a resource utilization rate of each of virtual machines installed in the cloud server. The remote computer calculates the resource utilization rate of the cloud server according to the resource utilization rate of each of the virtual machines. The remote computer transfers one or more virtual machines from the cloud server to other cloud servers until the resource utilization rate of the cloud server is equal to or less than the predetermined resource utilization rate. | 12-06-2012 |
20120311578 | INFORMATION TERMINAL APPARATUS AND METHOD THEREOF - An information terminal apparatus includes a memory and a processor. The memory stores information indicating a front operating system. The processor runs a first virtual machine that executes a first operating system. The processor runs a second virtual machine that executes a second operating system. The processor controls user interface of the information terminal apparatus by executing the front operating system. The processor detects, by referring to the information stored in the memory, that the front operating system is changed from the first operating system to the second operating system. The processor notifies, upon the detection, the first virtual machine of a first notification indicating that the user interface stops. The processor notifies, upon the detection, the second virtual machine of a second notification indicating that the user interface restarts. | 12-06-2012 |
20120311579 | SYSTEM AND METHOD FOR UPDATING VIRTUAL MACHINE TEMPLATE - A remote computer and method deploys a first or a second virtual machine template in the cloud servers at the time interval. The remote computer sets the first virtual machine template power-off state, and deploys the first virtual machine template in each of the cloud servers. The remote computer sets the second virtual machine template power-off state, and deploys the second virtual machine template in the cloud server, in response to a determination that update of the second virtual machine template completes. The remote computer replaces the first virtual machine template with the second virtual machine template. | 12-06-2012 |
20120317566 | VIRTUAL MACHINE PACKET PROCESSING - Packet processing for packets from a virtual machine includes receiving a packet from an external switch at a computer system hosting a plurality of virtual machines. If the received packet is a learning packet, storing a packet signature determined from the learning packet. For a packet to be transmitted from a virtual machine in the computer system, determining if the packet's signature matches the stored packet signature. If the packet's signature matches the stored packet signature, performing an action associated with the packet signature. | 12-13-2012 |
20120317567 | VIRTUAL NETWORK CONFIGURATION AND MANAGEMENT - A Hardware Management Console (HMC) configures virtual networks. The HMC extends Internal Queued Direct I/O to an intra-ensemble data network (IEDN) within a cluster of virtual servers to define a single channel path identifier, and to define a channel parameter. The HMC defines at least one virtual network. The HMC defines a bridge port within each CPC that supports at least one of the virtual servers. The HMC defines a network interface for each virtual server within each cluster. The HMC grants access to a corresponding virtual network for each virtual server. | 12-13-2012 |
20120317568 | OPERATING SYSTEM DECOUPLED HETEROGENEOUS COMPUTING - A heterogeneous processing system is described herein that provides a software hypervisor to autonomously control operating system thread scheduling across big and little cores without the operating system's awareness or involvement to improve energy efficiency or meet other processing goals. The system presents a finite set of virtualized compute cores to the operating system to which the system schedules threads for execution. Subsequently, the hypervisor intelligently controls the physical assignment and selection of which core(s) execute each thread to manage energy use or other processing requirements. By using a software hypervisor to abstract the underlying big and little computer architecture, the performance and power operating differences between the cores remain opaque to the operating system. The inherent indirection also decouples the release of hardware with new capabilities from the operating system release schedule. | 12-13-2012 |
20120317569 | MULTI-DOMAIN INFORMATION SHARING - The present disclosure includes methods and systems for multi-domain information sharing. One or more embodiments can include a computing device with a VMM hosting a first information domain VM, a second information domain VM, and a cross-domain solution VM that controls information transfer between the first information domain VM and the second information domain VM. One or more embodiments can also include a hypervisor to enforce an isolation policy within the system. | 12-13-2012 |
20120317570 | SYSTEM AND METHOD FOR VIRTUAL PARTITION MONITORING - A method is provided in one example embodiment that includes receiving in an external handler an event notification associated with an event in a virtual partition. A thread in the process in the virtual partition that caused the event can be parked. Other threads and processes may be allowed to resume while a security handler evaluates the event for potential threats. A helper agent within the virtual partition may be instructed to execute a task, such as collecting and assembling event context within the virtual partition, and results based on the task can be returned to the external handler. A policy action can be taken based on the results returned by the helper agent, which may include, for example, instructing the helper agent to terminate the process that caused the event. | 12-13-2012 |
20120317571 | SUPER OPERATING SYSTEM FOR A HETEROGENEOUS COMPUTER SYSTEM - A super operating system for a heterogeneous computer system for executing tasks of software that has at least one performance processor, a processor supporting logic, and a hypervisor processor. The super operating system has a performance operating system for the performance processor; a hypervisor operating system for the hypervisor processor and a heterogeneous hypervisor software layer on top of the performance and hypervisor processors and below the performance and hypervisor operating systems. Under the super operating system, the hypervisor processor executes tasks that the hypervisor processor has sufficient processing power to handle and puts the performance processor to a power-conserving state. The hypervisor processor brings the performance processor out of power-conserving state to execute tasks that the hypervisor processor has insufficient processing power to handle. The performance and hypervisor processors simultaneously execute tasks that require combined processing power of all processors. | 12-13-2012 |
20120317572 | VIRTUALIZATION EVENT PROCESSING IN A LAYERED VIRTUALIZATION ARCHITECTURE - Embodiments of apparatuses and methods for processing virtualization events in a layered virtualization architecture are disclosed. In one embodiment, an apparatus includes a event logic and evaluation logic. The event logic is to recognize a virtualization event. The evaluation logic is to determine whether to transfer control from a child guest to a parent guest in response to the virtualization event. | 12-13-2012 |
20120317573 | DETERMING AN ALLOCATION CONFIGURATION FOR ALLOCATING VIRTUAL MACHINES TO PHYSICAL MACHINES - A computer-executable method for determining an allocation configuration for allocating virtual machines to physical machines. The method includes the steps of determining a predicted peak usage amount of physical resources for each time interval for individual clusters wherein the clusters include a plurality of virtual machines; setting a constraint that ensures the total predicted peak usage amount of the physical resource predicted for the first physical machine does not exceed the physical resource amount for the first physical machine; and deriving an allocation configuration by calculating, in accordance with the constraint, a solution to an optimization problem for minimizing, as an objective function, the sum total of the physical resource amounts of the plurality of physical machines to which the virtual machines are allocated. | 12-13-2012 |
20120324441 | DECENTRALIZED MANAGEMENT OF VIRTUALIZED HOSTS - One or more embodiments of the present invention provide a technique for effectively managing virtualized computing systems with an unlimited number of hardware resources. Host systems included in a virtualized computer system are organized into a scalable, peer-to-peer (P2P) network in which host systems arrange themselves into a network overlay to communicate with one another. The network overlay enables the host systems to perform a variety of operations, which include dividing computing resources of the host systems among a plurality of virtual machines (VMs), load balancing VMs across the host systems, and performing an initial placement of a VM in one of the host systems. | 12-20-2012 |
20120324442 | System and Method for an In-Server Virtual Switch - In accordance with an embodiment, a data processing system includes a processor, a memory, and an interface port configured to be coupled to a hardware network interface device. The processor is configured to run a process that maps network switching functions of each of a plurality of virtual machines to the hardware network interface device. | 12-20-2012 |
20120324443 | REDUCING DATA TRANSFER OVERHEAD DURING LIVE MIGRATION OF A VIRTUAL MACHINE - A computer-implemented method is provided for reducing network traffic associated with live migration. The method comprises selecting a virtual machine on a first physical host for migration to a second physical host, and providing each application running in the selected virtual machine with notification that the migration has started. In response to receiving the notification that the migration has started, each application reducing the number of memory pages in use during the migration. The selected virtual machine and each application is then migrated from the first physical host to the second physical host, wherein the migration of each application includes migration of the reduced number of memory pages. Non-limiting examples of methods that may be used to reduce the number of memory pages includes identifying memory that contains garbage, reducing the size of the heap, increasing the pause time used for garbage collection, deferring just-in-time compilation of hot methods, and releasing caches and buffers. | 12-20-2012 |
20120324444 | DECENTRALIZED MANAGEMENT OF VIRTUALIZED HOSTS - One or more embodiments of the present invention provide a technique for effectively managing virtualized computing systems with an unlimited number of hardware resources. Host systems included in a virtualized computer system are organized into a scalable, peer-to-peer (P2P) network in which host systems arrange themselves into a network overlay to communicate with one another. The network overlay enables the host systems to perform a variety of operations, which include dividing computing resources of the host systems among a plurality of virtual machines (VMs), load balancing VMs across the host systems, and performing an initial placement of a VM in one of the host systems. | 12-20-2012 |
20120324445 | IDENTIFICATION OF OVER-CONSTRAINED VIRTUAL MACHINES - A method for virtual machine management that includes establishing a first virtual machine on a hardware platform, performing a selected task on the first virtual machine and recording a first data set indicating a characteristic of the first virtual machine performing the selected task. The method also includes establishing a second virtual machine on the hardware platform, performing the selected task on the first and second virtual machines, recording a second data set indicating the characteristic of the first and second virtual machines performing the selected task and indicating acceptable data and unacceptable data within the first and second data sets. The method also includes creating and training a decision tree based on the acceptable and unacceptable data from the first and second data sets and inputting a third data set from a third virtual machine into the decision tree to determine if the third virtual machine is over-constrained. | 12-20-2012 |
20120324446 | VIRTUAL MACHINE IMAGE COMPOSITION AND SIGNING - Techniques are described for composing virtual machine images, generating signatures thereof, and verifying virtual machine images. A virtual machine image may be generated by installing or inserting software to a base virtual machine image. A signature may be computed using hash values of blocks of the base virtual machine image; blocks of the base image that are unchanged need not be hashed to generate the signature. A copy of the new virtual machine image can be verified at a computer hosting virtual machines by computing hashes only for modified or new blocks (relative to the base image). Block verification can take place in the background when a virtual machine starts; all of the blocks are verified (hashed and compared) in some order, and at the same time, unverified blocks are verified on demand as needed by the virtual machine. | 12-20-2012 |
20120324447 | SOFTWARE VIRTUAL MACHINE FOR ACCELERATION OF TRANSACTIONAL DATA PROCESSING - In general, this disclosure is directed to a software virtual machine that provides high-performance transactional data acceleration optimized for multi-core computing platforms. The virtual machine utilizes an underlying parallelization engine that seeks to maximize the efficiencies of multi-core computing platforms to provide a highly scalable, high performance (lowest latency), virtual machine. In some embodiments, the virtual machine may be viewed as an in-memory virtual machine with an ability in its operational state to self organize and self seek, in real time, available memory work boundaries to automatically optimize maximum available throughput for data processing acceleration and content delivery of massive amounts of data. | 12-20-2012 |
20120324448 | SOFTWARE VIRTUAL MACHINE FOR CONTENT DELIVERY - In general, this disclosure is directed to a software virtual machine that provides high-performance transactional data acceleration optimized for multi-core computing platforms. The virtual machine utilizes an underlying parallelization engine that seeks to maximize the efficiencies of multi-core computing platforms to provide a highly scalable, high performance (lowest latency), virtual machine. In some embodiments, the virtual machine may be viewed as an in-memory virtual machine with an ability in its operational state to self organize and self seek, in real time, available memory work boundaries to automatically optimize maximum available throughput for data processing acceleration and content delivery of massive amounts of data. | 12-20-2012 |
20120324449 | SOFTWARE VIRTUAL MACHINE FOR DATA INGESTION - In general, this disclosure is directed to a software virtual machine that provides high-performance transactional data acceleration optimized for multi-core computing platforms. The virtual machine utilizes an underlying parallelization engine that seeks to maximize the efficiencies of multi-core computing platforms to provide a highly scalable, high performance (lowest latency), virtual machine. In some embodiments, the virtual machine may be viewed as an in-memory virtual machine with an ability in its operational state to self organize and self seek, in real time, available memory work boundaries to automatically optimize maximum available throughput for data processing acceleration and content delivery of massive amounts of data. | 12-20-2012 |
20120324450 | COMPUTER SYSTEM, VIRTUAL COMPUTER SYSTEM, COMPUTER ACTIVATION MANAGEMENT METHOD AND VIRTUAL COMPUTER ACTIVATION MANAGEMENT METHOD - A virtualization mechanism in a first computer of the plurality of computers generates at least one virtual computer on the first computer. The virtualization mechanism in the first computer executes at least one of a first judgment and a second judgment when a request for activating a first virtual computer of the at least one virtual computer is received, the first judgment being for judging whether or not the activation of the first virtual computer is prohibited based on first information stored in a memory of the virtualization mechanism, and the second judgment being for judging whether or not an identifier included in a logic I/O adapter device assigned to the first virtual computer is invalid based on second information stored in the memory of the virtualization mechanism. The activation of the first virtual computer is permitted or prohibited based on the executed judgment. | 12-20-2012 |
20120324451 | VIRTUALIZATION PLANNING SYSTEM - The embodiments related to systems and methods for virtualization planning. A set of target machines may employ one or more virtualization technologies to divide resources of the given target computer system into multiple execution environments for virtual machines. Overhead profiles are determined based on a configuration of a given target computer system, the virtualization technology, and work performed by the virtual machines. The overhead consumed by the virtualization technologies is estimated for the proposed allocation of virtual machines. Performance of the proposed allocation of virtual machines is then modeled and various performance measures are provided. | 12-20-2012 |
20120324452 | HIGH AVAILABILITY SYSTEM AND EXECUTION STATE CONTROL METHOD - A high availability system includes a first computer on which a first virtual computer and a first hypervisor managing the first virtual computer operate, and a second computer on which a second virtual computer and a second hypervisor managing the second virtual computer operate. The first hypervisor includes an acquisition unit which acquires synchronization information associated with an event, wherein the event has occurred in the first virtual computer and accompanies an input to the first virtual computer, and a transmission unit which transmits the acquired synchronization information to the second hypervisor. The second hypervisor includes a reception unit which receives the synchronization information from the first hypervisor, and a control unit which performs control to match an input to the second virtual computer with an input to the first virtual computer in accordance with the received synchronization information. | 12-20-2012 |
20120331461 | HOST ENABLED MANAGEMENT CHANNEL - A logical communication path is provided between a target virtual machine (VM) and a host or application communicating with the VM. The target VM runs on a hypervisor host that has a hypervisor and a proxy agent. The hypervisor manages execution of the VM. A mapping is maintained indicating which VMs execute on which hosts. When the host or application is to send a message or packet to the target VM, the mapping is consulted and the hypervisor host hosting the target VM is identified. The message or packet, which may identify the target VM, is transmitted to the hypervisor host. A proxy agent at the hypervisor host selects a communication channel between the hypervisor and the target VM. The hypervisor then passes the message or packet through the selected channel to the target VM. | 12-27-2012 |
20120331462 | SYSTEMS AND METHODS FOR DELETION OF UNTRACKED DATASTORE PATHS - In an embodiment, first and second lists of virtual machine datastore paths are obtained. The first list includes datastore paths on a datastore. The second list includes datastore paths that are associated with existing virtual machines. The first and second lists are compared and non-matching datastore paths are deleted from the datastore, thereby freeing up disk space on the datastore. | 12-27-2012 |
20120331463 | METHOD FOR PROVIDING AN ON-DEMAND SOFTWARE EXECUTION SERVICE - A method for providing an on-demand software execution service comprising the following steps: receiving from at least one terminal, at least one request for executing at least one software package, by a virtual machine launched on a virtual machine launching server from files from a set of files for launching virtual machines, and selecting from a set of servers at least one server to which the request will be directed, according to a rule for distributing the execution load of virtual machines by servers of said set of servers. | 12-27-2012 |
20120331464 | VIRTUAL MACHINE SYSTEM AND VIRTUAL MACHINE SYSTEM CONTROL METHOD - A virtual machine system is provided with a processor having only two privileged modes, a low privileged mode and a high privileged mode, and achieves both a security function for protecting digital copyrighted works or the like and an operating system switching function that guarantees system reliability. The virtual machine system is provided with a first and a second processor and executes a hypervisor on the first processor in the high privileged mode. An operating system on the second processor is executed by cooperation between the hypervisor running on the first processor and a program running on the second processor in low privileged mode. This eliminates the need for running the hypervisor on the second processor in the high privileged mode, thus allowing for execution on the second processor in the high privileged mode of a program for implementing the security function. | 12-27-2012 |
20120331465 | VIRTUAL MACHINE SYSTEM, VIRTUAL MACHINE CONTROL METHOD, VIRTUAL MACHINE CONTROL APPLICATION, AND SEMICONDUCTOR INTEGRATED CIRCUIT - A memory protection unit controls access by virtual machines to memory areas. By having a hypervisor executed by a processor and the memory protection unit cooperate, access to memory areas by each virtual machine is controlled such that access to designated areas is forbidden. Accordingly, each virtual machine is unable to access programs, data, and so on stored in areas forbidden thereto. | 12-27-2012 |
20120331466 | Secure Recursive Virtualization - A mechanism is provided for performing secure recursive virtualization of a computer system. A portion of memory is allocated by a virtual machine monitor (VMM) or an operating system (OS) to a new domain. An initial program for the new domain is loaded into the portion of memory. Secure recursive virtualization firmware (SVF) in the data processing system is called to request that the new domain be generated. A determination is made as to whether the call is from a privileged domain or a non-privileged domain. Responsive to the request being from a privileged domain, all access to the new domain is removed from any other domain in the data processing system. Responsive to receiving an indication that the new domain has been generated, an execution of the initial program is scheduled. | 12-27-2012 |
20120331467 | Delivering Interrupts Directly To A Virtual Processor - Embodiments of apparatuses, methods, and systems for delivering an interrupt to a virtual processor are disclosed. In one embodiment, an apparatus includes an interface to receive an interrupt request, delivery logic, and exit logic. The delivery logic is to determine, based on an attribute of the interrupt request, whether the interrupt request is to be delivered to the virtual processor. The exit logic is to transfer control to a host if the delivery logic determines that the interrupt request is not to be delivered to the virtual processor. | 12-27-2012 |
20120331468 | DYNAMICALLY PROVISIONING VIRTUAL MACHINES - Virtual machines are managed on the basis of data obtained from a management information database of a network switch having a plurality of Ethernet links coupled to compute nodes running a plurality of virtual machines. A management entity, such as a provisioning manager, determines the amount of network bandwidth being utilized through each of the Ethernet links. Accordingly, an additional virtual machine may be provisioned to the compute node that is coupled to an Ethernet link identified as having the greatest amount of unutilized network bandwidth. | 12-27-2012 |
20130007729 | Method and System for virtualization assisted remote access system designed For e-Support - A system for remote computer access, for configuration, monitoring and repair, designed for computer technical remote support. A standalone system not affected by operating system (OS) or any other software modules malfunctions which runs in protected environment which enables the system to operate even in the case of severe personal computer (PC) failures, including a loss of network connectivity, operating system (OS) failure to boot and including some hardware failures. A system enabling a remote human technician with an authorization access to the user PC remotely; | 01-03-2013 |
20130007730 | METHODS AND SYSTEMS FOR EXECUTING SOFTWARE APPLICATIONS USING HARDWARE ABSTRACTION - Methods and systems for use in executing a software application using a virtual machine (VM). A hardware description language (HDL) description of a target hardware platform is determined. A programmable hardware component is configured to be functionally equivalent to the target hardware platform based on the HDL description. The software application is executed using the configured programmable hardware component, such as by executing the software application in a VM that is, in turn, executed by the configured programmable hardware component. | 01-03-2013 |
20130007731 | VIRTUAL MACHINE IMAGE LINEAGE - Techniques are described for tracking and maintaining the lineage of virtual machines (VMs). As applications are built or compiled, information about the makeup or elements of the applications is captured. As applications are installed on VMs, that information is also captured. As the VMs are deployed to hosts, decommissioned, migrated between hosts, etc., that information is also maintained. Therefore, it is possible to trace relations between live VMs (and/or hosts they execute on) and the elements of applications installed on the VMs. For example, if an element is a source code file, it may be possible to link that source code file with particular hosts or VMs. Or, it may be possible to determine whether a given host or VM has a dependency on an application element. Given a dataset of lineage information, a wide range of previously unavailable information can be obtained. | 01-03-2013 |
20130007732 | VIRTUAL MACHINE IMAGE ANALYSIS - Techniques for analyzing virtual machine images are described. In one embodiment, a subset of settings is extracted from one or more virtual machine images, the virtual machine images store therein values of the settings. The settings are used by software executing in virtual machines of the virtual machine images, respectively. A target one of the virtual machine images is selected and target values of the settings are obtained from the target virtual machine image. Sample values of the settings are obtained from a plurality of virtual machine images. The subset formed by identifying similarities and differences of the values between the virtual machine images. | 01-03-2013 |
20130007733 | VIRTUAL MACHINE BLOCK SUBSTITUTION - Techniques are described to allow substation of blocks into a virtual machine image of an executing virtual machine. A patch may be applied to a first virtual machine image. One or more blocks modified by the patch are identified and copied to a host having a virtual machine executing from a virtual machine image that is based on the first virtual machine image (prior to the patch). While the virtual machine is executing, the substitution blocks are applied (supersede) corresponding original blocks in the virtual machine image. A guest operating system in the virtual machine may either begin using an executable file in a substitution block. In addition, the guest operating system may be forced to flush from memory and cache executable code replaced by the substitution block. | 01-03-2013 |
20130007734 | SYSTEM, METHOD AND COMPUTER PROGRAM PRODUCT FOR VIRTUAL MACHINE ALLOCATION - According to one aspect of the present disclosure, a method and technique for virtual machine allocation is disclosed. The system includes a host having a hypervisor executing instructions to: responsive to receiving a request to execute a virtual machine on the host, determine a geophysical location of the host; determine a geophysical policy for the virtual machine; determine whether the geophysical policy for the virtual machine corresponds to the geophysical location of the host; and responsive to determining that the geophysical policy for the virtual machine corresponds to the geophysical location of the host, execute the virtual machine. | 01-03-2013 |
20130007735 | VIRTUAL MACHINE ALLOCATION INTERNAL AND EXTERNAL TO PHYSICAL ENVIRONMENT - Allocation of software and data support resources for a virtual machine between a physical support environment and an external location, and migration of a virtual machine between physical support environments. Prior to migration, the virtual machine operates on the first physical support environment using a resident portion of the support resources that is resident on the first physical support environment, and an external portion of the support resources that is not resident on the first physical support environment. The virtual machine is then migrated to a second physical support environment that either already has access to or at least has the capability of accessing a copy of the resident support resources. This migration may be accomplished by mapping a copy of the resident support resources on the second physical support environment to a copy of the external support resources. This allows migration without contemporaneously copying the external portion. | 01-03-2013 |
20130007736 | INFORMATION PROCESSING APPARATUS, METHOD OF PROCESSING INFORMATION AND STORAGE MEDIUM - According to one embodiment, an information processing apparatus includes a virtualization engine which constructs a virtual machine. The virtualization engine includes a virtual disk file generation module and a data transmission module. The virtual disk file generation module generates a virtual disk file for a virtual disk to be constructed on the virtual machine. The virtual disk file has a data structure in which difference data is stored as a layer in time series. The data transmission module executes data transmission in order from difference data in an upper layer which is new in time series, when taking synchronization of the user data in the virtual disk file between a file server and the virtual machine. | 01-03-2013 |
20130007737 | METHOD AND ARCHITECTURE FOR VIRTUAL DESKTOP SERVICE - The present invention relates to a method and an architecture capable of efficiently providing a virtual desktop service. The service architecture for the virtual desktop service includes a connection broker for performing the management of virtual machines, a server monitoring function, and a protocol coordination function. A resource pool is configured to manage software resources that are transferred to a specific virtual machine in a streaming form at a predetermined time and that are executed on the specific virtual machine and to provide provision information about the managed software resources at the request of the connection broker, in order to provide an on-demand virtual desktop service. A virtual machine infrastructure is configured to support hardware resources, generate virtual machines in which the software of the user terminal is operated, and provide the virtual machines as virtual desktops. | 01-03-2013 |
20130007738 | VIRTUAL MACHINE ALLOCATION - According to one aspect of the present disclosure, a method and technique for virtual machine allocation is disclosed. The method includes: responsive to receiving a request to execute a virtual machine, determining a geophysical location of a host for the virtual machine; determining a geophysical policy for the virtual machine; determining whether the geophysical policy for the virtual machine corresponds to the geophysical location of the host for the virtual machine; and responsive to determining that the geophysical policy for the virtual machine corresponds to the geophysical location of the host, executing the virtual machine. | 01-03-2013 |
20130007739 | Virtual machine disk image installation - A processor copies first and second installable binary files into first and second disk images of first and second virtual machines, respectively, before instantiating the images. The processor can copy first installation parameters and second installation parameters into the first image. The processor copies additional first installation parameters and additional second installation parameters into the second image. The processor at least partially executes a first installation process, based on the first installation parameters, to install the first installable binary files, and a second installation process, based on the additional second installation parameters, to install the second installable binary files. The processor at least partially executes the installation processes in an interleaved manner in relation to one another, based on dependencies. After instantiating the images, the processor can execute scripts based on the second installation parameters and the additional second installation parameters to complete installation. | 01-03-2013 |
20130007740 | APPARATUS AND METHOD FOR MONITORING COMMUNICATION PERFORMED BY A VIRTUAL MACHINE - An apparatus stores, in association with each of one or more services to be provided, a communication monitoring rule under which communication performed for providing the each of the one or more services is to be monitored. The apparatus communicates with another apparatus that is operable to run a virtual machine and run a virtual router relaying communication performed by the virtual machine. When a service to be provided by the virtual machine is selected from among the one or more services, the apparatus controls the virtual router to monitor communication performed by the virtual machine according to the communication monitoring rule associated with the selected service, by sending the communication monitoring rule to the virtual router. | 01-03-2013 |
20130007741 | COMPUTER CLUSTER AND METHOD FOR PROVIDING A DISASTER RECOVERY FUNCTIONALITY FOR A COMPUTER CLUSTER - A computer cluster includes: a first compartment, further including a plurality of first physical nodes configured to provide first resources for a first infrastructural virtual instance and second resources for guest virtual instances; and a second compartment, further including a plurality of second physical nodes configured to provide third resources for a second infrastructural virtual instance and fourth resources for guest virtual instances. Each of the plurality of physical nodes further includes a mass storage device, the mass storage device further including: a partition provided for booting the physical node; a partition as part of resources assigned to an infrastructural virtual instance; and a partition as part of other resources. The first infrastructural virtual instance and the second infrastructural virtual instance are configured for monitoring each other. | 01-03-2013 |
20130007742 | Systems and Methods for Input/Output Isolation - Systems and methods for providing virtual stacks are described. A kernel driver is installed and loaded. Then, a virtual stack is created, the virtual stack having a virtual file system, a virtual physical disk, and one or more virtual mounted volume devices. The virtual file system is configured to mimic characteristics of a host file system. The virtual physical disk is configured for providing an interface of a memory storage device to which the virtual file system device binds. The one or more virtual mounted volume devices are configured for allowing the one or more virtual processes to access data in stored memory through the virtual stack. | 01-03-2013 |
20130007743 | METHOD OF CHECKING A POSSIBILITY OF EXECUTING A VIRTUAL MACHINE - To determine, when a virtual machine is executed by an arbitrary machine, whether the virtual machine can access computer resources required for the virtual machine, provided is a method of checking a possibility of executing a virtual machine including a first physical computer for executing a first virtual machine and a second physical computer capable of executing a virtual machine. The method includes the steps of: acquiring information on first logical resources; acquiring setting information set to a adaptor of the first physical computer so that the first virtual machine accesses the first logical resources; listing second logical resources accessible when the first virtual machine is executed by the second physical computer by setting the setting information to a adaptor of the second physical computer; and determining whether the first virtual machine can be executed by the second physical computer by comparing the first and second logical resources. | 01-03-2013 |
20130007744 | OPTIMIZING ON DEMAND ALLOCATION OF VIRTUAL MACHINES USING A STATELESS PREALLOCATION POOL - A method, computer system, and computer program product for allocating virtual machines in a stateless preallocation pool on a computing device is provided. In response to determining that a virtual machine is to be removed from an active pool in a computing device, it is determined whether the virtual machine is to be moved to a preallocation pool of the computing device. In response to determining that the virtual machine is to be moved to the preallocation pool, the virtual machine is cleansed of stateful data by removing unique information about the virtual machine's prior allocation while in the active pool. The virtual machine is moved to the preallocation pool. In response to determining that the virtual machine is needed in the active pool, the virtual machine is moved from the preallocation pool to the active pool. | 01-03-2013 |
20130007745 | IMAGE ASSET LIFECYCLE MANAGEMENT IN A COMPUTING ENVIRONMENT - Lifecycles of virtual image assets are managed as follows. A set of assets including a set virtual image assets and a set of software bundle assets are analyzed. At least a portion of relationship data between one or more of the virtual image assets and one or more of the software bundle assets is determined. The at least a portion of relationship data is stored in a memory. At least one of one or more virtual image assets and one or more software bundle assets are determined to be associated with a set of changes. At least one virtual image asset that is related to the one or more virtual image assets and/or one or more software bundle assets associated with the set of changes is identified. The at least one virtual image asset that has been identified is updated based on the set of changes. | 01-03-2013 |
20130014101 | Offering Network Performance Guarantees in Multi-Tenant Datacenters - Methods of offering network performance guarantees in multi-tenant datacenters are described. In an embodiment, a request for resources received at a datacenter from a tenant comprises a number of virtual machines and a performance requirement, such as a bandwidth requirement, specified by the tenant. A network manager within the datacenter maps the request onto the datacenter topology and allocates virtual machines within the datacenter based on the available slots for virtual machines within the topology and such that the performance requirement is satisfied. Following allocation, stored residual capacity values for elements within the topology are updated according to the new allocation and this updated stored data is used in mapping subsequent requests onto the datacenter. The allocated virtual machines form part of a virtual network within the datacenter which is allocated in response to the request and two virtual network abstractions are described: virtual clusters and virtual oversubscribed clusters. | 01-10-2013 |
20130014102 | PLANNED VIRTUAL MACHINES - A planned virtual machine, for use in staging the construction of a virtual machine. Such a planned virtual machine may be used as part of a method for migrating virtual machines. The method may include creating a planned virtual machine based on a first realized virtual machine or a template, performing a configuration operation on the planned virtual machine, and converting the planned virtual machine to a second realized virtual machine. The configuration operation may comprise interaction with a virtualization platform managing the planned virtual machine and may be based on input provided by a user. | 01-10-2013 |
20130014103 | COMBINED LIVE MIGRATION AND STORAGE MIGRATION USING FILE SHARES AND MIRRORING - Migration of a virtual machine and associated files to a destination host may be performed. A source host may initiate establishment of a temporary network file share at a destination location of the destination host to provide the source host and the destination host with access to the file share. While the virtual machine is running at the source host, a storage migration and a live migration may be initiated. Using the network file share, the source host may copy the associated files to the destination location. A runtime state of the virtual machine may be copied to the destination host. In a final phase of the migration, the virtual machine at the source host may be stopped, the storage migration may be completed, the copying of the runtime state may be completed, and the virtual machine may be started at the destination host. | 01-10-2013 |
20130014104 | METHODS AND APPARATUS FOR PROVIDING HYPERVISOR LEVEL DATA SERVICES FOR SERVER VIRTUALIZATION - A hypervisor virtual server system, including a plurality of virtual servers, a plurality of virtual disks that are read from and written to by the plurality of virtual servers, a physical disk, an I/O backend coupled with the physical disk and in communication with the plurality of virtual disks, which reads from and writes to the physical disk, a tapping driver in communication with the plurality of virtual servers, which intercepts I/O requests made by any one of said plurality of virtual servers to any one of said plurality of virtual disks, and a virtual data services appliance, in communication with the tapping driver, which receives the intercepted I/O write requests from the tapping driver, and that provides data services based thereon. | 01-10-2013 |
20130014105 | VIRTUAL MACHINE STAGE DETECTION - A computer implemented method for categorizing a virtual machine stage. A data processing system starts a virtual machine. It sets factor-stage ranges, wherein for each combination factor and stage, a corresponding range is present, and wherein each range is as broad as a reset range. It sets a frequency for sampling virtual machine metrics and samples virtual machine metrics to form a plurality of virtual machine metrics for each factor. It applies a value to each range satisfied by each virtual machine metric, to form a plurality of stage-qualifying values corresponding to a range, and sums all stage-qualifying values for each stage, to form a stage likelihood. The data processing system further selects a stage corresponding a highest stage likelihood. The data processing system determines if the current range is different from a former range. The system expands each range corresponding to the current stage. | 01-10-2013 |
20130014106 | INFORMATION PROCESSING APPARATUS, COMPUTER-READABLE MEDIUM STORING INFORMATION PROCESSING PROGRAM, AND MANAGEMENT METHOD - A storage unit stores a correspondence between information indicating one or more services executable on one or more virtual machines and information indicating one or more users who use the services, and one or more communication monitoring rules to be used by one or more virtual routers. The rules are defined for each of the services. A control unit specifies, when a rule stored in the storing unit is changed, one or more of the users who use a service corresponding to the changed rule by referring to the storing unit. The control unit transmits the changed rule to one or more of the virtual routers which relay communication of one or more of the virtual machines assigned to the specified users so as to cause the one or more of the virtual routers, to which the changed rule is transmitted, to perform monitoring based on the changed rule. | 01-10-2013 |
20130014107 | AUTOMATIC MONITORING AND JUST-IN-TIME RESOURCE PROVISIONING SYSTEM - A method and apparatus for automatic provisioning steps using a physical computing block-based infrastructure platform and a virtualized environment is discussed to provide automatic elasticity. Running applications may be monitoring for increased workload, which may trigger a proactive and/or reactive response. The triggered proactive or reactive response includes executing a remediation action upon workloads exceeding set thresholds, as set by a pre-determined monitoring policy. The remediation actions may include the provisioning of additional virtual or physical computing resources to reduce the workload below the set threshold. | 01-10-2013 |
20130014108 | VIRTUAL MACHINE - The present invention is directed to various systems and/or methods relating to a software platform that provides for authentication of a requestor. Preferably, this authentication happens before there is an opportunity for any resource intensive request to harm operation of the system. Preferably, a reliability level is based on authentication so that the amount and/or type of resource access is controlled based, at least in part, on the authentication information. Preferably, heap usage is controlled by this reliability level. Preferably, the software platform is a virtual machine, preferably the Java Virtual Machine. | 01-10-2013 |
20130014109 | VIRTUAL COMPUTER SYSTEM AND CONTROL METHOD THEREOF - When a failure occurs in an LPAR on a physical computer under an SAN environment, a destination LPAR is set in another physical computer to enable migrating of the LPAR and setting change of a security function on the RAID apparatus side is not necessary. When a failure occurs in an LPAR generated on a physical computer under an SAN environment, configuration information including a unique ID (WWN) of the LPAR where the failure occurs is read, a destination LPAR is generated on another physical computer, and the read configuration information of the LPAR is set to the destination LPAR, thereby enabling migrating of the LPAR when the failure occurs, under the control of a management server. | 01-10-2013 |
20130014110 | CREATING A VIRTUAL MACHINE CONTAINING THIRD PARTY CODE - A Virtual Machine (VM) is created utilizing a virtual Operating System (OS) disk and virtual application disks, each of which may be from disparate third party suppliers. One or more files in the virtual application disk are then linked to a specific file system location (node) in the virtual OS disk. | 01-10-2013 |
20130014111 | Optimization of Virtual Appliance Deployment - In an embodiment for deploying a new virtual appliance ( | 01-10-2013 |
20130019240 | Mechanism for Virtual Machine (VM)-Based Disk RescueAANM Tsirkin; MichaelAACI Yokneam YillitAACO ILAAGP Tsirkin; Michael Yokneam Yillit ILAANM Natapov; GlebAACI RaananaAACO ILAAGP Natapov; Gleb Raanana IL - A mechanism for virtual machine (VM)-based disk rescue is disclosed. A method of the invention includes starting a reboot process of a VM hosted on a host computing machine, controlling an invocation of a recovery media that is used by a recovery process on a disk of the VM and is located separate from the VM disk, and restarting the VM after the recovery process using the recovery media successfully completes. | 01-17-2013 |
20130019241 | System And Method For Working In A Virtualized Computing Environment Through Secure AccessAANM Leitz; Raymond FrancisAACI Treasure IslandAAST FLAACO USAAGP Leitz; Raymond Francis Treasure Island FL USAANM Elowson; Thomas JohnAACI BradentonAAST FLAACO USAAGP Elowson; Thomas John Bradenton FL USAANM Naples; Russell ScottAACI Boca RatonAAST FLAACO USAAGP Naples; Russell Scott Boca Raton FL USAANM Solberg; HelgeAACI Boca RatonAAST FLAACO USAAGP Solberg; Helge Boca Raton FL US - A personalized virtual computing system includes a plurality of client personal computers (PCs), each comprising at least a web browser and a communications client, a plurality of virtual machine hosts each comprising a communications server for communicating with the client PCs via said communications client, a web server comprising a client-customized web site, a directory database including identification information of authorized users and a database including a reservation table. | 01-17-2013 |
20130019242 | Cloud-Based Test System and Method and Computer-Readable Storage Medium with Computer Program to Execute the MethodAANM Chen; Shiang-JiunAACI Yunlin CountyAACO TWAAGP Chen; Shiang-Jiun Yunlin County TWAANM Chiang; Shang-LunAACI Taipei CityAACO TWAAGP Chiang; Shang-Lun Taipei City TWAANM Lee; Han-ChaoAACI Taipei CityAACO TWAAGP Lee; Han-Chao Taipei City TW - A cloud-based test system is disclosed. The cloud-based test system utilizes several cloud systems for testing. Each cloud system includes several cloud servers for providing a cloud resource to simulate several virtual test machines. The cloud-based test system includes several slave servers and a main server. Each slave server corresponds to one of the cloud systems for controlling the corresponding virtual test machines. The main server receives a test instruction, which is utilized to execute a target test item for a target electrical device, from a client, and generates a test environment condition corresponding to the test instruction. The main server determines the virtual test machines for executing the target test item and the at least one server to control the virtual test machines. The main server transmits the test instruction and the corresponding test environment condition to the server slave servers for testing. | 01-17-2013 |
20130019243 | EXECUTING A MULTICOMPONENT SOFTWARE APPLICATION ON A VIRTUALIZED COMPUTER PLATFORM - A virtualized computer platform is established and maintained by virtualization software on one or more physical computers. A multicomponent software application may execute on the virtualized computer platform, with different components of the application executing in different virtual machines, which are supported by the virtualization software. The virtualization software may also provide the provision of one or more services that may be beneficial to the operation of the multicomponent software application, such as automated provisioning, resource allocation, VM distribution, performance monitoring, resource management, high availability, backup, disaster recovery, alarms, security, etc. In some embodiments of the invention, some of these services are provided through coordinated efforts of a system resource manager, a VM manager, an application monitor and an application resource manager. In some of these embodiments, an application monitor and an application manager may be included with a multicomponent software application in a single installation package. | 01-17-2013 |
20130024855 | Check-point Based High Availability: Network Packet Buffering in Hardware - A method, system, and computer program product enhances resource/process availability by providing hardware based buffering of network packets during checkpointing in a virtualized environment. A High Availability Checkpoint (HAC) utility pre-configures a physical network adapter to buffer outgoing network packets from virtual machines that employ a checkpointing technology. In response to receiving an outgoing network packet from a virtual machine and determining that the virtual machine employs a pre-specified checkpointing technology, the physical network adapter buffers the outgoing network packet. In addition, a primary host performs/facilitates checkpoint operations (associated with the virtual machine) with a secondary host. When checkpoint operations are successfully completed, the HAC utility triggers the transmission of the buffered network packets from the network adapter to a network destination. The physical network adapter minimizes checkpointing network latency by pre-assigning a higher priority to a buffered network packet from a checkpointing virtual machine than to a new network packet that originates from a non-checkpointing virtual machine. | 01-24-2013 |
20130024856 | METHOD AND APPARATUS FOR FLEXIBLE BOOTING VIRTUAL STORAGE APPLIANCES - Virtual storage methods and systems allow storage software to be used with a variety of systems and resources without the need to write storage software specific to each particular system. The methods and systems described herein render virtual storage flexibly adaptable to hardware platforms. Through use of a dynamic resource mapper and a start-up loader in booting storage systems, the use of virtual storage appliances is simplified in an integrated and transparent fashion. For ease of system configurations, the mapper and start-up loader are available in a different ways and from a variety of media. | 01-24-2013 |
20130024857 | METHOD AND SYSTEM FOR FLEXIBLE RESOURCE MAPPING FOR VIRTUAL STORAGE APPLIANCES - Virtual storage methods and systems allow storage software to be used with a variety of systems and resources without the need to write storage software specific to each particular system. The methods and systems described herein render virtual storage flexibly adaptable to hardware platforms. Through use of a dynamic resource mapper and a start-up loader in booting storage systems, the use of virtual storage appliances is simplified in an integrated and transparent fashion. For ease of system configurations, the mapper and start-up loader are available in a different ways and from a variety of media. | 01-24-2013 |
20130024858 | System, Method, and Operating Instruction for Improved Data Storage/Retrieval - A method of operating a data library system wherein a host requests media movements from storage slots to and from media drives and also data operations on the media and wherein an operations controller responds to the host with virtual movements of the media according to a protocol associated with a robotic library. | 01-24-2013 |
20130024859 | CONTROL COMPUTER AND DATA ACCESSING METHOD - A method for accessing attribute data of virtual machines using a control computer. The method generates a control command for accessing the virtual machines of a host computer, determines a command type of the control command, obtains attribute data of a first type of the virtual machines from a database of the control computer if the command type is a first type and the control command is a data query command. The method transmits the control command to a virtual machine manager of the host computer if the command type is a second type, and receives attribute data of a second type of the virtual machines returned from the virtual machine manager of the host computer. | 01-24-2013 |
20130024860 | CONTROL COMPUTER AND METHOD FOR MANAGING POWER USING THE SAME - In a method for managing power of host computers using a control computer, the method generates a power management command, groups virtual machines installed in the host computers at a first time if the power management command is a first type, to obtain a first group of the virtual machines. The method further relocates the virtual machines in the host computers based on the first group of the virtual machines, and closes idle host computers. Closed host computers are powered on if the power management command is the second type, all the virtual machines at a second time are grouped, to obtain a second group of the virtual machines. The virtual machines are relocated into each host computer based on the second group of the virtual machines. | 01-24-2013 |
20130024861 | Virtualization Event Processing in a Layered Virtualization Architecuture - Embodiments of apparatuses and methods for processing virtualization events in a layered virtualization architecture are disclosed. In one embodiment, an apparatus includes a event logic and evaluation logic. The event logic is to recognize a virtualization event. The evaluation logic is to determine whether to transfer control from a child guest to a parent guest in response to the virtualization event. | 01-24-2013 |
20130024862 | On-Line Replacement and Changing of Virtualization Software - In a virtualized system running one or more virtual machines on a first hypervisor, a second hypervisor is installed and control of the hardware resources of the physical computer supporting the virtualized system is migrated from the first hypervisor to the second hypervisor without interrupting the operation of the first hypervisor and the virtual machines. Initially a minimal set of hardware resources is hot-removed from control by the first hypervisor, and the second hypervisor is launched on the minimal set of hardware resources. Both the remaining hardware resources and the virtual machines are then migrated from the first hypervisor to the second hypervisor until all the virtual machines have been migrated over to the second hypervisor, while the virtual machines and the first hypervisor continue running largely unaffected by the migration process. | 01-24-2013 |
20130031543 | Virtual Machines for Aircraft Network Data Processing Systems - A method and apparatus are provided for operating a network data processing system on an aircraft. A number of operations are performed in a virtual machine on the aircraft. The virtual machine runs on a processor unit in the network data processing system on the aircraft to create a simulated computer environment. The virtual machine accesses resources of the processor unit for performing the number of operations using a host operating system on the processor unit. A current state of the aircraft is identified by the network data processing system. Running of the virtual machine is managed based on the current state of the aircraft and a policy for managing the virtual machine for different states of the aircraft. | 01-31-2013 |
20130031544 | VIRTUAL MACHINE MIGRATION TO MINIMIZE PACKET LOSS IN VIRTUALIZED NETWORK - Methods and apparatus are provided for controlling live migration of a virtual machine from a first host to a second host in a data center. A virtual machine manager may distribute to at least one host in a virtual network an updated mapping policy that maps a customer address of the virtual machine to a provider address of the migrated virtual machine. The updated mapping policy enables hosts in the virtual network to communicate with the migrated virtual machine. The updated mapping policy can be a shadow policy. The shadow policy is transmitted to hosts in the virtual network by the virtual machine manager before live migration of the virtual machine completes and is maintained by recipient hosts in an inactive state until triggered. The virtual machine manager notifies hosts in the virtual network to activate the shadow policy when live migration completes. | 01-31-2013 |
20130031545 | SYSTEM AND METHOD FOR IMPROVING THE PERFORMANCE OF HIGH PERFORMANCE COMPUTING APPLICATIONS ON CLOUD USING INTEGRATED LOAD BALANCING - A non-transitory computer-implemented method of load balancing includes calculating an expected cost set associated with an application-specific task of an application executing on a processing resource in a cloud computing environment, and communicating the expected cost set from the processing resource to a cloud management system. Resource mapping of applications currently executing in the cloud computing environment are retrieved, and the application-specific task is assigned to a specific computational resource in the cloud computing environment based on the expected cost set and the resource mapping of applications currently executing in the cloud computing environment. A task to VM (virtual machine) assignment is determined based on the assignment of the application-specific task to the specific computational resource. The task to VM assignment is transferred from the cloud management system to the application executing on the processing resource in the cloud computing environment. | 01-31-2013 |
20130031546 | METHODS AND SYSTEMS FOR ON-BOARDING APPLICATIONS TO A CLOUD - Methods and arrangements for on-boarding an application to a cloud. An application for hosting in a cloud environment is provided. Metadata related to the application is provided, and metadata related to the cloud is obtained. The application metadata and cloud metadata are compared, and the compatibility of the application with existing offerings of the cloud is ascertained. The application is on-boarded to the cloud. | 01-31-2013 |
20130031547 | FACILITATING COMPATIBLE INTERACTION, AT LEAST IN PART - An embodiment may include circuitry to determine compatibility, at least in part, of a device with at least one process intended to interact with, at least in part, the device. Such compatibility may be determined by the circuitry based at least in part upon at least one feature set of the device. If the device is incompatible, at least in part, with the at least one process, the circuitry may identify, at least in part, the device in manner that facilitates compatible interaction, at least in part, between the at least one process and at least one portion of the at least one feature set. Many alternatives, variations, and modifications are possible. | 01-31-2013 |
20130031548 | INFORMATION PROCESSING APPARATUS AND STORAGE MEDIUM - According to one embodiment, an information processing apparatus includes a virtual disk management module which manages a first virtual disk, a second virtual disk and a third virtual disk. The first virtual disk is a disk in which disk image data from a disk with various programs installed therein is stored. The second virtual disk is a disk in which the disk image data of the first virtual disk and from which individual information retained by the various programs has been deleted is stored. The third virtual disk is a disk in which the disk image data of the second virtual disk and to which individual information to be retained by the various programs has been added is stored. | 01-31-2013 |
20130031549 | VIRTUAL ACCESS TO NETWORK SERVICES - Methods, systems, and computer readable storage media for providing virtual access to network services. A virtual storage layer contains reference objects configured to reference network services stored in a network computing environment. Network clients access the reference objects through a resource interface based on a resource identifier associated with the virtual storage layer. Initiation of the virtual service by a network client invokes the service in a native computing environment of the service. | 01-31-2013 |
20130031550 | METHOD FOR IMPROVING THE PERFORMANCE OF HIGH PERFORMANCE COMPUTING APPLICATIONS ON CLOUD USING INTEGRATED LOAD BALANCING - A computer-implemented method of load balancing including calculating an expected cost set associated with an application-specific task of an application executing on a processing resource in a cloud computing environment, and communicating the expected cost set from the processing resource to a cloud management system. Resource mapping of applications currently executing in the cloud computing environment are retrieved, the application-specific task is assigned to a specific computational resource in the cloud computing environment based on the expected cost set and the resource mapping of applications currently executing in the cloud computing environment. A task to VM (virtual machine) assignment is determined based on the assignment of the application-specific task to the specific computational resource. The task to VM assignment is transferred from the cloud management system to the application executing on the processing resource in the cloud computing environment. | 01-31-2013 |
20130031551 | ON-BOARDING APPLICATIONS TO A CLOUD - Methods and arrangements for on-boarding an application to a cloud. An application for hosting in a cloud environment is provided. Metadata related to the application is provided, and metadata related to the cloud is obtained. The application metadata and cloud metadata are compared, and the compatibility of the application with existing offerings of the cloud is ascertained. The application is on-boarded to the cloud. | 01-31-2013 |
20130031552 | VIRTUAL MACHINE DISPLAY DEVICE, VIRTUAL MACHINE DISPLAY METHOD, RECORDING MEDIUM, AND INTEGRATED CIRCUIT - A dummy layer generating/finishing section | 01-31-2013 |
20130036416 | DETECTING AND CORRECTING NETWORK INTERRUPTIONS USING NETWORK ADDRESS TRANSLATION - Embodiments provide a network address translation (NAT) service for network devices. A network connection from at least one private network device to the NAT service is received and a network connection from at least one remote device to the NAT service is received. The private network device is positioned within a private network and the remote device is positioned within a public network. A network availability of the remote device is determined. If the remote device is unavailable or a network configuration setting associated with the remote device changes, the private network device is notified and a connection reset message is transmitted to the private network device. | 02-07-2013 |
20130036417 | Virtual Resource Management Method, System, and Apparatus - A virtual resource management method, system, and apparatus are applied in the field of communications technologies. The virtual resource management method includes running an input/output I/O domain on a remote node, if the remote node needs to enter a sleep state, obtaining information of a processor core occupied by running the input/output I/O domain on the remote node; stopping running the I/O domain if the remote node is in the sleep state; and sorting, according to the obtained information of the processor core, a resource of a corresponding processor core to a virtual resource pool, so that the processor core can be used to perform service processing, thereby implementing virtual resource management. | 02-07-2013 |
20130036418 | In-Place Snapshots of a Virtual Disk Configured with Sparse Extent - A virtualized computer system employs a virtual disk with a space efficient (SE) format to store data for virtual machines running therein. Data within a virtual disk with a SE format is stored in a grain, where multiple grains are included in a storage block. Writes to a grain within shared storage block in a virtual disk with an SE format are serviced by allocating a new grain and storing the write data to the new grain. Metadata associated with the client that transmitted the write request to the virtual disk is then updated to point to the new grain instead of the grain within the shared storage block. | 02-07-2013 |
20130042234 | VIRTUAL MACHINE MANAGEMENT - A virtual machine management method and system is provided. The method includes receiving by a computing system, a list of virtual machines deployed within an enterprise. The computing system determines that a specified time period has elapsed during deployment and a list of resources and transactions associated with the virtual machines is retrieved. The computing system calculates a cost value and service value for deploying the virtual machines and a premium value associated with the services. The computing system determines if the premium value is within a policy for the enterprise. | 02-14-2013 |
20130042235 | DYNAMIC BOOTSTRAP LITERAL PROCESSING WITHIN A MANAGED RUNTIME ENVIRONMENT - At least one literal value data structure within a literal pool data structure that is capable of being resolved by run-time initialization within a virtual runtime environment is defined by a processor within a memory storage area. A run-time reference to one of the at least one literal value data structure within the literal pool data structure is detected. A determination is made as to whether a literal value at the one of the at least one literal value data structure is resolved and run-time accessible. The literal value at the one of the at least one literal value data structure within the literal pool data structure is resolved during run-time in response to determining that the literal value is not resolved. The resolved literal value is returned. | 02-14-2013 |
20130042236 | VIRTUALIZATION OF INTERRUPTS - A method for computing includes running a plurality of virtual machines on a computer having one or more cores and a memory. Respective interrupt addresses in the memory are assigned to the virtual machines. Upon occurrence on a device connected to the computer of an event pertaining to a given virtual machine during a period in which the given virtual machine is swapped out of operation, an interrupt message is written from the device to a respective interrupt address that is assigned to the given virtual machine in the memory. Upon activating the given virtual machine on a given core after writing of the interrupt message, a context of the given virtual machine is copied from the memory to the given core, and a hardware interrupt is automatically raised on the given core responsively to the interrupt message in the memory. | 02-14-2013 |
20130042237 | Dynamic Network Adapter Memory Resizing and Bounding for Virtual Function Translation Entry Storage - An approach is provided which a system selects a first virtual function from a plurality of virtual functions executing on a network adapter that includes a memory area. Next, the system allocates, in the memory area, a memory corresponding to the first virtual function. The system then stores one or more translation entries in the allocated memory partition, which are utilized to send data traversing through the first virtual function. As such, the system sends, utilizing one or more of the translation entries, the data packets from the network adapter to one or more destinations. In turn, the system dynamically resizes the memory partition based upon an amount of the memory partition that is utilized to store the one or more translation entries. | 02-14-2013 |
20130042238 | Optimized Virtual Function Translation Entry Memory Caching - An approach is provided in which a virtual function, which executes on a network adapter, receives a data packet from a first virtual machine. A translation entry is identified that corresponds to sending the data packet from the first virtual machine to a second virtual machine, and a determination is made as to whether an onboard memory partition assigned to the virtual function includes the identified translation. If the onboard memory location includes the translation entry, the data packet is sent to the destination virtual machine using the translation entry retrieved from the onboard memory partition. Otherwise, if the translation entry is not located in the onboard memory partition, the data packet is sent to the destination virtual machine using a translation entry retrieved from an off board memory location. | 02-14-2013 |
20130042239 | SYSTEM AND METHOD FOR USE OF A VIRTUAL ASSEMBLY BUILDER - A virtual assembly builder for use in virtualization environments, which can be used as a tool for virtualizing installed components in a reference environment, modifying those components if necessary, and then deploying them into another/target environment. Using the virtual assembly builder, a user (e.g., an administrator) can capture the configuration and product/component binaries of existing software components into software appliance artifacts. Appliances can be grouped, and their relationships defined, into software assembly artifacts. Logical connections between appliances within an assembly can be reconfigured by a process of assembly editing. When a desired assembly configuration has been achieved, the assembly can be prepared for, and deployed into, the target environment. | 02-14-2013 |
20130042240 | Optimized Virtual Function Translation Entry Memory Caching - An approach is provided in which a virtual function, which executes on a network adapter, receives a data packet from a first virtual machine. A translation entry is identified that corresponds to sending the data packet from the first virtual machine to a second virtual machine, and a determination is made as to whether an onboard memory partition assigned to the virtual function includes the identified translation. If the onboard memory location includes the translation entry, the data packet is sent to the destination virtual machine using the translation entry retrieved from the onboard memory partition. Otherwise, if the translation entry is not located in the onboard memory partition, the data packet is sent to the destination virtual machine using a translation entry retrieved from an off board memory location. | 02-14-2013 |
20130042241 | DYNAMIC VIRTUAL MACHINE DOMAIN CONFIGURATION AND VIRTUAL MACHINE RELOCATION MANAGEMENT - Dynamic relocation of virtual machines among hypervisors in a domain is provided. A domain management module is executed on a computer processor and is configured to receive a request to add a new hypervisor to a domain. Each hypervisor and each domain comprises an architecture description (ARD). A new canonical ARD is generated for all hypervisors in the domain. A virtual machine executing on a first system is relocated to any other hypervisor in the domain. Virtual machines may also be moved to out-of-domain hypervisors through the use of override masks. In addition, under certain conditions, new hypervisors additions, as well as hypervisor and system updates may be performed without disrupting the virtual machines that are controlled by the hypervisors. | 02-14-2013 |
20130042242 | Interrupt Handling in a Virtual Machine Environment - A method for computing includes running a plurality of virtual machines on a computer having one or more cores and a memory. Upon occurrence of an event pertaining to a given virtual machine during a period in which the given virtual machine is unable to receive an interrupt, an interrupt message is written to a pre-assigned interrupt address in the memory. When the given virtual machine is able to receive the interrupt, after writing of the interrupt message, a context of the given virtual machine is copied from the memory to a given core on which the given virtual machine is running, and a hardware interrupt is automatically raised on the given core responsively to the interrupt message in the memory. | 02-14-2013 |
20130047151 | VIRTUALIZATION GATEWAY BETWEEN VIRTUALIZED AND NON-VIRTUALIZED NETWORKS - Methods and apparatus are provided for controlling communication between a virtualized network and non-virtualized entities using a virtualization gateway. A packet is sent by a virtual machine in the virtualized network to a non-virtualized entity. The packet is routed by the host of the virtual machine to a provider address of the virtualization gateway. The gateway translates the provider address of the gateway to a destination address of the non-virtualized entity and sends the packet to the non-virtualized entity. The non-virtualized entity may be a physical resource, such as a physical server or a storage device. The physical resource may be dedicated to one customer or may be shared among customers. | 02-21-2013 |
20130047152 | Preserving, From Resource Management Adjustment, Portions Of An Overcommitted Resource Managed By A Hypervisor - Methods, apparatuses, and computer program products for preserving, from resource management adjustment, portions of an overcommitted resource managed by a hypervisor are provided. Embodiments include identifying, by the hypervisor, a privileged guest from among a plurality of guests of the hypervisor; identifying, by the hypervisor, portions of the overcommitted resource that are allocated to the privileged guest; and preventing, by the hypervisor, resource management adjustment to the identified portions of the overcommitted resource that are allocated to the privileged guest. | 02-21-2013 |
20130047153 | METHOD AND APPARATUS TO IMPROVE EFFICIENCY IN THE USE OF RESOURCES IN DATA CENTER - A method of managing use of resources in data center comprises: determining whether first storage subsystem includes a virtual volume or a storage pool that uses a physical volume of second storage subsystem and, if yes, migrating the physical volume to first storage subsystem; determining whether any storage subsystem includes a virtual volume created directly from a physical volume and, if yes, migrating the virtual volume to another virtual volume provisioned from the storage pool of the storage subsystem; and determining whether all virtual volumes satisfy a preset service level objective and, if no, then selecting a virtual machine which has a smallest virtual machine disk file and selecting a storage pool having a largest free space, and then selecting a virtual volume of the storage subsystem which has the selected storage pool and migrating the virtual machine disk file of the selected virtual machine to the selected virtual volume. | 02-21-2013 |
20130047154 | METHOD FOR GENERATING SECURE SNAPSHOTS - In a technique for creating a secure snapshot of a virtual machine, a guest operating system of the virtual machine is caused to enter a locked state prior to the snapshot of the virtual machine being created. When the snapshot is subsequently used to restore the virtual machine, the guest operating system of the virtual machine is restored in a locked state and credentials are required to access the guest operating system. | 02-21-2013 |
20130047155 | DATA LAYOUT TRANSFORMATION FOR WORKLOAD DISTRIBUTION - Techniques are disclosed relating to data transformation for distributing workloads between processors or cores within a processor. In various embodiments, a first processing element receives a set of bytecode. The set of bytecode specifies a set of tasks and a first data structure that specifies data to be operated on during performance of the set of tasks. The first data structure is stored non-contiguously in memory of the computer system. In response to determining to offload the set of tasks to a second processing element of the computer system, the first processing element generates a second data structure that specifies the data. The second data structure is stored contiguously in memory of the computer system. The first processing element provides the second data structure to the second processing element for performance of the set of tasks. | 02-21-2013 |
20130047156 | METHOD AND APPARATUS OF ASSIGNING VIRTUAL MACHINE RESOURCES - A method of sharing virtual machine resources. The method includes: in response to at least one user logging in to the virtual machine, monitoring file operations taken by the user in the virtual machine; recording the types of file operations; in response to the user logging out from the virtual machine, restoring the virtual machine back to the original state at the time when the user logged in to the virtual machine according to the recorded types of file operations; and in response to receiving a request for virtual machine resources, assigning one of the virtual machines which is idle and restored back to the original state to the requesting user. | 02-21-2013 |
20130047157 | INFORMATION PROCESSING APPARATUS AND INTERRUPT CONTROL METHOD - An information processing apparatus includes an interrupt control unit and a virtualization control unit. The interrupt control unit specifies a virtual machine serving as a destination of an interrupt request. The virtualization control unit operates multiple virtual machines. The virtualization control unit includes a specifying unit and an execution control unit. The specifying unit specifies a storage destination of an interrupt program corresponding to the virtual machine specified by the interrupt control unit based on information stored in a storage unit which stores information on a storage destination of an interrupt program in association with each of the multiple virtual machines. The execution control unit reads and executes the interrupt program stored in the storage destination specified by the specifying unit. | 02-21-2013 |
20130047158 | Method and System for Real Time Detection of Resource Requirement and Automatic Adjustments - A method and system for detecting, in real time, resource requirements of a system in virtual environment and automatic scaling of resource parameters to compensate resource requirement in a system. The Virtual machine controller constantly measures resource utilization in the servers and virtual machines associated with it. If a resource requirement is detected with any virtual machine, the automatic resource scaling system detects the type of resource to be scaled and scales the selected resource. Further, the resource may be scaled up or scaled down, based on the requirements. Further, the scaled resource may be CPU, RAM, disk or any such resource. The proposed system helps to save space and power without compromising security, performance and accessibility. | 02-21-2013 |
20130047159 | Preserving, From Resource Management Adjustment, Portions Of An Overcommitted Resource Managed By A Hypervisor - Methods, apparatuses, and computer program products for preserving, from resource management adjustment, portions of an overcommitted resource managed by a hypervisor are provided. Embodiments include identifying, by the hypervisor, a privileged guest from among a plurality of guests of the hypervisor; identifying, by the hypervisor, portions of the overcommitted resource that are allocated to the privileged guest; and preventing, by the hypervisor, resource management adjustment to the identified portions of the overcommitted resource that are allocated to the privileged guest. | 02-21-2013 |
20130047160 | SYSTEMS AND METHODS FOR MODIFYING AN OPERATING SYSTEM FOR A VIRTUAL MACHINE - Systems, methods, and software are described herein for operating a data management system, including executing an attached application and application data on a first virtual machine running a first operating system, separating the attached application and application data from the first virtual machine, and dynamically attaching the application and application data to a second virtual machine running an updated version of the first operating system. | 02-21-2013 |
20130055239 | PROVISIONING OF VIRTUAL MACHINE POOLS BASED ON HISTORICAL DATA IN A NETWORKED COMPUTING ENVIRONMENT - Embodiments of the present invention generally describe how to utilize a pool of raw computing resources to dynamically resize environments due to workloads. Specifically, embodiments of the invention provide an approach for generating a partially pre-provisioned pool of virtual machines (VMs). The pool is typically derived from a history of patterns weighted by a potential state of a corresponding VM image to fulfill a workload request. For each VM instance, this approach determines/calculates the number of times that an instance represents a possible starting point for a VM request. The starting points are then normalized to create a probability distribution of the resulting partially pre-provisioned pool of virtual machines. | 02-28-2013 |
20130055240 | VIRTUAL PORT COMMAND PROCESSING DURING MIGRATION OF VIRTUAL MACHINE - In one embodiment, a method includes upon receipt from a first host of a command to pause a virtual port (Vport) associated with a first physical port establishing a connection with the first host, changing a current state of the Vport from an active state to a paused state. The changing of the current state of the Vport to the paused state does not tear down a current session between the Vport and a set of storage disks. The method further includes upon receipt from a second host of a command to resume the Vport at a second physical port establishing a connection with the second host, changing the current state of the Vport from the paused state to the active state and associating the Vport with the second physical port. | 02-28-2013 |
20130055241 | Rapid Provisioning of Virtual Machines Based on Multi-Dimensional User Request Patterns in a Cloud - A method, an apparatus and an article of manufacture for pre-provisioning a virtual machine instance. The method includes deriving a weight function for at least one virtual machine request based on historical data of the at least one virtual machine request to create a weighted virtual machine request for each request, capturing at least one inter-arrival pattern of requests, and applying the at least one pattern to the at least one weighted request to create a prioritized ordering of the at least one weighted request, and pre-provisioning at least one instance of a virtual machine image based on the prioritized ordering of the at least one weighted request. | 02-28-2013 |
20130055242 | MECHANISM FOR MANAGED NETWORK FILTER/FORWARD PROGRAMMING IN A VIRTUALIZATION SYSTEM - A mechanism for managed network filter/forward programming in a virtualization system is disclosed. A method of the invention includes receiving a network programming request from a hypervisor managing a virtual machine (VM), wherein the hypervisor intercepted the network programming request from the VM, determining whether the network programming request satisfies an administrative network policy, and updating network configuration settings as requested by the network programming request if the network programming request satisfies the administrative network policy, wherein the updating occurs without restarting the VM. | 02-28-2013 |
20130055243 | Unified Management Architecture to Support Multiple Platform-as-a-Service Workloads - An information handling system includes a memory and a workload manager. The memory stores a first application associated with a first platform of a plurality of platforms, and a second application associated with a second platform of the plurality of platforms. The workload manager is configured to receive rights and policies for the first application, and rights and policies for the second application; to include the rights and policies for the first application as metadata in the first application, and the rights and policies for the second application as metadata in the second application; to receive a selection of the first application, to determine whether features for the first application are currently running; if the features for the first application are not currently running, create a first virtual machine having the first platform, and the rights and policies for the first application; and to provide an instance of the first application on the first virtual machine. | 02-28-2013 |
20130055244 | EXTENSIBLE INFRASTRUCTURE FOR REPRESENTING NETWORKS INCLUDING VIRTUAL MACHINES - Embodiments provide a virtual machine infrastructure including an application model and a user interface for displaying data associated with a plurality of nodes. The user interface is arranged in a hierarchy of views. An application is added to the infrastructure by adding an edge category and a view extension to the infrastructure. The user interface simultaneously displays the added view extension together with a view extension provided by another application. | 02-28-2013 |
20130055245 | MECHANISM FOR FLOW CONTROL-BASED VIRTUAL MACHINE (VM) REQUEST QUEUING - A mechanism for flow control-based virtual machine (VM) request queuing is disclosed. A method of the invention includes implementing a pass-through mode for handling of one or more requests sent to a hypervisor by a virtual machine (VM) managed by the hypervisor, determining that a number of outstanding requests associated with the VM has exceeded a first threshold, implementing a queued mode for handling the one or more requests sent to the hypervisor from the VM, determining that a number of outstanding requests associated with the VM has fallen below a second threshold, implementing the pass-through mode for handling the one or more requests sent to the hypervisor from the VM, and repeating the implementing and determining as long as the VM continues to send requests to the hypervisor. | 02-28-2013 |
20130055246 | SYSTEMS AND METHODS FOR IDENTIFYING VIRTUAL MACHINES IN A NETWORK - Embodiments described herein relate to systems and methods for identifying virtual machines in a network. The systems and methods comprise a virtual asset tool that can interface with a virtualization manager to receive metadata identifying virtual machines hosted by a plurality of physical machines. The virtual asset tool can subscribe to updates associated with the virtual machines, such as changes to the virtual machines, or additions or deletions of virtual machines. In response to receiving an update, the virtual asset tool can modify an asset record associated with the virtual machines and any corresponding descriptions. In embodiments, the virtual asset tool can schedule vulnerability scans for any or all of the virtual machines. | 02-28-2013 |
20130055247 | MANAGEMENT OF SOFTWARE UPDATES IN A DATACENTER - An approach to managing software components in a datacenter having virtualized components includes maintaining a suitable data construct for representing the virtualized elements. In embodiments, virtualized elements include knowledge relating to instantiations of virtual machines. Management of software components includes traversing a data representation of the datacenter, and assessing the compatibility of the software component with components in the datacenter that relate to the target of the software component. | 02-28-2013 |
20130055248 | METHOD OF BALANCING WORKLOADS IN OBJECT STORAGE SYSTEM - The storage system exports logical storage volumes that are provisioned as storage objects. These storage objects are accessed on demand by connected computer systems using standard protocols, such as SCSI and NFS, through logical endpoints for the protocol traffic that are configured in the storage system. When traffic through a particular protocol endpoint is busy, the logical storage volume undergoes a rebind process pursuant to which the logical storage volume is bound to a new protocol endpoint, and the binding to the old protocol endpoint is removed upon completion of all pending input-output commands issued through the old protocol endpoint. | 02-28-2013 |
20130055249 | VIRTUAL MACHINE PROVISIONING IN OBJECT STORAGE SYSTEM - The storage system exports logical storage volumes that are provisioned as storage objects. These storage objects are accessed on demand by connected computer systems using standard protocols, such as SCSI and NFS, through logical endpoints for the protocol traffic that are configured in the storage system. When a virtual machine is provisioned, logical storage volumes include a logical metadata storage volume and logical data storage volumes. The logical metadata storage volume stores metadata for the virtual machine such as configuration and log files, swap file, and disk descriptor files. Each disk descriptor file is provided for one logical data storage volume and stores metadata about the logical data storage volume such as size and unique identifying data. | 02-28-2013 |
20130055250 | PERFORMANCE BENCHMARKING IN VIRTUAL MACHINES - A virtual benchmarking module generates a first virtual machine and a second virtual machine from a preconfigured image of a computing system. The virtual benchmarking module runs the first virtual machine on a first hardware platform and causes a benchmark program to be executed on the first virtual machine to measure a performance level of a first computer application program. The virtual benchmarking module runs the second virtual machine on a second hardware platform and causes the benchmark program to be executed on the second virtual machine to measure a performance level of a second computer application program. The virtual benchmarking module compares the performance levels of the first and second computer application programs, where the virtual machines counteract an effect of a difference between the first and second hardware platforms on the performance levels. | 02-28-2013 |
20130055251 | SELECTION OF VIRTUAL MACHINES FROM POOLS OF PRE-PROVISIONED VIRTUAL MACHINES IN A NETWORKED COMPUTING ENVIRONMENT - Embodiments of the present invention provide a set of algorithmic methods that can be used to select which partially and/or pre-provisioned virtual machines (VMs) should be used as a base platform to satisfy a new workload (e.g., provisioning) request received in a networked computing environment (e.g., a cloud computing environment). Specifically, when a workload request is received, a set (e.g., at last one) of software programs needed to process the workload request is identified. Then, a set of VMs is selected from a pool of pre-provisioned VMs having the set of software programs. In general, multiple methods and/or factors can be followed to select the set of VMs. Examples include a length of time to install the set of VMs, a probability of the set of VMs being in demand, and/or or a quantity of the set of VMs having the set of software programs. Once the set of VMs has been selected, the set of VMs may be installed, and the workload request can be processed using the set of software programs. | 02-28-2013 |
20130055252 | METHODS AND APPARATUS TO PROVISION VIRTUAL MACHINE RESOURCES - Methods and apparatus are disclosed to provision virtual machine resources. An example method includes labeling a copy of memory associated with an established virtual machine with an execution status based on an architecture type associated with the copy, and constraining a fetch operation in response to a page fault to a labeled portion of the copy that matches an architecture type of a received processor instruction. | 02-28-2013 |
20130055253 | CLOUD-BASED BUILD SERVICE - Building binary packages for software products, particularly large-scale software products, is a highly computation intensive process. Thus, it is desirable to distribute the workload over a large number of computing nodes so as to have the build process complete in an optimal period of time. One environment providing compute resources that can be utilized for a highly available and dynamically scalable distributed build process is an elastic compute cloud. In such an environment, virtual machines can be instantiated and destroyed as the resource requirements of the build process dictate. This has the advantage that dedicated hardware is unneeded, and excess capacity on the hardware employed can be employed for other computation tasks when the build process is idle. Presented herein are systems, methods and computer storage media for distributing a highly available and scalable build service, suitable for use in an elastic compute environment or other distributed environment. | 02-28-2013 |
20130055254 | METHODS AND APPARATUSES FOR PROVIDING A VIRTUAL MACHINE WITH DYNAMIC ASSIGNMENT OF A PHYSICAL HARDWARE RESOURCE - Methods and apparatuses are provided for providing a virtual machine with dynamic direct assignment of a physical hardware resource. A method may include providing a virtual machine with a directly assigned physical hardware resource and an emulated hardware resource corresponding to the directly assigned physical hardware resource. The method may further include causing the virtual machine to hot-swap from using the directly assigned physical hardware resource to using the emulated hardware resource. The method may additionally include, subsequent to causing the virtual machine to hot-swap to using the emulated hardware resource, causing the directly assigned physical hardware resource to be hot-removed from the virtual machine Corresponding apparatuses are also provided. | 02-28-2013 |
20130055255 | SYSTEMS AND METHODS FOR COMMON APPLICATION PLATFORMS UTILIZING SHARED SERVICES - Systems and methods are disclosed that include a common application platform including a set of shared services that can be utilized by applications executing on top the application platform. One embodiment of the invention includes a user device including a processor configured by an operating system, where the processor is further configured by an application platform including a natively implemented virtual. machine configured to execute non-natively implemented applications; a services container, including at least one natively implemented service configured for utilization by an application executing within the virtual machine, wherein the at least one natively implemented service is a shared service that can be utilized by a plurality of different applications; and at least one handler configured to handle communication via at least one socket between an application executing within the virtual machine and the at least one natively implemented service in the services container. | 02-28-2013 |
20130055256 | APPROACHES FOR AUTOMATED MANAGEMENT OF VIRTUAL MACHINES FOR RUNNING UNTRUSTED CODE SAFELY - Approaches for transferring data to a client by safely receiving the data in or more virtual machines. In response to the client determining that digital content, originating from an external source, is to be received or processed by the client, the client identifies, without human intervention, one or more virtual machines, executing or to be executed on the client, into which the digital content is to be stored. In doing so, the client may consult policy data to determine a placement policy, a containment policy, and a persistence policy for any virtual machine to receive the digital content. In this way, digital content, such as executable code or interpreted data, of unknown trustworthiness may be safely received by the client without the possibility of any malicious code therein from affecting any undesirable consequence upon the client. | 02-28-2013 |
20130055257 | COMMUNICATION METHOD AND COMMUNICATION APPARATUS - A gateway apparatus relays communication between first and second servers provided in a data center and an external communication apparatus located outside the data center. A control apparatus obtains an observation result obtained by observing a communication amount being an amount of data transmitted/received per unit time for each of virtual machines operating in the first and second servers in association with a transmission source and a destination of the data. When the first number of hops is smaller than the second number of hops, the control apparatus controls an arrangement of the virtual machines so that the virtual machine whose communication amount with the external communication apparatus is larger is more likely to be placated in the first server. | 02-28-2013 |
20130055258 | Rapid Provisioning of Virtual Machines Based on Multi-Dimensional User Request Patterns in a Cloud - A method for pre-provisioning a virtual machine instance includes deriving a weight function for at least one virtual machine request based on historical data of the at least one virtual machine request to create a weighted virtual machine request for each request, capturing at least one inter-arrival pattern of requests, and applying the at least one pattern to the at least one weighted request to create a prioritized ordering of the at least one weighted request, and pre-provisioning at least one instance of a virtual machine image based on the prioritized ordering of the at least one weighted request. | 02-28-2013 |
20130055259 | METHOD AND APPARATUS FOR HANDLING AN I/O OPERATION IN A VIRTUALIZATION ENVIRONMENT - Machine-readable media, methods, apparatus and system for. Method and apparatus for handling an I/O operation in a virtualization environment. In some embodiments, a system comprises a hardware machine comprising an input/output (I/O) device; and a virtual machine monitor to interface the hardware machine and a plurality of virtual machines. In some embodiments, the virtual machine comprises a guest virtual machine to write input/output (I/O) information related to an I/O operation and a service virtual machine comprising a device model and a device driver, wherein the device model invokes the device driver to control a part of the I/O device to implement the I/O operation with use of the I/O information, and wherein the device model, the device driver and the part of the I/O device are assigned to the guest virtual machine. | 02-28-2013 |
20130055260 | TECHNIQUES FOR WORKLOAD BALANCING AMONG A PLURALITY OF PHYSICAL MACHINES - A method for workload balancing among a plurality of physical machines hosting a plurality of virtual machines (VMs) is disclosed. The method comprises periodically measuring a utilization of each hardware resource in each of the plurality of physical machines; computing a resource utilization score for each hardware resource based on its respective measured utilization; computing a total physical machine utilization score for each physical machine based on the computed resource utilization scores of its respective resources; and upon reception of a client request corresponding to a software application, selecting one physical machine of the plurality of physical machines to serve the client request, wherein the selection is based on the computed total physical machine utilization. | 02-28-2013 |
20130055261 | CONTROLLING VIRTUAL MACHINE IN CLOUD COMPUTING SYSTEM - Described embodiments provide for controlling a plurality of virtual machines in a cloud computing system. At least one virtual storage allocated to the plurality of virtual machines may be monitored. Based on the monitoring result, a virtual storage in a service unable state may be detected among the monitored at least one virtual storage. A virtual machine associated with the detected virtual storage may be temporarily interrupted. | 02-28-2013 |
20130055262 | SYSTEMS AND METHODS OF HOST-AWARE RESOURCE MANAGEMENT INVOLVING CLUSTER-BASED RESOURCE POOLS - Systems and methods are disclosed for managing resources associated with cluster-based resource pool(s). According to illustrative implementations, innovations herein may include or involve one or more of best fit algorithms, infrastructure based service provision, tolerance and/or ghost processing features, dynamic management service having monitoring and/or decision process features, as well as virtual machine and resource distribution features. | 02-28-2013 |
20130055263 | MESSAGE COMMUNICATION TECHNIQUES - A network protocol unit interface is described that uses a message engine to transfer contents of received network protocol units in message segments to a destination message engine. The network protocol unit interface uses a message engine to receive messages whose content is to be transmitted in network protocol units. A message engine transmits message segments to a destination message engine without the message engine transmitter and receiver sharing memory space. In addition, the transmitter message engine can transmit message segments to a receiver message engine by use of a virtual address associated with the receiver message and a queue identifier, as opposed to a memory address. | 02-28-2013 |
20130061219 | System and Method for Self-Aware Virtual Machine Image Deployment Enforcement - According to one embodiment of the present disclosure, a method includes receiving a request to instantiate a virtual machine image in a virtualization environment. The method also includes sending a request for verification of the virtualization environment. The method further includes receiving information from the enforcement module in response to the request for verification of the virtualization environment. The method further includes determining whether the virtualization environment is verified based on the information received. | 03-07-2013 |
20130061220 | METHOD FOR ON-DEMAND INTER-CLOUD LOAD PROVISIONING FOR TRANSIENT BURSTS OF COMPUTING NEEDS - A method for provisioning computing resources for handling bursts of computing power including creating at least one auxiliary virtual machine in a first cloud of a first plurality of interconnected computing devices having at least one processor, suspending the at least one auxiliary virtual machine, receiving a burst job requiring processing in a queue associated with at least one active virtual machine, transferring a workload associated with the queue from the at least one active virtual machine to the at least one auxiliary virtual machine, resuming the at least one auxiliary virtual machine, and processing the workload with the at least one auxiliary virtual machine. | 03-07-2013 |
20130061221 | DETERMINING VIRTUAL MACHINE IMAGE PATTERN DISTRIBUTIONS IN A NETWORKED COMPUTING ENVIRONMENT - Embodiments of the present invention provide an approach for determining distributions of virtual machine (VM) patterns across pools of VM instances based upon historical data (e.g., to achieve faster provisioning times). In a typical embodiment, a total pool size for a pool of VM instances is determined (e.g., based on historical data). Then, a distribution of a set of VM instance patterns across the pool is determined (e.g., also based upon historical data). Once the distribution has been determined, the pool of VM instances may be provisioned according to the distribution. | 03-07-2013 |
20130061222 | APPARATUS AND METHOD FOR MANAGING OPTIMIZED VIRTUALIZATION MODULE - An apparatus to manage a virtualization module includes a virtualization module managing unit to retrieve a virtualization module corresponding to an application, a verification table retrieving unit to retrieve first verification data corresponding to the virtualization module from a verification table, a verification unit to verify the virtualization module, based on the first verification data and the virtualization module, and a virtual machine unit to execute, using a processor, the virtualization module a virtual machine if the virtualization module is successfully verified. A method for managing a virtualization module includes retrieving a virtualization module corresponding to an application; retrieving first verification data corresponding to the virtualization module from a verification table; verifying the virtualization module, based on the first verification data and the virtualization module; and executing, using a processor, the virtualization module using a virtual machine if the virtualization module is successfully verified. | 03-07-2013 |
20130061223 | SYSTEM AND METHOD FOR CACHING OPTIMIZATION OF GUEST OPERATING SYSTEMS FOR DISRIBUTED HYPERVISOR - The disclosed embodiments relate to a method, an apparatus, and computer-readable medium storing computer-readable instructions for optimizing the delivery and/or enablement of guest operating systems to distributed hypervisors. | 03-07-2013 |
20130061224 | MOVEABLE ACCESS CONTROL LIST (ACL) MECHANISMS FOR HYPERVISORS AND VIRTUAL MACHINES AND VIRTUAL PORT FIREWALLS - A computer implemented method of virtual machine migration with filtered network connectivity includes enforcing network security and routing at a hypervisor layer which is independent of guest operating systems via dynamic updating of routing controls initiated by a migration of said virtual machine from a first device to a second device. | 03-07-2013 |
20130061225 | DATA RELAY DEVICE, COMPUTER-READABLE RECORDING MEDIUM, AND DATA RELAY METHOD - A data relay device includes a memory and a processor. The processor executes a data relay process includes determining that determining whether or not a storage device, which stores a virtual machine identifier of a virtual machine operated by the server connected with the computer and a port, stores the received virtual machine identifier in association with another port. The data relay process includes transferring that transfers the setting request of the port profile to a data relay device of an upper level higher than the data relay device in a communication path through which the virtual machine represented by the virtual machine identifier performs communication when it is determined that the received virtual machine identifier is not stored in association with the other port. | 03-07-2013 |
20130061226 | Method and Apparatus for Distributing a Composite Software Stack as a Virtual Machine Image - A composite software stack is distributed as a virtual appliance. A new virtual appliance is published by obtaining a parent virtual appliance; configuring the new virtual appliance; obtaining file level differences between the parent virtual appliance and the new virtual appliance; and publishing the new virtual appliance as a patch comprising the file level differences relative to the parent virtual appliance. The file level differences comprise additions, deletions and/or modifications to the parent virtual appliance. An update to a given virtual appliance can be published as a fix pack, wherein the fix pack comprises file level differences relative to the given virtual appliance. An end user obtains a desired virtual appliance by selecting the desired virtual appliance; obtaining metadata for the desired virtual appliance comprising an identifier of a patch file and a parent virtual appliance; and applying the patch file to the parent virtual appliance to get a modified virtual appliance. | 03-07-2013 |
20130061227 | PRESERVING CHANGES TO A CONFIGURATION OF A RUNNING VIRTUAL MACHINE - A method is provided for preserving changes to a configuration of a running virtual machine. The method includes reading an initial configuration, starting the virtual machine under application of the initial configuration, modifying the configuration of the virtual machine during runtime, storing the modified configuration of the virtual machine during shutdown, and reading the modified configuration at re-start of the virtual machine and re-starting the virtual machine under application of the modified configuration. | 03-07-2013 |
20130067464 | VIRTUAL MACHINE MOBILITY USING RESOURCE POOLS - In one embodiment, a portable virtual machine may use a generic resource allocation request to access a host resource. A virtualization host may receive a generic resource allocation request | 03-14-2013 |
20130067465 | DISTRIBUTED COMPUTING ARCHITECTURE WITH DYNAMICALLY RECONFIGURABLE HYPERVISOR NODES - A method of dynamically reconfiguring a distributed computing architecture having a plurality of processing nodes, where each processing node hosts a respective plurality of virtual machines, includes detecting a fault condition on a first processing node, assessing the criticality of a software function performed by each of the respective virtual machines on the first processing node, and reassigning at least one of the plurality of virtual machines on the first processing node to a second processing node if the at least one virtual machine is deemed critical. | 03-14-2013 |
20130067466 | Virtual Switch Extensibility - An extensible virtual switch allows virtual machines to communicate with one another and optionally with other physical devices via a network. The extensible virtual switch includes an extensibility protocol binding, allowing different extensions to be added to the extensible virtual switch. The extensible virtual switch also includes a miniport driver on which the extensions are loaded, tying the lifetimes of the extensions to the lifetime of the extensible virtual switch. | 03-14-2013 |
20130067467 | RESOURCE MANAGEMENT IN A VIRTUALIZED ENVIRONMENT - In a method for managing resources in a virtualized computing environment, a command to initiate execution of an operating system image dump process for a logical partition of the virtualized computing environment is received while the logical partition is in a process of terminating. One or more resources that are allocated to the logical partition that will not be utilized by the logical partition during execution of the operating system image dump process are determined. A notification to a hypervisor program in the virtualized computing environment is sent. The notification identifies the one or more resources. One of the one or more resources is reallocated. The operating system image dump process is executed. | 03-14-2013 |
20130067468 | APPLICATION ACCELERATION IN A VIRTUALIZED ENVIRONMENT - One embodiment illustrated herein includes a method that may be practiced in a computing environment. The method includes acts for providing direct access to hardware to virtual machines. The method includes determining that a virtual machine should have access to a piece of hardware. The method further includes a virtual machine requesting access to the hardware from the host wherein a host is a special partition that controls the physical hardware of a computing system and manages virtual machines. The method further includes the host configuring the hardware to allow access to the hardware directly by the virtual machine by the host mapping hardware resources into the virtual machine's address space. The method further includes the virtual machine directly accessing the hardware without going through the host once the hardware has been configured by the host. | 03-14-2013 |
20130067469 | Load Balancing By Endpoints - A mechanism is provided for In a cloud computing infrastructure, a mechanism is provided for balancing client sessions across virtual machines such that the number of virtual machines is efficiently managed. In some embodiments, the total number of virtual machines is minimized to reduce power consumption, cooling, and other cost drivers, while assigning users across the sessions. In one embodiment, the sessions in a virtual machine with low activity are migrated to a virtual machine with higher session rates to allow for the shutdown of the low usage virtual machines. In another embodiment, new user sessions are assigned according to a minimum performance standard. | 03-14-2013 |
20130067470 | Virtual Machine Monitoring Method, System and Computer Readable Storage Medium - A virtual machine monitoring method used in a virtual machine monitoring system is provided. The virtual machine monitoring method includes retrieving a hypercall transmitted from one of a plurality of virtual machines to a hypervisor of a virtual machine monitoring system, wherein the hypercall is used for establishing a channel between a source virtual machine and a target virtual machine. A central control virtual machine ID information in the hypervisor is retrieved. A type of the channel established by the hypercall is determined according to the central control virtual machine ID information and channel-establishing information corresponding to the hypercall. When the channel is a private channel that is not related to a central control virtual machine of the virtual machines, a security module is used to monitor the private channel. | 03-14-2013 |
20130067471 | OPERATION TRANSFER FROM AN ORIGIN VIRTUAL MACHINE TO A DESTINATION VIRTUAL MACHINE - Technologies and implementations for transferring operation from an origin virtual machine to a destination virtual machine while the origin virtual machine continues to execute an origin executable are generally disclosed. | 03-14-2013 |
20130067472 | ORGANIZATION OF HETEROGENEOUS ENTITIES INTO SYSTEM RESOURCE GROUPS FOR DEFINING POLICY MANAGEMENT FRAMEWORK IN VIRTUAL CLUSTER MANAGED SYSTEMS ENVIRONMENT - Policies are implemented in a virtual cluster managed systems. Heterogeneous entities are organized into system resource group (SRG) hosted on a virtual volume that is accessed via a virtual machine. Each of the heterogeneous entities is visible to an application operable on the virtual cluster managed systems environment. The system resource group is subject to at least one membership requirement, defines a relationship between at least two of the heterogeneous entities, contains at least one policy defining an operation as to be performed on the system resource group for a domain of the virtual cluster managed systems environment, and defines at least a portion of a policy framework between the system resource group and an additional system resource group organized from additional heterogeneous entities. The system resource group expands according to an action performed incorporating the relationship, policy, or policy framework. | 03-14-2013 |
20130074064 | AUTOMATED INFRASTRUCTURE PROVISIONING - A mechanism is provided for creating and deploying a user environments for work groups in a cloud computing environment. A user such as an administrator is provided an interface for defining an end user computing environment and a desired IT infrastructure. Based on this information the system creates the infrastructure in the cloud platform. The underlying provisioning of the infrastructure in the cloud is transparent to the administrator. | 03-21-2013 |
20130074065 | Maintaining Consistency of Storage in a Mirrored Virtual Environment - A method of achieving data consistency in a shared storage accessible by a first and second machine. The method includes, in response to receiving state information of the first machine, configuring the second machine to a mirrored operating state corresponding to an operating state of the first machine, receiving a notification that the first machine will overwrite existing data stored in the shared storage, and, in response to the notification, reading the existing data, storing a copy of existing data in a local storage of the second machine, and sending an acknowledgment to the first machine that the copy has been stored in the local storage, to enable the first machine to overwrite the existing data with newly written data. The method also includes, in response to receiving a failure notification, retrieving the copy of the existing data, overwriting the newly written data with the copy of the existing data. | 03-21-2013 |
20130074066 | Portable Port Profiles for Virtual Machines in a Virtualized Data Center - Techniques are provided for implementing a portable port profile that is based on a virtual machine (VM) definition file. Properties are specified within the VM definition that allow a virtual switch to look up one or more network policies such as connectivity, firewall, or other enforcement policies, and apply those policies on a customizable basis to the VM's virtual network interface. | 03-21-2013 |
20130074067 | MULTIMODAL COMPUTING DEVICE - Technologies described herein generally provide a multimodal device capable of providing at least dual usage. In an example, the multimodal device may include base hardware and a hypervisor that runs on the base hardware. A work virtual machine may include a work operating system that is configured to operate on the hypervisor. A home virtual machine may include a home operating system that is configured to operate on the hypervisor. The work virtual machine may further include an arbitrator operating system that is configured to operate on hypervisor. The arbitrator operating system may be configured to run a smart arbitrator server. The smart arbitrator server may be configured to provide a gateway between the work virtual machine and the home virtual machine The smart arbitrator server may also be configured to enforce various policies between the work virtual machine and the home virtual machine. | 03-21-2013 |
20130074068 | Method, System, and Computer Program for Implementing a Customizable Virtual Appliance - A method for managing a virtual image in a cloud environment by implementing a customizable virtual image deployment may be provided. The method may comprise generating a virtual image and a set of configuration parameters related to specific parts of the virtual image, and assigning a set of values to the configuration parameters. Furthermore, the method may comprise deploying the virtual image using the set of values assigned to the parameters, such that parts of the virtual image may be deployed as a customized virtual image depending on the set of values of the parameters. | 03-21-2013 |
20130074069 | SYSTEM AND METHOD FOR CROSS-PLATFORM APPLICATION EXECUTION AND DISPLAY - A method is provided for downloading and running a non-native application designed for a source operating system (OS) on a target device having a target OS, the method includes: connecting the target device to a source device having the application in a paring operation; transferring a shareable provisional profile containing characteristics related to the application, including information on the source OS needed to run the application from the source device to the target device; and obtaining the source OS on the target device. Once the source OS is present on the target device, the method downloads the application from the source device to the target device, executes the source OS as a virtual machine on the target device, and runs the application within the source OS virtual machine. | 03-21-2013 |
20130074070 | METHODS AND APPARATUS FOR FAST CONTEXT SWITCHING IN A VIRTUALIZED SYSTEM - The present disclosure provides methods and apparatus for fast context switching in a. virtualized system. In the disclosed system, a globally unique application-space identifier is associated with each guest application. No two applications share the same application-space identifier, even if the two applications reside in different virtual machines. Domain identifiers are used to ensure that a guest's mappings are only active when that guest is executing. A unique domain identifier is associated with each virtual machine, and all translation lookaside buffer entries thereby mapping the guests kernel pages with that domain value. All other mappings are tagged with a predefined domain such as zero. In addition, a virtual memory management unit may be configured to support two virtual page table pointers and a configurable boundary between a virtual user page table and a virtual kernel page table. In such an instance, the two virtual page table pointers are presented to a guest operating system, and two physical page table pointers are associated with the two virtual page table pointers. | 03-21-2013 |
20130074071 | COPYING SEGMENTS OF A VIRTUAL RESOURCE DEFINITION - Segments of a virtual resource definition are copied from an existing virtual resource to create a new virtual resource definition or modifying an existing one to simplify virtualization management. The virtualization manager divides a virtual resource definition into a number of reusable segments. A user may then select one or more segments and place them into a new or existing virtual resource definition. The user can choose to mix and match segments to quickly create or modify a virtual resource definition such as a virtual server, virtual printer or virtual data storage. Any default information in the new virtual resource or old information in the existing resource is replaced by the information in the copied segment. Any dependencies in the existing virtual resource are resolved with user input to break the dependencies or copy dependent data. | 03-21-2013 |
20130074072 | METHODS, APPARATUS AND ARTICLES OF MANUFACTURE TO UPDATE VIRTUAL MACHINE TEMPLATES - Example methods, apparatus and articles of manufacture to update virtual machine templates are disclosed. A disclosed example method to update a virtual machine template ( | 03-21-2013 |
20130074073 | VIRTUAL MACHINE - A portable computing system can include a first virtue machine. The portable computing system can connect to a computing system. The computing system can access the virtual machine on the portable computing system. | 03-21-2013 |
20130081012 | Storage drive virtualization - In one embodiment, a method includes defining a plurality of virtual drives in a physical drive in communication with a plurality of servers, assigning virtualization parameters to each of the virtual drives, and communicating the virtualization parameters to a drive manager located at the physical drive and operable to configure the virtual drives on the physical drive. An apparatus is also disclosed. | 03-28-2013 |
20130081013 | Memory Coherency Acceleration Via Virtual Machine Migration - A system and method for memory coherency acceleration via virtual machine migration comprises a plurality of processors. A first processor of the plurality of processors is configured to implement at least one virtual machine. A monitor is configured to monitor a number of memory requests between the first processor and at least a second processor of the plurality of processors. A virtual machine manager is configured to migrate at least a portion of the virtual machine from the first processor to the second processor based on the number of memory requests exceeding a threshold. | 03-28-2013 |
20130081014 | BANDWIDTH THROTTLING OF VIRTUAL DISKS - Methods, systems, and apparatus, including computer programs encoded on a computer storage medium, for managing resources in a computing system. For virtual hard disk drives supported by multiple physic hard disk drives over a network, artificial throttling of the disk access bandwidth is implemented, such that the resulting latency behavior of each virtual hard disk drive resembles the latency behavior of a corresponding physical hard disk drive emulated by the virtual hard disk drive. In various implementations, the artificial throttling simulates both characteristics of sequential access latencies and random access latencies on a physical hard drive. | 03-28-2013 |
20130081015 | STORAGE SYSTEM - The I/O performance measurement unit of Hypervisor measures latencies of I/O process requests to virtual disks, and reports the average value of the latencies to the I/O performance information collection unit of the management server. The provision destination determination unit sets, as a storage unit to which a virtual disk is to be allocated, that is a storage unit having the smallest average value of the latencies among storage units to which virtual disks have been provided. | 03-28-2013 |
20130081016 | VIRTUAL COMPUTER SYSTEM, VIRTUAL COMPUTER CONTROL METHOD AND ACCUMULATION CIRCUIT - The present invention relates to a virtual machine system that includes a plurality of processors and executes a plurality of virtual machines in parallel with use of the plurality of processors. An aim thereof is to suppress power consumption without sacrificing the performance of the virtual machine system. When there are at least two processors that do not have any virtual machines allocated thereto, one of the at least two processors is supplied with power so as to be placed in a standby state, and a remaining one or more of the at least two processors are not supplied with power. | 03-28-2013 |
20130086578 | VIRTUAL IMAGE CONSTRUCTION - A requirement graph defined by a user is analyzed. A set of user-defined requirements is identified, based on the analyzing, for constructing a virtual image. A set of models is analyzed based on the set of user-defined requirements that have been identified. Each semantic in the set of models represents at least one capability and one requirement of a virtual image building block in a plurality of virtual image building blocks. A set of virtual image construction solutions is generated based on analyzing the set of models. Each virtual image construction solution includes at least one set of virtual image building blocks from the plurality of virtual image building blocks. The at least one set of virtual image building blocks satisfies the set of user-defined requirements and requirements of each virtual image building block within the at least one set of virtual image building blocks. | 04-04-2013 |
20130086579 | SYSTEM, METHOD, AND COMPUTER READABLE MEDIUM FOR IMPROVING VIRTUAL DESKTOP INFRASTRUCTURE PERFORMANCE - The present disclosure provides a system, method, and computer readable medium for improved Virtual Desktop Infrastructure (VDI) performance by locally caching at least a part of a common operating environment (COE) gold image to hypervisor-node storage rather than shared data stores. Additionally, the present disclosure enables scheduled and differential synchronization of the gold images in off-hours to reduce loads on the shared data store. | 04-04-2013 |
20130086580 | MIGRATION OF VIRTUAL MACHINE POOL - Migration of a pool of virtual machines to a target physical machine. The virtual machines were all provisioned into an initial state using a master image. Thereafter, changes to the state were reflected in corresponding user delta collections for each of the virtual machines. In preparation for the migration to the target physical machine, a pool is allocated on the target machine. The target pool is associated with the same master image as the source pool. The virtual machines are provisioned within the target pool based on the master image. The virtual machines in the target pool are then connected to the corresponding user delta collection, allowing the virtual machines to continue in the same state in the target machine as when they operated in while last operating in the source machine. The target machine may be as master service provider. | 04-04-2013 |
20130086581 | PRIVILEGE LEVEL AWARE PROCESSOR HARDWARE RESOURCE MANAGEMENT FACILITY - Multiple machine state registers are included in a processor core to permit distinction between use of hardware facilities by applications, supervisory threads and the hypervisor. All facilities are initially disabled by the hypervisor when a partition is initialized. When any access is made to a disabled facility, the hypervisor receives an indication of which facility was accessed and sets a corresponding hardware flag in the hypervisor's machine state register. When an application attempts to access a disabled facility, the supervisor managing the operating system image receives an indication of which facility was accessed and sets a corresponding hardware flag in the supervisor's machine state register. The multiple register implementation permits the supervisor to determine whether particular hardware facilities need to have their state saved when an application context swap occurs and the hypervisor can determine which hardware facilities need to have their state saved when a partition swap occurs. | 04-04-2013 |
20130086582 | Network Adapter Hardware State Migration Discovery in a Stateful Environment - An approach is provided in which a discovery system receives a migration request to move a virtual machine that executes on a first system. The discovery system identifies a first network adapter corresponding to the first system, and identifies hardware state data used by the first network adapter to process data packets generated by the virtual machine. In turn, the discovery system identifies a second network adapter that is compatible with a native format of the hardware state data, and migrates the virtual machine to a second system corresponding to the identified second network adapter. | 04-04-2013 |
20130086583 | Method and Computer for Controlling Virtual Machine - A virtual machine booting method for booting a virtual machine, the virtual machine comprising: a physical computer which includes a processor, a memory, and storage; and a virtualization module which assigns computer resources of the physical computer to the virtual machine, the method comprising: setting, by the virtualization module, before the virtual machine is booted, states of a first access path and a second access path which couple the virtual machine and the storage; booting the virtual machine by the virtualization module; determining, by the virtualization module, when to switch between the first access path and the second access path; and switching the first access path to the second access path when the virtualization module determines that it is time to switch between the first access path and the second access path. | 04-04-2013 |
20130086584 | CONTROL DEVICE, CONTROL METHOD, AND CONTROL PROGRAM - A control device includes a memory and a processor coupled to the memory, wherein the processor executes a process comprising determining the order of setting of operating conditions which are set to a plurality of virtual machines and make the virtual machines operate, respectively, in accordance with processing dependency between software executed by the plurality of virtual machines and first setting the respective operating conditions of the virtual machines in the setting order at the determining. | 04-04-2013 |
20130086585 | Managing the Persistent Data of a Pre-Installed Application in an Elastic Virtual Machine Instance - A method and apparatus for managing the persistent data of a pre-installed application in an elastic virtual machine instance is disclosed, the method comprising: in response to installing an application into a master virtual machine image, obtaining a persistent data point of a function component of the application, the persistent data point comprising a file directory for storing the persistent data of the function component; in response to launching an elastic virtual machine instance from the master virtual machine, creating a storage volume, attaching the storage volume to the elastic virtual machine instance, and mounting the storage volume to the file directory based on the persistent data point. Other features and aspects may be realized, depending upon the particular application. | 04-04-2013 |
20130091499 | METHOD AND APPARATUS FOR COMPARING CONFIGURATION AND TOPOLOGY OF VIRTUALIZED DATACENTER INVENTORIES - Disclosed herein is a method of verifying that a reconstructed inventory of a virtualized computer system has been accurately reproduced from an original inventory of a virtualized computer system. A first snapshot and a second snapshot are received, where the first snapshot is a snapshot of the original inventory and the second snapshot is a snapshot of the reconstructed inventory. The first snapshot and the second snapshot are then analyzed to determine that hierarchical relationships, roles and permissions, configuration settings, and/or custom definitions of items in the original inventory match hierarchical relationships of corresponding items in the reconstructed inventory. | 04-11-2013 |
20130091500 | PARAVIRTUALIZED VIRTUAL GPU - An embodiment of the invention sets forth a primary processing unit, a secondary processing unit coupled to the primary processing unit and accessible via a plurality of channels and a plurality of guest virtual machines executing on the primary processing unit. Each guest virtual machine includes a driver associated with the secondary processing unit, and a privileged virtual machine executing on the primary processing unit and configured to allocate a different set of channels of the plurality of channels to each of the drivers included in the guest virtual machines, where a first set of channels allocated to a first driver enables the first driver to access the secondary processing unit without conflicting with any of the other and with minimal performance overhead by directly accessing the secondary processing unit channels. | 04-11-2013 |
20130091501 | Defining And Managing Virtual Networks In Multi-Tenant Virtualized Data Centers - An approach is provided in which a computer system selects a virtual domain from multiple virtual domains, which are each overlayed onto a physical network and are independent of physical topology constraints of the physical network. The computer system selects, from the selected virtual domain, a first virtual group that includes one or more first virtual network endpoints. Next, the computer system selects, from the selected virtual domain, a second virtual group that includes one or more second virtual network endpoints. In turn, the computer system creates a logical link policy that includes one or more actions corresponding to sending data between the first virtual group and the second virtual group. | 04-11-2013 |
20130091502 | SYSTEM AND METHOD OF PROVIDING VIRTUAL MACHINE USING DEVICE CLOUD - A system of providing a virtual machine using a device cloud is provided. The system includes a terminal that includes a user interface for a virtual machine request in a server providing cloud services, at least one component device that is registered in the server to be usable as a virtual machine, and a server that registers the at least one component device as a resource usable as the virtual machine, and controls the at least one component device to operate as the virtual machine usable by the terminal in response to a request from the terminal. | 04-11-2013 |
20130097598 | PROCESSOR WITH DEDICATED VIRTUAL FUNCTIONS AND DYNAMIC ASSIGNMENT OF FUNCTIONAL RESOURCES - In one embodiment, a processor comprises a plurality of hardware resources, each hardware resource having a clock cycle. The processor also comprises a plurality of work stores, each work store assigned into one of a plurality of virtual functions if a mode of the processor is set to a virtual function mode, and each work store assigned into one physical function if the mode of the processor is set to a physical function mode. The processor further comprises dispatch logic configured to dispatch work from any work store corresponding to any virtual function or physical function to any released hardware resources. | 04-18-2013 |
20130097599 | RESUMING EXECUTION OF AN EXECUTION PLAN IN A VIRTUAL MACHINE - In an embodiment, a query implemented by a first execution plan is executed at a first virtual machine. In response to a move command that requests a move of the first virtual machine from a first computer to a second computer while the first virtual machine is executing the query implemented by the first execution plan at the first computer, an attribute of a resource used by the executing at the first virtual machine is saved to memory at the first computer and a driver source used by the executing at the first virtual machine is saved to the memory at the first computer. In response to a command that requests starting a second virtual machine at the second computer, a determination is made whether the driver source that comprises the state of the partial execution of the first execution plan exists in memory of the second computer. | 04-18-2013 |
20130097600 | Global Queue Pair Management in a Point-to-Point Computer Network - An approach is provided in which a local module receives a data frame initiated by a first virtual machine and has a target destination at a second virtual machine, which executes on a destination host system. The local module identifies a destination local port ID and a destination global queue pair number corresponding to the second virtual machine. In one embodiment, the destination local port ID corresponds to the destination host, but the destination global queue pair number is independent of the destination host. The local module includes the destination global queue pair number and the destination local port ID in an overlay header and encapsulates the data frame with the overlay header, which results in an encapsulated frame. In turn, the local module sends the encapsulated frame through a computer network to the second virtual machine. | 04-18-2013 |
20130097601 | OPTIMIZING VIRTUAL MACHINES PLACEMENT IN CLOUD COMPUTING ENVIRONMENTS - Placement of instances of virtual machine (VM) images in a cloud computing environment is optimized. A request is received to deploy a new VM image instance. User-specific and generic VM resource usage profiles are read that include resource consumption data. Resource pool profiles for multiple resource pools are read that include resource consumption data for the resource pools. For each of the resource pool profiles, a selected VM resource usage profile is analyzed and aligned with the resource pool profiles. An aggregated resource consumption profile is calculated. A determination is made of one of multiple resource pools with the least aggregated resource consumption. | 04-18-2013 |
20130097602 | SYSTEMS AND METHODS FOR FACILITATING VIRTUALIZATION OF A HETEROGENEOUS PROCESSOR POOL - A system for facilitating virtualization of a heterogeneous processor pool includes a processor allocation component and a hypervisor, each executing on a host computer. The processor allocation component identifies a plurality of physical processors available for computing and determines a set of flags, each of the set of flags identifying a type of functionality provided by each of a subset of the plurality of physical processors. The hypervisor, in communication with the processor allocation component, allocates, to at least one virtual machine, access to one of the subset of the plurality of physical processors. | 04-18-2013 |
20130097603 | VIRTUAL COMPUTER SYSTEM, VIRTUAL COMPUTER CONTROL METHOD, VIRTUAL COMPUTER CONTROL PROGRAM, AND SEMICONDUCTOR INTEGRATED CIRCUIT - When a predetermined application program becomes the target of execution on a virtual machine that is currently being executed, the virtual machine that is currently being executed is designated as a parent virtual machine, and a child virtual machine to execute the predetermined application program is generated by forking. The generated child virtual machine is configured not to execute any application program other than the predetermined application program. The parent virtual machine executes a dummy application program instead of the predetermined application program. | 04-18-2013 |
20130104124 | SYSTEM AND METHOD FOR DYNAMIC MAPPING OF QUEUES FOR VIRTUAL MACHINES - A method and system for managing multiple queues of a networking device associated with a host machine in a virtual machine system. The networking device includes multiple dedicated queues and shared queues that are used by multiple guests of the virtual machine system for the transmission and/or receipt of packets in a data communication. A hypervisor of the virtual machine system manages the assignment of the multiple queues to the multiple guests by dynamically mapping addresses of the virtual machines based on determination of a load placed on the queues. | 04-25-2013 |
20130104125 | System and Method for License Management of Virtual Machines at a Virtual Machine Manager - According to one embodiment of the present disclosure, a method includes receiving an event notification from a virtual machine manager operable to control the execution of one or more virtual machines, the event notification corresponding to a first virtual machine and indicating an event type associated with the first virtual machine. The method also includes updating an inventory indicating a number of active instances of one or more applications based on the event notification. The method further includes determining compliance or non-compliance with one or more license policies based on the inventory, each license policy corresponding to at least one of the one or more applications. | 04-25-2013 |
20130104126 | SYSTEM AND METHOD FOR DYNAMICALLY CREATING MACHINE IMAGES FOR INSTANTIATING VIRTUAL MACHINES - A method and apparatus for creating a machine image to be used in instantiating virtual nodes in a cloud computing environment. A virtual machine operating system image is created based on the configuration file. The machine operating system image is loaded in the cloud environment as a machine instance. An EBS disc is created based on the configuration file, and the EBS disk is attached to the machine instance. The method and apparatus allow software to be provisioned on the fly merely by being specified in the configuration file, which can be an XML file or other declarative document. | 04-25-2013 |
20130104127 | Method Of Handling Network Traffic Through Optimization Of Receive Side Scaling - An information handling system (IHS) includes a plurality of processors that include a cache memory, and a receive side scaling (RSS) indirection table with a plurality of pointers each pointing to a processor. A network data packet received by the IHS determines a pointer to a first processor. In response, information associated with the network data packet is transferred to the cache memory of the first processor, The IHS also includes a process scheduler that moves a process associated with the network data packet from a second processor to the first processor, and RSS module that directs the process scheduler to move the process and associates the first pointer with the processor in response to directing the process scheduler. In one embodiment, the processes are virtual machines so that network packets associated with a virtual machine are processed by sending an interrupt to a processor supporting executing the virtual machine. | 04-25-2013 |
20130104128 | APPARATUS, METHOD OF MANAGING A PLURALITY OF VIRTUAL MACHINES, AND RECORDING MEDIUM - An apparatus includes a memory and a processor that executes a program including a procedure on the memory. The procedure comprises receiving information in which a communication amount corresponding to an amount of transmitted and received data is associated with a transmission source and a destination of the data with respect to each of virtual machines operating in a system including servers, calculating a correlation degree between the transmission source and the destination based on the information, calculating an arrangement candidate of the virtual machine with respect to the server based on the correlation degree, calculating a load on the network in the system imparted by the transmission and reception of the data attributable to a movement of the virtual machine to match the arrangement candidate; and controlling the movement of the virtual machine in accordance with the arrangement candidate based on the load and a threshold. | 04-25-2013 |
20130104129 | VIRTUAL-MACHINE CONTROL SYSTEM AND VIRTUAL-MACHINE MOVING METHOD - A virtual-machine control program includes an operational-status inquiry unit that inquires of another machine about a memory usage status of virtual machines realized on another machine; a swapping-target selecting unit that selects a virtual machine capable of being swapped for a virtual machine realized on a machine from among the virtual machines realized on another machine based on information obtained by the operational-status inquiry unit; and a swapping performing unit that swaps the virtual machine realized on the machine for the virtual machine selected by the swapping-target selecting unit. The virtual-machine control program enables to move the virtual machine to another machine without preparing a free space in advance. | 04-25-2013 |
20130111468 | VIRTUAL MACHINE ALLOCATION IN A COMPUTING ON-DEMAND SYSTEM | 05-02-2013 |
20130111469 | Service provider management of virtual instances corresponding to hardware resources managed by other service providers | 05-02-2013 |
20130111470 | Duration Sensitive Scheduling In A Computing Environment | 05-02-2013 |
20130111471 | Compute and storage provisioning in a cloud environment | 05-02-2013 |
20130111472 | VIRTUAL ARCHITECTURE GENERATING APPARATUS AND METHOD, AND RUNTIME SYSTEM, MULTI-CORE SYSTEM AND METHODS OF OPERATING RUNTIME SYSTEM AND MULTI-CORE SYSTEM | 05-02-2013 |
20130111473 | PASSIVE MONITORING OF VIRTUAL SYSTEMS USING EXTENSIBLE INDEXING | 05-02-2013 |
20130111474 | SYSTEM AND METHOD TO CACHE HYPERVISOR DATA | 05-02-2013 |
20130111475 | FAST DETERMINATION OF COMPATIBILITY OF VIRTUAL MACHINES AND HOSTS | 05-02-2013 |
20130111476 | VIRTUAL MACHINE UTILITY COMPUTING METHOD AND SYSTEM | 05-02-2013 |
20130111477 | METHODS AND APPARATUS TO PROVIDE DYNAMIC MESSAGING SERVICES | 05-02-2013 |
20130111478 | UNIFIED RESOURCE MANAGER PROVIDING A SINGLE POINT OF CONTROL | 05-02-2013 |
20130117741 | OPTIMIZATION FRAMEWORK FOR REMOTELY EXECUTING A MAINTENANCE OPERATION ON A VIRTUAL MACHINE - Embodiments provide a system for executing a maintenance operation on a virtual machine (VM). The system includes a host computing device including a first VM and a second VM, a maintenance application installed within the first VM, and an optimization framework providing an interface to the maintenance application. The system also includes an optimization server coupled to the maintenance application through the optimization framework. The optimization server is configured to select a maintenance operation to execute on the second VM and command the maintenance application to execute the maintenance operation on the second VM. | 05-09-2013 |
20130117742 | SHARING WORK ENVIRONMENT INFORMATION SOURCES WITH PERSONAL ENVIRONMENT APPLICATIONS - One or more embodiments of the invention enable an application running in a personal environment of a mobile device to access an information source registered with a guest operating system (OS) of a work environment. The personal environment is a host OS of the mobile device and the work environment is running in a virtual machine supported by a hypervisor running within the personal environment. A hypervisor-aware service in the virtual machine provides registration information for the information source to the hypervisor. The hypervisor updates the registration information to include a reference to the hypervisor and transmits the updated registration information to the host OS which registers the information source. Upon a request by the application for information from the information source, the hypervisor-aware service receives a request from the hypervisor to access the information source and provides access to the information source for the application through the hypervisor. | 05-09-2013 |
20130117743 | Instruction-Set Support for Invocation of VMM-Configured Services without VMM Intervention - A processing core comprising instruction execution logic circuitry and register space. The register space to be loaded from a VMCS, commensurate with a VM entry, with information indicating whether a service provided by the processing core on behalf of the VMM is enabled. The instruction execution logic to, in response to guest software invoking an instruction: refer to the register space to confirm that the service has been enabled, and, refer to second register space or memory space to fetch input parameters for said service written by said guest software. | 05-09-2013 |
20130117744 | METHODS AND APPARATUS FOR PROVIDING HYPERVISOR-LEVEL ACCELERATION AND VIRTUALIZATION SERVICES - Systems and methods for maintaining cache synchronization in network of cross-host multi-hypervisor systems, wherein each host has least one virtual server in communication with a virtual disk, an adaptation layer, a cache layer governing a cache and a virtualization and acceleration server to manage volume snapshot, volume replication and synchronization services across the different host sites. | 05-09-2013 |
20130117745 | VIRTUAL COMPUTER SYSTEM, CONTROL METHOD FOR VIRTUAL COMPUTER SYSTEM, CONTROL PROGRAM FOR VIRTUAL COMPUTER SYSTEM, AND INTEGRATED CIRCUIT - When a process judging unit | 05-09-2013 |
20130125112 | DYNAMIC POLICY BASED INTERFACE CONFIGURATION FOR VIRTUALIZED ENVIRONMENTS - In one embodiment, a method includes receiving static profiles each comprising one or more properties of an operating environment, receiving a dynamic profile for identifying a configuration of an interface based on the static profile associated with said dynamic profile, associating the dynamic profile with one of the static profiles based on the operating environment of the interface, and automatically updating the association upon identifying a change in the operating environment. An apparatus is also disclosed. | 05-16-2013 |
20130125113 | Pairing Physical Devices To Virtual Devices To Create An Immersive Environment - Pairing physical devices to virtual devices to create an immersive environment, including: identifying, by a device pairing module, a virtual device in a virtual environment; identifying, by the device pairing module, a physical device in a physical environment; and pairing, by the device pairing module, the virtual device in the virtual environment with the physical device in the physical environment such that the physical device in the physical environment delivers virtual environment content, wherein the virtual environment content is content being delivered to an object in the virtual environment. | 05-16-2013 |
20130125114 | COMPUTATIONAL ASSET IDENTIFICATION WITHOUT PREDETERMINED IDENTIFIERS - Embodiments allow management software applications to distinguish computational assets without the use of static, predetermined identifiers that are susceptible to duplication along with computational assets. Managers and computational assets are associated with authenticator values. Additionally, a manager and computational asset determine (e.g., negotiate) an expected nonce (number used once) to be provided by either party when requesting a transaction. Upon receiving a transaction request associated with an authenticator value and a transaction nonce, the sender's knowledge of the expected nonce is proven when the nonce associated with the request matches the expected nonce, and disproven otherwise. When such knowledge is proven, the manager treats the computational asset as the one originally associated with the computational asset authenticator value and negotiates a new nonce. When such knowledge is disproven, the manager treats the computational asset as a duplicate of the one that was originally associated with the computational asset authenticator value. | 05-16-2013 |
20130125115 | POLICY ENFORCEMENT BY HYPERVISOR PARAVIRTUALIZED RING COPYING - Methods and systems for executing a request between a virtual machine and a paravirtualized machine are provided. The request is received from the virtual machine at a first shared memory of a hypervisor. The first shared memory is accessible to the virtual machine but not the paravirtualized machine. The request is transferred by the hypervisor from the first shared memory to a second shared memory of the hypervisor. The second shared memory is accessible to the paravirtualized machine but not the virtual machine. The request is intended for execution by the paravirtualized machine. | 05-16-2013 |
20130125116 | Method and Device for Adjusting Virtual Resource and Computer Readable Storage Medium - A method for adjusting a virtual resource used in a virtual system is provided. The method comprises the following steps. At least one virtual machine is provided in the virtual system, and the at least one virtual machine has maximum and minimum thresholds of I/O (Input/Output) loading and maximum and minimum thresholds of operation loading. An I/O loading amount and an operation loading amount of the total loading amount of the virtual system are retrieved. The relationship of the I/O loading amount and the maximum and minimum thresholds of I/O loading is determined to adjust the number of the virtual machine, and the relationship of the operation loading amount and the maximum and minimum thresholds of operation loading is determined as well to adjust an unit resource amount of the virtual machine. | 05-16-2013 |
20130125117 | Method and Arrangement for Using a Resource of a Hardware Platform with at Least Two Virtual Machines - A method and arrangement for using a resource of a hardware platform with at least two virtual machines, wherein a virtualization controller for administering the virtual machines executes on the hardware platform, a first machine of the virtual machines with a first operating system executes separately on a first processor core or a first processor, and further virtual machines execute on a further processor core or processor. One of the further virtual machines comprises a service machine including a first driver for accessing the resource, where the first operating system includes a para-virtualized second driver for accessing the resource, and requests by the para-virtualized second driver to access the resource are directed to the first driver that converts the requests into access operations to the resource. | 05-16-2013 |
20130125118 | Method and Virtualization Software for Providing Independent Time Sources for Virtual Runtime Environments - A method and virtualization software for providing at least two mutually independent time sources for at least one real-time operating system of a data processing device including virtual runtime environments, where a general-purpose operating system runs in one virtual runtime environment, and the virtual runtime environments are managed by virtualization software (hypervisor). A first time source is exclusively assigned to each real-time operating system, where the data processing device includes a second time source independent of the first time source, the second time source is configured to periodically generate an interrupt, the first processor core enters a routine of the virtualization software (hypervisor) with each interrupt triggered by the second time source, the content of at least one memory cell readable by the real-time operating system is updated and used as a time source, which is independent of the first time source, to control the first time source. | 05-16-2013 |
20130125119 | REGULATING ACCESS TO AND PROTECTING PORTIONS OF APPLICATIONS OF VIRTUAL MACHINES - Embodiments of apparatus, computer-implemented methods, systems, and computer-readable media are described herein for a virtual machine manager, wherein the virtual machine manager is configured to selectively employ different views with different permissions to map guest physical memory of a virtual machine of the apparatus to host physical memory of the apparatus, to regulate access to and protect different portions of an application of the virtual machine that resides in different portions of the physical memory. Other embodiments may be described and/or claimed. | 05-16-2013 |
20130125120 | MIGRATING MIDDLEBOX STATE FOR DISTRIBUTED MIDDLEBOXES - A controller of a network control system for configuring several middlebox instances is described. The middlebox instances implement a middlebox in a distributed manner in several hosts. The controller configures, in a first host, a first middlebox instance to receive a notification from a migration module before a virtual machine (VM) running in the first host migrates to a second host and to send middlebox state related to the VM to the migration module. | 05-16-2013 |
20130125121 | METHOD AND SYSTEM FOR VIRTUAL MACHINE MIGRATION - Virtual machine (VM) technology allows multiple operating systems each deploying multiple applications to run on a single host. This invention presents an effective method and system for virtual machine migration from a source host to a target host. The method and system concern the migration of both the service VM and the element managing it. State of the migrating VM is preserved so that it can resume its execution on the target host. | 05-16-2013 |
20130125122 | SYSTEM AND METHOD FOR USING LOCAL STORAGE TO EMULATE CENTRALIZED STORAGE - Methods and systems for utilizing local storage of host computers to emulate a centralized storage system comprise receiving a write operation from a virtual machine running on a first host computer, wherein the write operation corresponds to a disk image that is locally stored in a first host computer. The disk image and a second disk image is updated in accordance with the write operation, wherein (i) the second disk image is locally stored on a second host computer that is networked to the first host computer, (ii) the second disk image serves as a back-up to the disk image, and (ii) the second host computer is configured to support the running of other virtual machines that access other disks images that (a) are locally stored on the second host computer, and (b) are further backed-up on at least a third host computer. | 05-16-2013 |
20130125123 | System and Method to Enable Power Related Decisions in a Virtualization Environment - An information handling system includes a first power detector that detects a first power level of the information handling system, a second power detector that detects a second power level of the information handling system, and a virtual machine manager. The virtual machine manager is operable to receive the first power level and the second power level, apportion a first portion of the first power level to a first workload instantiated on the information handling system, apportion a second portion of the first power level to a second workload instantiated on the information handling system, apportion a first portion of the second power level to the first workload, and apportion a second portion of the second power level to the second workload. | 05-16-2013 |
20130125124 | APPARATUS AND METHOD FOR SEGREGATING TENANT SPECIFIC DATA WHEN USING MPLS IN OPENFLOW-ENABLED CLOUD COMPUTING - A tenant database is used to add tenant ID information to the cloud network manager (CNM) address mapping table to isolate tenant specific data to a tenant ID to the CNM. The CNM maintains a mapping among a plurality of items in a plurality of databases or tables. The plurality of databases or tables include a tenant database (DB), a tenant identifier to tenant label (TITL) table, a top of rack server label to virtual switch link label (TLVLL) table, a label mapping table (SMVL), and a CNM address mapping table. The CNM uses the plurality of databases to generate tenant specific labels that are added to packets sent between tenant virtual machines (VMs). | 05-16-2013 |
20130125125 | COMPUTER SYSTEM AND OFFLOADING METHOD IN COMPUTER SYSTEM - A computer system has: a virtual machine operating on a physical machine; and a management block operating on the physical machine and managing the virtual machine. The virtual machine has a specific function processing module that performs specific function processing with respect to a packet for transmission and a received packet. The management block has a virtual switch that relays a packet transmitted and received by the virtual machine. The virtual switch has an offload processing block that performs the specific function processing if the specific function processing is offloaded to the management block. If the specific function processing is offloaded from the virtual machine to the management block, the specific function processing module notifies the management block of processing information required for the specific function processing, and the offload processing block executes the specific function processing based on the processing information received from the virtual machine. | 05-16-2013 |
20130132944 | Methods and Apparatus for Binding Applications to a Cloud Computing Environment - Methods and apparatus for binding an application to a virtual computing instance within a multi-tenant virtual computing environment. In one embodiment, an application binding system may include, among other elements, creating a dependence between at least some functionality of an application to a kernel-level component within the virtual computing instance. | 05-23-2013 |
20130132945 | VIRTUAL MACHINE UPDATES - Various systems, processes, and products may be used to update virtual machines. In particular implementations, a system, process, and product for updating virtual machines may include the ability to determine whether a change to a portion of an operating system for a virtual machine is available and identify a virtual machine using the operating system. The system, process, and product may also include the ability to determine when the virtual machine is modifiable and update the portion of the operating system for the virtual machine when it is modifiable. | 05-23-2013 |
20130132946 | SYNCHRONIZED FAILOVER FOR ACTIVE-PASSIVE APPLICATIONS - The present invention extends to methods, systems, and computer program products for synchronized active-passive application failover. A data connection to a single data source can be used as a synchronizations point. Interoperating instance side and data source side algorithms coordinate to transition a passive instance to an active instance within a specified period of time when a prior active instance fails. An active-passive controller can operate as an active-active module within an active-active environment to provide active-passive failover to active-passive modules. Application virtual names can be mapped to application instance electronic addresses to assist external modules in establishing application connections to active-passive applications. | 05-23-2013 |
20130132947 | EXECUTION PATHWAY FOR INTERFACING WITH LEGACY PROGRAMS IN A MAINFRAME ENVIRONMENT - A computer-implemented method is provided for creating an execution path for interfacing with legacy programs in a mainframe computing environment. The method includes: creating a run-time environment for programs on a mainframe computer by an initiator program; initiating execution of a configuration program in the run-time environment by the initiator program, where the configuration program is written in the high level programming language; specifying user-defined parameters for a Java Virtual Machine to the configuration program; and creating a Java Virtual Machine in another run-time environment of the mainframe computer, where the JVM is created by the configuration program using the user-defined parameters. | 05-23-2013 |
20130132948 | PERSONAL CLOUD COMPUTING AND VIRTUAL DISTRIBUTED CLOUD COMPUTING SYSTEM - An exemplary cloud computing apparatus includes at least one compute device controller. A digital data storage of the controller includes a chief management virtual machine program for running a chief management virtual machine. A processor associated with the digital data storage is configured to run the chief management virtual machine. The chief management virtual machine is useful to control first user communications between at least one first user and a first virtual machine and to control second user communications between at least one second user and a second virtual machine. The first virtual machine and the second virtual machine are run by at least one compute resource distinct from the compute device controller. The chief management virtual machine is also useful for isolating the first user communications from the second user communications. | 05-23-2013 |
20130132949 | MECHANISM FOR VIRTUAL DEVICE INTERRUPT HINTING IN A VIRTUALIZATION SYSTEM - A mechanism for virtual device interrupt hinting in virtualization systems is disclosed. A method of the invention includes receiving a virtual device event from a host central processing unit (CPU) of a multi-CPU host machine, the virtual device event directed to a virtual machine (VM) managed by the hypervisor on the host machine, identifying one or more virtual CPUs (VCPUs) of the VM that are running on the host CPU, and providing the identified one or more VCPUs of the VM as a hint to the VM, the hint sent to the VM with the virtual device event, wherein the VM programs a virtual device associated with the event to deliver interrupts to a VCPU of the VM identified in the hint. | 05-23-2013 |
20130132950 | AUTOMATION OF VIRTUAL MACHINE INSTALLATION BY SPLITTING AN INSTALLATION INTO A MINIMAL INSTALLATION AND CUSTOMIZATION - A server computing system receives a request to instantiate a virtual machine in a cloud. The request is associated with a template that specifies the operating system and software packages to be installed on the virtual machine. The system splits the installation of the virtual machine into creating a minimal operating system installation, customizing the minimal installation, and generating a disk image of the customized minimal installation. The system creates a minimal installation of the specified operating system, executes the minimal operating system in a virtual machine guest, and customizes the minimal installation of the operating system as specified in the template. The system generates a virtual machine disk image of the customized minimal installation that is a cloud generic virtual machine disk image an is configurable to instantiate the virtual machine in clouds provided by different cloud providers. | 05-23-2013 |
20130132951 | Network Port Profile Representation in Open Virtualization Format Package - A method for providing network port profiles in open virtualization format includes embedding content of the network port profiles in an open virtualization format file, referencing the network port profiles inside an open virtualization format package, or referencing the network port profiles outside of the OVF package. | 05-23-2013 |
20130132952 | Network Port Profile Deployment in a Pre-Provisioned or Dynamically Provisioned Network Infrastructure - A system for open virtualization format includes a virtualization platform to run a virtual machine and a network infrastructure to accommodate the virtualization platform. The network infrastructure includes a deployed network port profile associated with the virtual machine. | 05-23-2013 |
20130132953 | Method and System for Providing Application by Virtual Machine and Computer-Readable Storage Medium to Execute the Method - In a method for providing an application by a virtual machine (VM), an application requesting instruction for requesting a target application is received from a client. An available VM is assigned according to the application requesting instruction. A target-application executable file corresponding to the target application is searched from several candidate executable files stored in an executable-file storage pool. The target-application executable file is mounted to the available VM. The mounted target-application executable file is executed through the available VM. | 05-23-2013 |
20130132954 | Image Deployment in a Cloud Environment - The invention relates to a mechanism for image deployment in a cloud environment comprising at least two hosts coupled to at least one disk, and at least one virtual machine created in at least one host of the at least two hosts by deploying an additional image to the at least one disk. The method is characterized in that the at least one host for deploying an additional image is selected according to a deployment factor representing a communality relationship between one or more existing base images in the cloud environment and the additional image. | 05-23-2013 |
20130132955 | Customizable File-Type Aware Cache Mechanism - A caching mechanism is provided in a computer server system including at least one host coupled to at least one disk, one or more virtual machines, one or more images of the one or more virtual machines created in a file system of the disk, and a virtual machine manager for assigning hardware resources of the computer server system to the one or more virtual machines. The mechanism provides a masking cache layer between the one or more virtual machines and the virtual machine manager, the masking cache layer including at least one separate entry for every cacheable data block of the file system to be referenced, collects profiling information related to one or more of the cacheable data blocks ( | 05-23-2013 |
20130132956 | VIRTUAL IMAGE OVERLOADING FOR SOLUTION DEPLOYMENT - An instantiable virtual machine part definition and part configuration metadata of an instantiable virtual machine capable of deployment as at least a portion of a service solution is received from a master overloaded virtual image. A determination is made as to whether to configure the instantiable virtual machine to reuse, using virtual image sharing, at least one portion of the master overloaded virtual image during deployment of the instantiable virtual machine within a service solution. A minimal executable virtual machine part core of the instantiable virtual machine that reuses, using the virtual image sharing, the at least one portion of the master overloaded virtual image during execution is identified. A minimal executable virtual machine part instantiable from the identified minimal executable virtual machine part core as the instantiable virtual machine is configured to utilize, using the virtual image sharing, a shared resource within the master overloaded virtual image during execution. | 05-23-2013 |
20130132957 | SYSTEM AND METHOD FOR COOPERATIVE VIRTUAL MACHINE MEMORY SCHEDULING - A resource scheduler for managing a distribution of host physical memory (HPM) among a plurality of virtual machines (VMs) monitors usage by each of the VMs of respective guest physical memories (GPM) to determine how much of the HPM should be allocated to each of the VMs. On determining that an amount of HPM allocated to a source VM should be reallocated to a target VM, the scheduler sends allocation parameters to a balloon application executing in the source VM causing it to reserve and write a value to a guest virtual memory (GVM) location in the source VM. The scheduler identifies the HPM location that corresponds to the reserved GVM and allocates it to the target VM by mapping a guest physical memory location of the target VM to the HPM location. | 05-23-2013 |
20130139152 | CLOUD PROVISIONING ACCELERATOR - High level characteristics are extracted from a virtual machine provisioning request stream for a cloud computing environment. A plurality of prediction models are generated for a plurality of different types of virtual machines. A regression-correlation ensemble is applied to appropriate ones of the prediction models to predict future virtual machine demand for the cloud computing environment. | 05-30-2013 |
20130139153 | CONFIGURING A GUEST OS - A host controller system monitors virtual machine hosts for a tuning event. Upon detecting a tuning event, the host controller system identifies at least one virtual machine potentially affected by the tuning event, and determines whether a corresponding guest operating system should be tuned (e.g., whether configuration parameters should be adjusted). If so, the host controller system obtains configuration data for the corresponding guest operating system and provides the configuration data to the corresponding guest operating system. | 05-30-2013 |
20130139154 | MODIFYING AN OS INSTALLER TO ALLOW FOR HYPERVISOR-SPECIFIC ADJUSTMENT OF AN OS - An installer installing an operating system on a host computer system detects that the operating system is to be run under a hypervisor, and causes at least one configuration parameter of the operating system to be adjusted based on the hypervisor. A migration tool migrating a virtual machine from one hypervisor to another hypervisor, identifies the types of the two hypervisors, the operating system used by the virtual machine, and causes at least one configuration parameter of the operating system to be adjusted based on the target hypervisor. | 05-30-2013 |
20130139155 | Adjustment During Migration to a Different Virtualization Environment - An installer installing an operating system on a host computer system detects that the operating system is to be run under a hypervisor, and causes at least one configuration parameter of the operating system to be adjusted based on the hypervisor. A migration tool migrating a virtual machine from one hypervisor to another hypervisor, identifies the types of the two hypervisors, the operating system used by the virtual machine, and causes at least one configuration parameter of the operating system to be adjusted based on the target hypervisor. | 05-30-2013 |
20130139156 | APPLICATION-DRIVEN SHARED DEVICE QUEUE POLLING IN A VIRTUALIZED COMPUTING ENVIRONMENT - Methods and systems for application-driven polling of shared device queues are provided. One or more applications running in non-virtualized or virtualized computing environments may be adapted to enable methods for polling shared device queues. Applications adapted to operate in a polling mode may transmit a request to initiate polling of shared device queues, wherein operating in the polling mode disables corresponding device interrupts. Applications adapted to operate in a polling mode may be regulated by one or more predefined threshold limitations. | 05-30-2013 |
20130139157 | APPARATUS AND METHOD FOR AUTOMATIC PARA-VIRTUALIZATION OF OS KERNEL - An automatic para-virtualization apparatus of an OS kernel is provided. The automatic para-virtualization apparatus includes a kernel profiler that detects profile information from a native OS kernel, and a virtualization unit that automatically generates a para-virtualized OS kernel that operates on a para-virtualization virtual machine monitor by combining the native OS kernel and the profile information. | 05-30-2013 |
20130139158 | MULTITENANT HOSTED VIRTUAL MACHINE INFRASTRUCTURE - A multi-tenant virtual machine infrastructure (MTVMI) allows multiple tenants to independently access and use a plurality of virtual computing resources via the Internet. Within the MTVMI, different tenants may define unique configurations of virtual computing resources and unique rules to govern the use of the virtual computing resources. The MTVMI may be configured to provide valuable services for tenants and users associated with the tenants. | 05-30-2013 |
20130139159 | APPARATUS AND METHOD THEREOF FOR EFFICIENT EXECUTION OF A GUEST IN A VIRTUALIZED ENVIRONMENT - A method for efficient execution of a guest in a virtualized computing environment is provided. The method comprises causing an execution of at least one virtual machine on a computing hardware, the virtual machine executes a hypervisor in a first security ring; and causing an execution of a single guest program on one of the at least one virtual machines, the single guest program comprises a kernel being executed in the first security ring and at least one application being executed in a second security ring. | 05-30-2013 |
20130139160 | SYSTEM AND METHOD FOR AUTOMATIC STORAGE LOAD BALANCING IN VIRTUAL SERVER ENVIRONMENTS - Methods and systems for periodically analyzing and correcting storage load imbalances in a storage network environment including virtual machines are described. These methods and systems account for various resource types, logical access paths, and relationships among different storage environment components. Load balancing may be managed in terms of input/output (I/O) traffic and storage utilization. The aggregated information is stored, and may be used to identify and correct load imbalances in a virtual server environment in order to prevent primary congestion and bottlenecks. | 05-30-2013 |
20130139161 | VIRTUAL MACHINE SYSTEM, MEMORY MANAGEMENT METHOD, MEMORY MANAGEMENT PROGRAM, RECORDING MEDIUM, AND INTEGRATED CIRCUIT - A virtual machine system for duplicating a first virtual machine to generate one or more second virtual machines is provided with a management unit that manages each memory area accessible by the first virtual machine so that after generation of the second virtual machines, every virtual machine is permitted to perform a read access to the memory area until any virtual machine performs a write access to the memory area, a determination unit that determines whether each memory area is a specific memory area, a detection unit that detects, after detecting a write access to a specific memory area, that a virtual machine other than the virtual machine performing the write access is attempting access to the specific memory area, and a memory allocation unit that acquires and allocates a new memory area to the virtual machine detected to be attempting access to the specific memory area. | 05-30-2013 |
20130145362 | HIDDEN AUTOMATED DATA MIRRORING FOR NATIVE INTERFACES IN DISTRIBUTED VIRTUAL MACHINES - An initial request for a reference to a data container is sent from a distributed enhanced remote execution container native interface component of a distributed virtual machine to a distributed enhanced virtual machine native interface component of the distributed virtual machine in response to receipt of the initial request from a remote execution container. A data mirror data structure including immutable data and the reference to the data container is received. The received data mirror data structure including the immutable data and the reference to the data container is stored within a local memory storage area. A reference to the locally-stored data mirror data structure is returned to the remote execution container in response to the initial request for the reference to the data container. | 06-06-2013 |
20130145363 | SYSTEM AND METHOD THEREOF FOR RUNNING AN UNMODIFIED GUEST OPERATING SYSTEM IN A PARA-VIRTUALIZED ENVIRONMENT - An apparatus and method of operation in a para-virtualized environment. The method includes executing a first hypervisor on a hardware platform of a computing device; and executing a second hypervisor over the first hypervisor, the second hypervisor is configured to capture at least a privileged instruction called by an unmodified guest program executed over the second hypervisor and cause the first hypervisor to execute an instruction corresponding to the captured privileged instruction, wherein the unmodified guest program and the second hypervisor operate in a user space protection domain, e.g., Ring | 06-06-2013 |
20130145364 | METHOD OF DYNAMIC RESOURCE ALLOCATION FOR A VIRTUAL MACHINE CLUSTER - A method of dynamic resource allocation for a virtual machine cluster is to calculate the resource usage weight of the respective virtual machine, the resource usage weight of the respective physical machine, and the average resource usage weight of the physical machines, to pick the physical machine with the greatest resource usage weight as the migration source machine, to pick the physical machine with the least resource usage weight as the migration object machine, and to move the virtual machine in the migration source machine with the resource usage weight thereof being closest to the migration difference value to the migration object machine to achieve the effect of load balancing. | 06-06-2013 |
20130145365 | METHOD FOR MANAGING GREEN POWER OF A VIRTUAL MACHINE CLUSTER - A method for managing green power determines if how many physical machines should run or be shut off with the gross occupied resource weight ratio of the virtual machine cluster. The standby physical machine in the non-running physical machines is elected and woke up to join as one of the running physical machines; one of the running physical machines is elected as a migration physical machine with the virtual machines therein being moved to other running physical machines, and then shut off. The resource allocation process is conducted to distribute loads of the running physical machines such that the total numbers of the running physical machines are capable of being dispatched flexibly to achieve the object of green power management. | 06-06-2013 |
20130145366 | DISPLAYING APPLICATIONS OF A VIRTUAL MOBILE DEVICE IN A USER INTERFACE OF A MOBILE DEVICE - One or more embodiments of the invention facilitate displaying application icons of a guest environment in a host environment of a mobile device, wherein the guest environment is running in a virtual machine supported by a hypervisor running within the host environment. One method comprises forwarding, by a hypervisor-aware service running in the virtual machine to the hypervisor, a list of applications installed in a guest operating system (OS) of the virtual machine. For each of the installed applications, the hypervisor provides metadata to a host OS running in the host environment, wherein the metadata comprises an application icon and an instruction to launch a proxy application installed in the host environment. Upon a launch of the proxy application when a user selects the application icon, the proxy application requests the hypervisor to communicate with the hypervisor-aware service to launch the installed application in the guest environment. | 06-06-2013 |
20130145367 | VIRTUAL MACHINE (VM) REALM INTEGRATION AND MANAGEMENT - A hardware and operating system independent platform and/or run time architecture that implements a configuration and algorithmic based application and process for enabling multiple virtual machines or internal networked physical servers (hosts) to be organized into groups or “realms” in real time and against specific configurable metrics. The configurable metrics include one or more of the following; load or processing capacity availability, cost of CPU processing, location based on risk, importance to business continuity priority requirements, disaster recovery strength and priority, geographic location of host aligned to IP, and any other client specific or custom requirements. A realm manager balances all of these configurable metrics and creates an algorithm to address allocation. The algorithm is fully elastic, allowing for automatic opening and closing of virtual machines to accommodate any internal or external changes. | 06-06-2013 |
20130145368 | OPTIMIZED PLACEMENT OF VIRTUAL MACHINES IN A NETWORK ENVIRONMENT - Systems and methods for reducing risk of service interruptions for one or more virtual machines (VMs) in a computing environment are provided. The method comprises computing a placement scheme for placing at least one VM on one or more hosts according to a set of placement constraints defined for the VM, wherein the set of placement constraints comprises at least one availability constraint defined for the VM, wherein the availability constraint designates a N resiliency level, wherein N corresponds to number of host failures that may occur before the services provided by the VM are interrupted. | 06-06-2013 |
20130152075 | Acceleration for Virtual Bridged Hosts - An approach is provided in which a hardware accelerated bridge executing on a network adapter receives an ingress data packet. The data packet includes a destination MAC address that corresponds to a virtual machine, which interfaces to a software bridge executing on a hypervisor. The hardware accelerated bridge identifies a software bridge table entry that includes the destination MAC address and a virtual function identifier, which identifies a virtual function corresponding to the software bridge. In turn, the hardware accelerated bridge sends the data packet from the hardware accelerated bridge to the software bridge through the identified virtual function. | 06-13-2013 |
20130152076 | Network Access Control Policy for Virtual Machine Migration - Techniques are provided to apply a network access control policy to a virtual machine (VM) migration before allowing the VM to migrate from one server to another server. At a first device in a network, a message is received from a second device, the message comprising information configured to request a migration of a virtual machine to the first device. A request is sent to the second device configured to request information about the operating conditions of the VM. A response to the request is received comprising information about the VM's operating conditions. A determination is made as to whether the information in the response complies with a network access control policy. In response to determining that the information complies with the network access control policy, the virtual machine is permitted to migrate, or otherwise the virtual machine migration request is denied. | 06-13-2013 |
20130152077 | PERSONAL AND POOLED VIRTUAL MACHINE UPDATE - The updating of virtual machines. A task broker schedules update tasks for multiple virtual machines on the host machine. As each update task is to be performed, if the virtual machine is not currently running, as might be the case for a personal virtual machine, the virtual machine is caused to begin running to allow the update task to be performed on the virtual machine. Also, a pooled virtual machine is updated by copying information from the old virtual hard drive to a location to allow the information to be preserved as the master image is updated. After the update is completed, the virtual machine is formed, associated with the new virtual hard drive, and copied back to the virtual machine. | 06-13-2013 |
20130152078 | METHOD AND SYSTEM FOR DEPLOYING MULTIPLE DISTRIBUTED APPLICATION STACKS ON A TARGET MACHINE - Method, system, and programs for automatically deploying a plurality of distributed application stacks on a target machine. A plurality of virtual environments isolated from each other are first created on the target machine through which a user has access to a plurality of clusters. A distributed application stack is deployed from the plurality of distributed application stacks in a respective virtual environment created on the target machine. The plurality of distributed application stacks are deployed in the plurality of clusters. Based on the deployed distributed application stack, each of the virtual environments is then associated with a corresponding cluster. | 06-13-2013 |
20130152079 | Dynamic generation of VM instance at time of invocation - Responsive to a request to invoke a given virtual machine (VM) instance, a base VM instance corresponding to a number of VM instances, including the given VM instance, is retrieved. A VM change file particular to just the given VM instance is also retrieved. The VM change file indicates how the given VM instance varies from the base VM instance. The VM change file is applied to the base VM instance to dynamically generate the given VM instance, and the given VM instance is then invoked. Responsive to a request to subsequently shutdown the given VM instance, the VM change file is updated to reflect how the given VM instance varies from the base VM instance. The given VM instance is then shutdown and deleted. | 06-13-2013 |
20130152080 | PLUG-IN BASED TEMPLATIZATION FRAMEWORK FOR AUTOMATING THE CREATION OF OPEN VIRTUALIZATION FORMAT VIRTUAL APPLIANCES - A plug-in-based templatization framework for automating the creation of open virtualization framework (OVF) virtual appliance (VA) is provided. According to one embodiment, a framework is stored which comprises a plurality of product-specific add-ons. Each of the plurality of product-specific add-ons comprises a discovery element, an activation logic template element, an open virtualization package envelope template element. The plurality of product-specific add-ons are executed against a first virtual composite application instance while said the virtual composite application instance is executing in a first virtualization platform environment to automatically generate an open virtualization package template. A second virtual composite application instance is then deployed within a second virtualization platform environment utilizing the open virtualization package template. | 06-13-2013 |
20130152081 | SELECTABLE EVENT REPORTING FOR HIGHLY VIRTUALIZED PARTITIONED SYSTEMS - A hypervisor includes system elements to receive an event log from an event source. The event log includes a notify of every partition (NEP) flag. The status of the NEP flag indicates whether the event log is to be sent to all partitions. If the NEP flag is set to a value indicating that the event log is not to be sent to all partitions, then for each partition in a set of active partitions, the hypervisor reads a platform event monitor (PEM) flag for the partition. If the platform event monitor flag is set to a value indicating the partition is to receive the event, the hypervisor passes the event log to an event service for the partition. | 06-13-2013 |
20130152082 | SELECTABLE EVENT REPORTING FOR HIGHLY VIRTUALIZED PARTITIONED SYSTEMS - A hypervisor includes methods to receive an event log from an event source. The event log includes a notify of every partition (NEP) flag. The status of the NEP flag indicates whether the event log is to be sent to all partitions. If the NEP flag is set to a value indicating that the event log is not to be sent to all partitions, then for each partition in a set of active partitions, the hypervisor reads a platform event monitor (PEM) flag for the partition. If the platform event monitor flag is set to a value indicating the partition is to receive the event, the hypervisor passes the event log to an event service for the partition. | 06-13-2013 |
20130152083 | VIRTUAL COMPUTER SYSTEM AND CONTROL METHOD OF MIGRATING VIRTUAL COMPUTER - A live migration in a virtual computer system. On a source physical computer, the control information area of the source logical FC-HBA (managed by an OS) is copied to the control information area of a dummy logical FC-HBA managed by a hypervisor. After an FC login to the dummy FC-HBA, an address conversion table is rewritten so that a host physical address for referring to the control information area of a logical HBA | 06-13-2013 |
20130152084 | Controlling Usage of Virtual Disks Before Their Attachment to Virtual Machines - Controlling usage of virtual disks in a data-processing center hosting a set of virtual machines is described where each virtual disk comprises a set of software artifacts. A corresponding method comprises detecting a request of adding a new virtual disk comprising a set of new software artifacts to a selected one of the virtual machines, determining composition information of the new virtual disk, which includes an indication of the new software artifacts, retrieving an indication of usage entitlements of the new software artifacts in the data-processing center, enabling or preventing the attachment of the new virtual disk to the selected virtual machine according to a comparison between the composition information and the usage entitlements, attaching the new virtual disk to the selected virtual machine in response to the enabling thereof, and updating the usage entitlements in response to the attachment of the new virtual disk. | 06-13-2013 |
20130152085 | Optimizing Storage Allocation in a Virtual Desktop Environment - Mechanisms for optimizing the storage allocation in a virtual desktop environment (VDE) managing a shared storage capacity, are provided. The shared storage capacity includes previously stored files, each being associated with a respective unique first file identifier, the VDE providing a virtual desktop to a processing device of a user. Upon reception of a first write request for writing a second file specified in the request, a second file identifier of the specified second file is determined and compared with the first file identifier of any first file stored to the shared storage capacity. A pointer to the stored first file associated with that first file identifier is created if the second file identifier is identical to one of the first file identifiers and, if not, the specified second file associated with the second file identifier is stored in the shared storage capacity. | 06-13-2013 |
20130152086 | APPARATUS AND METHOD FOR MANAGING VIRTUAL MEMORY - A virtual memory management apparatus and method to execute virtual machines in a multi-processor and multi-memory environment are provided. The virtual memory management apparatus includes a virtual system memory manager configured to allocate a virtual system memory to a virtual machine. The virtual memory management apparatus further includes a virtual swap device map storage configured to store location information of virtual swap devices that are able to be allocated to the virtual machine. The virtual memory management apparatus further includes a virtual swap device manager configured to allocate a virtual swap device to the virtual machine with reference to a virtual swap device map. | 06-13-2013 |
20130152087 | FAILURE MANAGEMENT FOR A VIRTUALIZED COMPUTING ENVIRONMENT - For failure management for multiple operating systems in a virtual environment, an external virtual machine or agent is provided that has been granted rights to full physical memory space to perform a crashdump for the machine. To avoid exposing secret information during a crashdump, private information for a virtual machine or partition is encrypted prior to generating a dump. The storing of crashdump information for virtual machines may avoid storing crashdump information for virtual machines that are stateless. Instead of having an (unstable) operating system running within a virtual machine perform a crashdump, the provision of an external agent, e.g., an external virtual machine, to perform the crashdump avoids many limitations associated with a normal dump when performed by a crashed operating system. | 06-13-2013 |
20130159997 | APPLICATION INITIATED NEGOTIATIONS FOR RESOURCES MEETING A PERFORMANCE PARAMETER IN A VIRTUALIZED COMPUTING ENVIRONMENT - A hypervisor negotiates to reserve at least one available local resource in a host system that meets a performance parameter for at least one resource specified in a reservation request by a particular logical partition from among one or more logical partitions of virtualized pools of resources managed by the hypervisor in the host system, wherein the at least one performance parameter is specified by a particular application initiating the reservation request. The hypervisor, responsive to determining that the at least one available resource is not available in the host system, negotiates for offers to migrate the particular logical partition to at least one other host system comprising at least one available remote resource that meets the performance parameter, wherein the at least one other host system is managed by at least one other hypervisor that manages one or more other logical partitions of virtualized pools of resources. | 06-20-2013 |
20130159998 | ESTIMATING MIGRATION COSTS FOR MIGRATING LOGICAL PARTITIONS WITHIN A VIRTUALIZED COMPUTING ENVIRONMENT BASED ON A MIGRATION COST HISTORY - Responsive to a hypervisor determining that insufficient local resources are available for reservation to meet a performance parameter for at least one resource specified in a reservation request for a particular logical partition managed by the hypervisor in a host system, the hypervisor identifies another logical partition managed by the hypervisor in the host system that is assigned at the least one resource meeting the performance parameter specified in the reservation request. The hypervisor estimates a first cost of migrating the particular logical partition and a second cost of migrating the another logical partition to at least one other host system communicatively connected in a peer-to-peer network based on at least one previously recorded cost stored by the host system of migrating a previous logical partition to the at least one other host system. | 06-20-2013 |
20130159999 | SYSTEM AND METHOD FOR GENERATING APPLICATION-LEVEL DEPENDENCIES IN ONE OR MORE VIRTUAL MACHINES - A technique generates application-level dependencies in one or more virtual machines (VMs). An intercepting module in a VM monitor intercepts a guest operation system (OS) at TCP send and close related operations. An introspecting module in the VM monitor performs VM introspection for TCP connection and thread information by checking running thread and processing socket kernel objects. It generates an application trajectory and exports application dependencies from the application trajectory for a selected application. | 06-20-2013 |
20130160000 | METHOD, COMPUTER READABLE MEDIUM AND SYSTEM FOR USING LARGE DATA SETS IN VIRTUAL APPLICATIONS - A method, computer readable medium and system are disclosed. The system includes one or more first interfaces communicatively coupled to a data storage device and one or more second interfaces communicatively coupled to a user interface, the control module being configured to share data, retrieved from the data storage device, across a plurality of virtual applications. The system further includes an application controller communicatively coupled to the control module, the application controller being configured to select at least one of the virtual applications based on information received via the at least one of the one or more second interfaces. | 06-20-2013 |
20130160001 | MANAGING CONFIGURATION AND SYSTEM OPERATIONS OF A NON-SHARED VIRTUALIZED INPUT/OUTPUT ADAPTER AS VIRTUAL PERIPHERAL COMPONENT INTERCONNECT ROOT TO SINGLE FUNCTION HIERARCHIES - A computer implemented method of managing an adapter includes determining that an adapter is assigned to an operating system and generating a single root input/output virtualization (SR-IOV) function associated with the adapter. The SR-IOV function may be correlated to a non-SR-IOV function, and the non-SR-IOV function may be used to modify an operational status of the adapter. | 06-20-2013 |
20130160002 | MANAGING CONFIGURATION AND SYSTEM OPERATIONS OF A SHARED VIRTUALIZED INPUT/OUTPUT ADAPTER AS VIRTUAL PERIPHERAL COMPONENT INTERCONNECT ROOT TO SINGLE FUNCTION HIERARCHIES - A computer implemented method of managing an adapter includes enabling an adapter to be shared by operating systems and logical partitions. The adapter includes a plurality of multiple virtual functions. A virtualization intermediary may assign a virtual function of the plurality of virtual functions to at least one of an operating system and a logical partition. The virtual function may be used to modify an operational status of the adapter. | 06-20-2013 |
20130160003 | MANAGING RESOURCE UTILIZATION WITHIN A CLUSTER OF COMPUTING DEVICES - Systems and methods described herein manage a computing device. A method includes receiving a threshold for an operating condition of a first computing device. An expected resource utilization of a computer program is determined. In addition, the method determines whether the computer program may be executed within the first computing device based on the operating condition threshold and the expected resource utilization of the computer program. | 06-20-2013 |
20130160004 | Method and Terminal for Loading Picture Resources by Java Virtual Machine - The present invention provides a method and a terminal for loading picture resources by a JAVA virtual machine. The method includes: obtaining the picture resources required by the JAVA virtual machine, decoding the picture resources into original data and then storing the original data; and reading the original data of the picture that requires loading to load the picture after receiving a loading picture event in normal operation of the JAVA virtual machine. According to the present invention, the picture loading speed of the JAVA virtual machine can be controlled, thereby guaranteeing the start speed of the JAVA virtual machine. | 06-20-2013 |
20130160005 | APPARATUS AND METHOD FOR VIRTUALIZING INPUT/OUTPUT DEVICES USING SHARED MEMORY IN HOST-BASED MOBILE TERMINAL VIRTUALIZATION ENVIRONMENT - Disclosed herein are an apparatus and method for virtualizing Input/Output (I/O) devices. The apparatus includes a hardware device control unit, a guest Operating System (OS) unit, a virtual machine monitor unit, and a host OS unit. The hardware device control unit controls the I/O devices of a terminal. The guest OS unit runs a guest OS via a virtual machine. The virtual machine monitor unit includes shared memory and an event channel, stores an instruction and data, and transfers the stored instruction and the data to a host OS. The host OS unit performs the operation of assigning the shared memory to the virtual machine monitor unit, the operation of generating the event channel, and an operation corresponding to the I/O instruction, stores the results of performance of the operations, and performs control so that the results of the performance of the operations are sent to the guest OS unit. | 06-20-2013 |
20130160006 | APPARATUS AND METHOD FOR CONTROLLING SENSOR DATA IN CLOUD SYSTEM - Disclosed herein is an apparatus for controlling sensor data in a cloud system. The apparatus includes a plurality of virtual machines, and a service module. Each of the plurality of virtual machines obtains sensor information about a user terminal by driving an internal sensor data processing module at a request of the corresponding user terminal, and provides the application execution environment of a requested service by connecting to the user terminal over a network. The service module provides an application corresponding to the requested service to a virtual machine which requested the service. When a sensor Application Programming Interface (API) of the user terminal is called by the application, the virtual machine requests sensor data from the user terminal based on the sensor information about the user terminal, and provides the result of measurement of the sensor data from the user terminal to the corresponding application. | 06-20-2013 |
20130160007 | ESTIMATING MIGRATION COSTS FOR MIGRATING LOGICAL PARTITIONS WITHIN A VIRTUALIZED COMPUTING ENVIRONMENT BASED ON A MIGRATION COST HISTORY - Responsive to a hypervisor determining that insufficient local resources are available for reservation to meet a performance parameter for at least one resource specified in a reservation request for a particular logical partition managed by the hypervisor in a host system, the hypervisor identifies another logical partition managed by the hypervisor in the host system that is assigned at the least one resource meeting the performance parameter specified in the reservation request. The hypervisor estimates a first cost of migrating the particular logical partition and a second cost of migrating the another logical partition to at least one other host system communicatively connected in a peer-to-peer network based on at least one previously recorded cost stored by the host system of migrating a previous logical partition to the at least one other host system. | 06-20-2013 |
20130160008 | APPLICATION INITIATED NEGOTIATIONS FOR RESOURCES MEETING A PERFORMANCE PARAMETER IN A VIRTUALIZED COMPUTING ENVIRONMENT - A hypervisor negotiates to reserve at least one available local resource in a host system that meets a performance parameter for at least one resource specified in a reservation request by a particular logical partition from among one or more logical partitions of virtualized pools of resources managed by the hypervisor in the host system, wherein the at least one performance parameter is specified by a particular application initiating the reservation request. The hypervisor, responsive to determining that the at least one available resource is not available in the host system, negotiates for offers to migrate the particular logical partition to at least one other host system comprising at least one available remote resource that meets the performance parameter, wherein the at least one other host system is managed by at least one other hypervisor that manages one or more other logical partitions of virtualized pools of resources. | 06-20-2013 |
20130160009 | CONTROL COMPUTER AND METHOD FOR DEPLOYING VIRTUAL MACHINES - In a method for deploying a virtual machine in a data center, a user request for installing a virtual machine in a data center and a specified amount of computing resources required by the virtual machine are received from a client computer. An amount of available computing resources of each physical machine in the data center is determined and compared with the specified amount of computing resources required by the virtual machine. One or more physical machines that satisfy the specified amount of computing resources required by the virtual machine are obtained. According to a deployment strategy of the virtual machine, a physical machine is determined from the one or more physical machines. The virtual machine is deployed in the determined physical machine. | 06-20-2013 |
20130160010 | APPARATUS AND METHOD FOR PROVIDING CONTENTS SERVICE WITH VIRTUAL MACHINE - An apparatus for providing a contents service includes a service request receiver configured to receive a contents service request from a user device, a device information manager configured to determine a type of the user device, a virtual machine generator configured to acquire template information corresponding to the determined type of the user device, and to generate a virtual machine based on the acquired template information, wherein the virtual machine generates contents in a format specific to the user device and a contents provider configured to provide the user device with the generated contents. | 06-20-2013 |
20130160011 | HIBERNATE MECHANISM FOR VIRTUALIZED JAVA VIRTUAL MACHINES - Methods, systems, and computer programs manage memory of a runtime environment executing on a virtual machine. A controller acts as an intermediary layer between the runtime environment and outside clients. The runtime environment coordinates with the controller to “hibernate” during idle periods to more efficiently utilize memory, and to quickly “refresh” state upon receiving an access attempt. A hypervisor may perform memory page compression on one or more guest “physical” memory pages utilized by an idle runtime environment. While hibernating, the runtime environment may use a listener thread to monitor for refresh requests and awaken the runtime environment when needed. | 06-20-2013 |
20130160012 | TIMER ACCESS FROM USER MODE THROUGH A SHARED MEMORY PAGE - A computing system in which a software component executing on a platform can reliably and efficiently obtain state information about a component supported by the platform through the use of a shared memory page. State information may be supplied by the platform, but any state translation information needed to map the state information as supplied to a format as used may be provided through the shared page. In a virtualized environment, the state translation information can be used to map the value of a virtual timer counter or other component from a value provided by a virtual processor to a normalized reference time that will yield the same result, regardless of whether the software component is migrated to or from another virtual processor. Use of a shared page avoids the inefficiency of an intercept into a virtualized environment or a system calls in native mode operation. | 06-20-2013 |
20130160013 | USER MANAGEMENT FRAMEWORK FOR MULTIPLE ENVIRONMENTS ON A COMPUTING DEVICE - An environment manager in a computer executes multiple environments concurrently. A user management framework (UMF) virtual machine an the computer runs an authentication domain that supports user profile management of the multiple environments. | 06-20-2013 |
20130160014 | COMPUTER SYSTEM AND CONTROL METHOD FOR COMPUTER SYSTEM - In cases where decided that the guaranteed resource capacity for virtual machine cannot be acquired all at one time, the computer system of the present invention decides whether or not resource capacity guaranteed for virtual machine can be continuously acquired by the start of the virtual machine operation, and if decided that the resource capacity can be continuously acquired, the computer system allocates the total acquired resource capacity to the virtual machine deployed on the physical machine. | 06-20-2013 |
20130167144 | Virtual Machine Persisted Within Itself - A computer-implemented method includes generating an intermediate representation of a virtual machine. The method includes generating an executable for the virtual machine. The method includes persisting at least part of the intermediate representation in the executable. A virtual machine tangibly stored in a computer-readable storage device, and a computer system, are also disclosed. | 06-27-2013 |
20130167145 | SYSTEMS AND METHODS FOR SAFELY MIGRATING TO VIRTUALIZED PLATFORMS - A computer-implemented method for safely migrating to virtualized platforms may include (1) identifying a virtual machine that is a target of a physical-to-virtual conversion from a physical server, (2) determining that the physical-to-virtual conversion includes at least one future step before the physical-to-virtual conversion is complete, (3) based on determining that the physical-to-virtual conversion includes the future step, creating a snapshot of the virtual machine before the future step, and (4) reverting the virtual machine to the snapshot before the future step. Various other methods, systems, and computer-readable media are also disclosed. | 06-27-2013 |
20130167146 | SCHEDULING VIRTUAL CENTRAL PROCESSING UNITS OF VIRTUAL MACHINES AMONG PHYSICAL PROCESSING UNITS - Embodiments of apparatus, computer-implemented methods, systems, and computer-readable media are described herein for a virtual machine monitor. The virtual machine monitor may be configured to determine whether to schedule a virtual central processing unit of one of a plurality of virtual machines on a first physical processing unit of a plurality of physical processing units. Each virtual machine may have one or more virtual central processing units. The determination may be based at least in part on whether a context of the virtual central processing unit suggests a desired cache hit rate on one or more cache units of the apparatus by the first physical processing unit when operating the virtual central processing unit. | 06-27-2013 |
20130167147 | VIRTUAL MACHINE APPLIANCES FOR JAVA APPLICATION SERVERS - Methods, systems, and computer programs for providing an application server appliance utilizing one or more virtual machines are described. The application server appliance may be a virtual machine having a reduced guest operating system, a runtime environment, and a management agent installed therein. An appliance controller automatically determines one or more configurations and/or settings for the runtime environment based on a variety of factors, including the set up of the virtual machine appliance. The appliance controller generates an application package having the determined settings and transmits the package to the application server appliance, wherein the application package is configured to be executed by the runtime environment. | 06-27-2013 |
20130167148 | COMPUTING DEVICE AND VIRTUAL MACHINE OPERATION CONTROL METHOD - In a virtual machine (VM) operation control method using a computing device, a connection between the computing device and a storage system is established. The computing device receives a user request in relation to a VM. In response to the user request for VM creation or VM update, the computing device downloads a configuration file and a disk image file of the VM from the storage system, and accordingly creates the VM in the computing device. In response to the user request for VM backup, the computing device uploads the configuration file and the disk image file of the VM to the storage system. | 06-27-2013 |
20130167149 | Register Mapping Techniques - A technique for register mapping in a virtual system includes preparing a register pool that includes a plurality of registers for mapping. A mapping table is prepared that has a register identifier (ID) and information related to each of a plurality of parameters that express an operational state of the virtual system for each of the registers. At the time a register access request is issued by a hardware thread, the register ID for an access target and information related to each of the plurality of parameters that express the operational state during operation is acquired. One of the registers, for which the acquired register ID and information related to each of the plurality of parameters match the register ID and information for each of the plurality of parameters within the mapping table, is set as a mapped register to be accessed per the register access request. | 06-27-2013 |
20130174144 | HARDWARE BASED VIRTUALIZATION SYSTEM - A method for changing between virtual machines on a graphics processing unit (GPU) includes requesting to switch from a first virtual machine (VM) with a first global context to a second VM with a second global context; stopping taking of new commands in the first VM; saving the first global context; and switching out of the first VM. | 07-04-2013 |
20130174145 | VIRTUAL RESOURCES MANAGEMENT METHODS - A virtual resources management method for a plurality of physical machines, includes: obtaining temperature values for the physical machines respectively; determining whether the temperature value exceeds a threshold value; categorizing the physical machines having temperature values exceeding the threshold value as an overheating group; selecting one of the physical machines as a candidate physical machine; determining whether the candidate physical machine belongs to the overheating group, wherein the virtual machine is assigned to the candidate physical machine when the candidate physical machine does not belong to the overheating group, and the virtual machine is assigned to one of the physical machines other than the candidate physical machine when the candidate physical machine belongs to the overheating group; and performing the virtual machine by the physical machine that is assigned the virtual machine. | 07-04-2013 |
20130174146 | DYNAMICALLY SCALING MULTI-TIER APPLICATIONS IN A CLOUD ENVIRONMENT - A predicted workload of a multi-tier application is determined for a defined period of time. A change in application capacity is determined for the predicted workload. A scaling strategy is dynamically selected for the change in application capacity, in which the scaling strategy including vertical scaling and horizontal scaling. | 07-04-2013 |
20130174147 | Low Latency Virtual Machine Page Table Management - Various embodiments of this disclosure may describe method, apparatus and system for reducing system latency caused by switching memory page permission views between programs while still protecting critical regions of the memory from attacks of malwares. Other embodiments may be disclosed and claimed. | 07-04-2013 |
20130174148 | ENHANCING INTERRUPT HANDLING IN A VIRTUAL ENVIRONMENT - Systems and methods for enhancing the handling of interrupts in a virtual computing environment are disclosed. A CPU is configured such that the CPU, when in a virtual machine (VM) mode, directs an interrupt to a VM. When in the VM context, a guest in the VM is run with a hypervisor interrupt descriptor table (hypervisor IDT) to determine how the interrupt should be handled. The hypervisor IDT directs an interrupt that is to be handled by the VM to an interrupt handler in a guest IDT without causing a transition to the hypervisor. If an interrupt is to be handled by the hypervisor, the hypervisor IDT causes a transition to the hypervisor. | 07-04-2013 |
20130174149 | DYNAMICALLY SCALING MULTI-TIER APPLICATIONS IN A CLOUD ENVIRONMENT - A predicted workload of a multi-tier application is determined for a defined period of time. A change in application capacity is determined for the predicted workload. A scaling strategy is dynamically selected for the change in application capacity, in which the scaling strategy including vertical scaling and horizontal scaling. | 07-04-2013 |
20130174150 | INFORMATION PROCESSING APPARATUS AND COMMUNICATION CONTROL METHOD - According to one embodiment, an apparatus is configured to carry out a 1st virtual machine and a 2nd virtual machine. The 1st virtual machine includes a notifying module configured to notify a 1st port number to the 2nd virtual machine, and a 1st transmitter configured to transmit, to a network, a 1st packet including a source port number indicating port number other than the 1st port number. The 2nd virtual machine includes a 2nd transmitter configured to transmit, to the network, a 2nd packet including a source port number indicating the 1st port number. The apparatus includes a sorting module configured to sort a received packet to the 1st virtual machine or the 2nd virtual machine. | 07-04-2013 |
20130174151 | INFORMATION PROCESSING APPARATUS AND METHOD OF CONTROLLING VIRTUAL MACHINE - According to one embodiment, an apparatus includes a controller. The controller is configured to control an operation environment of a virtual machine which runs on a hypervisor. The controller includes a change module configured to change the virtual machine from an operating state to a sleep state, in response to a logout request for an operating system in the virtual machine, a storing module configured to store first image data indicating contents of a memory in a storage as an operation environment, a restoration module configured to restore the contents of the memory to contents based on second image data, and a return module configured to return the virtual machine to the operating state after the contents of the memory is restored to the contents based on the second image data. | 07-04-2013 |
20130174152 | METHOD, APPARATUS, AND SYSTEM FOR VIRTUAL CLUSTER INTEGRATION - Embodiments of the present invention relate to a virtual machine integration technology, and in particular, to a method, an apparatus, and a system for virtual cluster integration. The method includes: performing a calculation through a search algorithm to obtain the minimum number of physical machines which are capable of accommodating all virtual machines in a virtual cluster, and obtaining all virtual integration solutions satisfying the minimum number of physical machines; then calculating CPU voltage consumption of each virtual integration solution, and selecting a solution with lowest CPU voltage consumption from these virtual integration solutions; and formulating a virtual integration migration policy according to the virtual integration solution with the lowest CPU voltage consumption. Therefore, through the embodiments of the present invention, a virtual integration solution with lower CPU voltage energy consumption can be obtained, thereby greatly improving an energy saving and emission reduction effect of a virtual cluster integration solution. | 07-04-2013 |
20130174153 | PLAYBACK APPARATUS AND PLAYBACK METHOD - A playback apparatus includes a storage unit and a processor. The storage unit stores therein, for each of a plurality of playback environments, information indicating suitability for playback of a content in association with an identifier of the content. The processor receives a content via a network. The processor selects a playback environment based on an identifier of the received content and the information stored in the storage unit. The processor starts a first virtual machine that includes the selected playback environment. The first virtual machine is one of a plurality of virtual machines each including a playback environment. The playback environments included in the plurality of virtual machines are different from one another. The processor requests the first virtual machine to play back the received content. | 07-04-2013 |
20130174154 | Java Virtual Machine Embedded in a Native Mobile Application - A native application built for a mobile device can embed non-native JAVA code that may be executed by a JAVA virtual machine also embedded as a library within the native application. Enterprise applications may be extended for use by mobile devices. Business logic for an application may be constructed just once and then used in both enterprise applications and mobile device applications. | 07-04-2013 |
20130174155 | VIRTUALIZATION PROCESSING METHOD AND APPARATUSES, AND COMPUTER SYSTEM - A virtualization processing method and apparatuses, and a computer system are provided. Where a computing node includes: a hardware layer, a Host running on the hardware layer, and at least one virtual machine (VM) running on the Host, the hardware layer includes an I/O device, several corresponding virtual function (VF) devices are virtualized from the I/O device, the Host has several VF software instances, the several VF software instances and the several VF devices are in one-to-one correspondence; the Host further has a back-end instance (BE) of an I/O virtual device having the same type with the I/O device, the VM has a front-end instance (FE) of the I/O virtual device; the BE in the Host is bound with an idle VF software instance. The solutions of the embodiments of the present invention are beneficial to optimization of the performance and compatibility of a virtualization system. | 07-04-2013 |
20130174156 | Measuring Transaction Performance Across Application Asynchronous Flows - A mechanism modifies a deployment descriptor of each application component including at least one producer application component or consumer application component, by adding, for each producer application component or consumer application component, an application component identifier, a producer or consumer type, and a recipient identifier of a recipient the application component uses. Responsive to determining a match exists and the given application component is of producer type, the application server virtual machine logs an identifier of a recipient containing a message sent by the given application component, a correlation identifier of the given application component, and an execution start time. Responsive to determining a match exists and the given application component is of consumer type, the application server virtual machine logs an identifier of the recipient resource containing a message processed by the given application component, a correlation identifier of the given application component, and an execution end time. | 07-04-2013 |
20130174157 | METHOD AND SYSTEM FOR VIRTUAL MACHINE NETWORKING - Aspects of a method and system for networking are provided. In this regard, one or more circuits and/or processors in a network adapter of a first network device may determine whether to communicate traffic between virtual machines running on the first network device via a path that resides solely within the first network device, or via a path that comprises a second network device that is external to the first network device. The determination may be based, at least in part, on characteristics of the traffic. The determination may be based, at least in part, on capabilities and/or available resources of the network adapter. The determination may be based, at least in part, on management information exchanged between the one or more circuits and/or processors and one or more of: software running on the first network device, the second network device, and a third network device. | 07-04-2013 |
20130174158 | METHODS AND SYSTEMS FOR PROVISIONING A VIRTUAL DISK TO DISKLESS VIRTUAL AND PHYSICAL MACHINES - Methods and systems are described for provisioning a common virtual disk to both a physical and a virtual computing machine. These methods and systems can include creating a virtual disk that has binding information for both a paravirtual network interface card and a physical network interface card so that, either a virtual machine having a paravirtual network interface card, or a physical machine having a physical network interface card, may boot from the created virtual disk. Creation of the virtual disk can include exposing the paravirtual network interface card to a physical machine so that an installer program may bind to both the paravirtual network interface card and the physical network interface card. | 07-04-2013 |
20130174159 | FACILITATING PROCESSING WITHIN COMPUTING ENVIRONMENTS SUPPORTING PAGEABLE GUESTS - Processing within a computing environment that supports pageable guests is facilitated. Processing is facilitated in many ways, including, but not limited to, associating guest and host state information with guest blocks of storage; maintaining the state information in control blocks in host memory; enabling the changing of states; and using the state information in management decisions. In one particular example, the guest state includes an indication of usefulness and importance of memory contents to the guest, and the host state reflects the ease of access to memory contents. The host and guest state information is used in managing memory of the host and/or guests. | 07-04-2013 |
20130179879 | AUTO-DISCOVERY SERVICE AND METHOD OF DISCOVERING APPLICATIONS WITHIN A VIRTUAL NETWORK - Embodiments provide a system including a first host computing device that includes a first virtual machine (VM) and a first application. The system also includes a second host computing device including a virtualization software layer, a second VM, and an auto-discovery service at least partially instantiated within the virtualization software layer. The auto-discovery service is configured to receive a message and an auto-discovery packet from a second application executing on the second VM. The auto-discovery service inserts an option into the auto-discovery packet, and transmits the auto-discovery packet to the first application. The option in the auto-discovery packet includes the message received from the second application. | 07-11-2013 |
20130179880 | DATA AND STATE THREADING FOR VIRTUALIZED PARTITION MANAGEMENT - The system includes a virtualized environment having at least one partition. An instance of an application executes in the partition. The application instance is not dedicated to a single user or element. Rather, the application instance may be shared or parsed out to two or more users or elements. To accomplish this sharing, the static data (which is common to all the elements or users) may be maintained in the partition or is loaded at runtime. The dynamic data (the data which is unique to each instantiation and associated with the element requesting the application) can be loaded when an instance is dedicated to execute for a particular element or user. Thus, various elements can share instances of an application and there need not be instances dedicated to particular elements. | 07-11-2013 |
20130179881 | DECOUPLING PAAS RESOURCES, JOBS, AND SCHEDULING - Systems and methods are presented for providing resources by way of a platform as a service in a distributed computing environment to perform a job. Resources of the system, job performing on the system, and schedulers of the jobs performing on the system are decoupled in a manner that allows a job to easily migrate among resources. It is contemplated that the migration of jobs from a first pool of resource to a second pool of resource is performed by the system without human intervention. The migration of a job may utilize different schedulers for the different resources. Further, it is contemplated that a pool of resources may automatically allocate additional or fewer resources in response to a migration of a job. | 07-11-2013 |
20130179882 | RELOCATING RELATED RESOURCE PARTITIONS - An apparatus for relocating related resource partitions includes a detection module that detects a relocation event to relocate a first resource partition operating in a first division from the first division to a second division. The first division includes a second resource partition. The first resource partition and the second resource partition are each distinct operating environments. A determination module determines that the second resource partition is sufficiently related to the first resource partition. A relocation module relocates the second resource partition to the second division with the first resource partition in response to determining that the second resource partition is sufficiently related to the first resource partition. | 07-11-2013 |
20130179883 | MIGRATION OF VIRTUAL MACHINES - To migrate two or more virtual machines in a source hypervisor to a target hypervisor, a list of active and connected virtual machines in the source hypervisor is acquired. Connections between the source virtual machines are rerouted to a buffer so that data flowing between the source virtual machines is captured. The source virtual machines are migrated to a target hypervisor and are connected in the same manner as in the source hypervisor. The buffered data is migrated to the respective migrated virtual machines, and the target virtual machines are activated. The virtual machines can be migrated in order of data flow dependency such that the least dependent virtual machine is migrated first. | 07-11-2013 |
20130179884 | Saving, Transferring and Recreating GPU Context Information Across Heterogeneous GPUs During Hot Migration of a Virtual Machine - A system and method are disclosed for recreating graphics processing unit (GPU) state information associated with a migrated virtual machine (VM). A VM running on a first VM host coupled to a first graphics device, comprising a first GPU, is migrated to a second VM host coupled to a second graphics device, in turn comprising a second GPU. A context module coupled to the first GPU reads its GPU state information in its native GPU state representation format and then converts the GPU state information into an intermediary GPU state representation format. The GPU state information is conveyed in the intermediary GPU state representation format to the second VM host, where it is received by a context module coupled to the second GPU. The context module converts the GPU state information related to the first GPU from the intermediary GPU state representation format to the native GPU state representation format of the second GPU. Once converted, the GPU state information of the first GPU is restored to the second GPU in its native GPU state representation format. | 07-11-2013 |
20130179885 | Virtual Machine Administration For Data Center Resource Managers - Virtual machine administration for data center resource managers including discovering resources of the datacenter to be managed by a resource manager; determining, in dependence upon attributes of the resources, processing capabilities of the discovered resources; determining, in dependence upon attributes of the resources of the datacenter to be managed, memory capabilities of the discovered resources; determining, in dependence upon attributes of the resources, minimum memory requirements for managing the discovered resources; determining, in dependence upon attributes of the resources of the datacenter to be managed, minimum processing requirements for managing the discovered resources; deploying, in dependence upon the determined processing capabilities and memory capabilities upon one or more of the resources of the datacenter to be managed, a virtual machine having at least the minimum memory requirements and the minimum processing requirements; and deploying the resource manager on the virtual machine. | 07-11-2013 |
20130185715 | MANAGEMENT OF INTER-DEPENDENT CONFIGURATIONS OF VIRTUAL MACHINES IN A CLOUD - A server computer system determines that configuring a first virtual machine in a cloud depends on a configuration result of configuring a second virtual machine. The server computer system configures the second virtual machine in the cloud and configures the first virtual machine in the cloud using the configuration result of the second virtual machine. | 07-18-2013 |
20130185716 | SYSTEM AND METHOD FOR PROVIDING A VIRTUALIZED REPLICATION AND HIGH AVAILABILITY ENVIRONMENT - The system and method described herein may provide a virtualized replication and high availability environment. In particular, a virtualized production server may run one or more virtual machines in one or more child partitions and have a replication and high availability engine installed in a parent partition. The replication and high availability engine may automatically discover the virtual machines running in the child partitions and automatically synchronize all files associated with the virtual machines to a virtualized replica server. Furthermore, the replication and high availability engine may continuously replicate subsequent changes to the files associated with the virtual machines running in the child partitions to the virtualized replica server, which may then create on-demand virtual machines from the synchronized and replicated files to handle switchover, failover, switchback, and failback events associated with the virtualized production server or the virtual machines running in the child partitions associated therewith. | 07-18-2013 |
20130185717 | METHOD AND SYSTEM FOR MANAGING POWER CONSUMPTION DUE TO VIRTUAL MACHINES ON HOST SERVERS - A method to manage virtual machines operating on host servers in a data center including: receiving demand event information at the data center; a demand request (DR) inference engine responds to the demand event or a request by a demand request (DR) agent software module operating on one of the host severs, wherein the response by the DR inference engine is to identify virtual machines which may be shutdown or idled as a response to the demand event; in response to the demand event information and information provided by the DR inference engine, the DR agent generates an instruction to a selected virtual machine on the host machine associated with the DR agent, and in response to the instruction, the virtual machine shuts down or becomes idle. | 07-18-2013 |
20130185718 | VIRTUAL MACHINE PLACEMENT PLAN - Methods, systems, and computer-readable media with executable instructions stored thereon for a virtual machine (VM) placement plan are provided. Seasonality of a measured aggregated resource usage of a group of VMs can be identified. A VM placement plan for the identified seasonality can be generated by a computing device. | 07-18-2013 |
20130185719 | THROTTLING GUEST WRITE IOS BASED ON DESTINATION THROUGHPUT - This application describes a system and techniques for managing the replication, migration, or backing up of virtual machines (VM) operating on a computing device. The techniques include managing real time or near real replication or migration of VM operations logs between computing devices. The operations logs include information or data that would enable another VM to function or operate in the same manner as the VM that generated the operations logs. Techniques are presented herein to insure the replication or migration process of VMs is not open ended or indefinite. For example, the write rate of the source operations log is managed to insure the replication or migration of the source operations log to destination device terminates within a reasonable amount of time. | 07-18-2013 |
20130185720 | USER-MODE SYSTEM-LEVEL MOBILE VIRTUALIZATION - One embodiment of the present invention provides a system that facilitates user-mode system-level virtualization in a mobile device. During operation, a hypervisor intercepts a virtual machine's attempt to access a privileged resource. The hypervisor manages the virtual machine and runs on a host system in a user mode. Furthermore, the hypervisor emulates the privileged resource using a user-mode system call provided by the host system. In addition, the hypervisor provides access to the emulated privileged resource to the virtual machine, thereby allowing the virtual machine to operate with the emulated privileged resource without directly accessing actual privileged resources on the host system. | 07-18-2013 |
20130185721 | NETWORK DEVICE AND NETWORK SYSTEM - A live migration process between different locations is realized without migrating data stored in a storage area to another location. A network device, which is included in each of locations, for coupling to another one of the locations, the network device stores a program for realizing an access processing module for managing accesses, and coupling management information for managing a coupling relationship between the plurality of virtual machines and the plurality of storage areas, and wherein the access processing module is configured to: receive a notification to start a live migration process, refer to the coupling management information to identify a port for accessing a storage area allocated to a virtual machine; acquire an address of a transfer destination device to which an access request is transferred; generate conversion information; and control the access request based on the conversion information. | 07-18-2013 |
20130185722 | DATACENTER RESOURCE ALLOCATION - Technologies and implementations for allocating datacenter resources are generally disclosed. | 07-18-2013 |
20130185723 | CENTRALIZED MANAGEMENT OF VIRTUAL MACHINES - A method enables a central management service to operate on individual files within virtual disks associated with different virtual machines (VMs) running in one or more host computers that are each networked to a remote storage system. An IO request from a VM is received at a virtualization software layer on a host computer, wherein the IO request relates to a file stored in a virtual disk associated with the VM, and the file is individually stored in the remote storage system in accordance with a file system. The central management service is notified of the received IO request and uses the file system to access the file in the remote storage system to perform a management task on the file, and the IO request is then performed on the file by the virtualization software layer upon a notification of a successful completion of the management task. | 07-18-2013 |
20130191824 | VIRTUALIZATION SUPPORT FOR BRANCH PREDICTION LOGIC ENABLE/DISABLE - A hypervisor and one or more guest operating systems resident in a data processing system and hosted by the hypervisor are configured to selectively enable or disable branch prediction logic through separate hypervisor-mode and guest-mode instructions. By doing so, different branch prediction strategies may be employed for different operating systems and user applications hosted thereby to provide finer grained optimization of the branch prediction logic for different operating scenarios. | 07-25-2013 |
20130191825 | VIRTUALIZATION SUPPORT FOR SAVING AND RESTORING BRANCH PREDICTION LOGIC STATES - A hypervisor and one or more programs, e.g., guest operating systems and/or user processes or applications hosted by the hypervisor to configured to selectively save and restore the state of branch prediction logic through separate hypervisor-mode and guest-mode and/or user-mode instructions. By doing so, different branch prediction strategies may be employed for different operating systems and user applications hosted thereby to provide finer grained optimization of the branch prediction logic. | 07-25-2013 |
20130191826 | AUTOMATICALLY SELECTING OPTIMAL TRANSPORT PROTOCOL IN A CLOUD COMPUTING ENVIRONMENT - A method, system and computer program product for selecting an optimal transport protocol in a cloud computing environment. An application creates a socket to communicate with a destination Virtual Machine (VM). The application requests a daemon agent to query a virtual machine manager to determine if the destination VM is on the same hypervisor as the application. The daemon agent receives a VMCI address (“context ID”) and an indication of the hypervisor associated with the destination VM from the virtual machine manager. The daemon agent enables the transport layer to route traffic over the VMCI protocol to the destination VM using its context ID in response to the destination VM being on the same hypervisor as the application. In this manner, the application is able to route traffic using an optimal transport protocol, including VMCI, depending on peer hypervisor association without requiring any code modifications to the application. | 07-25-2013 |
20130191827 | SYSTEM AND METHOD TO REDUCE MEMORY USAGE BY OPTIMALLY PLACING VMS IN A VIRTUALIZED DATA CENTER - Embodiments of the present invention provide a method, system and computer program product for collocating VMs based on memory sharing potential. In an embodiment of the invention, a VM co-location method has been claimed. The method includes selecting a VM from amongst different VMs for server colocation. The method additionally includes computing an individual shared memory factor for each of a set of the VMs with respect to the selected VM. The method yet further includes determining a VM amongst the VMs in the set associated with a highest computed shared memory factor. Finally, the method includes co-locating the determined VM with the selected VM in a single server. | 07-25-2013 |
20130191828 | DOMAIN CONTROLLER SAFETY-FEATURES AND CLONING - The present invention extends to methods, systems, and computer program products for domain controller safety-features and cloning. Embodiments include cloning virtual domain controllers. Cloning permits virtual domain controllers to be rapidly deployed by copying/cloning the entire operating system state of an existing virtual domain controller. Other embodiments provide safety features protecting domain controllers running within virtual machines from introducing distributed corruption into a directory services data system. Protection is facilitated by detecting when a hypervisor or Virtual Machine Manager (“VMM”) uses features that cause a virtual machine to be rolled back in time outside of an operating system's awareness. In response to detecting a feature that causes rollback, safeties can be implemented to compensate for otherwise divergent state and prevent the introduction of duplicate unique identifiers. | 07-25-2013 |
20130191829 | COMPUTER SYSTEM, VIRTUAL SERVER ALIGNMENT METHOD, AND ALIGNMENT CONTROL APPARATUS - A computer system comprising a plurality of clients, a plurality of servers, a plurality of routers, an analysis device for monitoring communication statuses of the plurality of routers, and a management computer for controlling alignment of a virtual machine operating on each of the plurality of servers, the each of the plurality of routers including an information obtaining module for obtaining communication information for each flow, the analysis device including: an analysis module for analyzing the communication information; and a probability calculation module for calculating a occurrence probability of packet drop, the management computer including: a load estimation module for estimating a load for each of communication routes for moving the virtual machine; and an alignment determination module for determining, based on the occurrence probability of packet drop, the communication route of the movement destination. | 07-25-2013 |
20130191830 | Managing Shared Data using a Virtual Machine - Embodiments disclosed herein relate to managing shared data using a virtual machine. A virtual machine | 07-25-2013 |
20130198738 | INPUT/OUTPUT OPERATIONS AT A VIRTUAL BLOCK DEVICE OF A STORAGE SERVER - Example embodiments disclosed herein relate to input/output (I/O) operations at a virtual block device of a storage server. Example embodiments include requesting an input/output (I/O) operation at an offset of a virtual block device of a storage server in response to a virtual machine request for an I/O operation at a virtual disk. | 08-01-2013 |
20130198739 | Validation of Business Continuity Preparedness of a Virtual Machine - Techniques for validating business continuity preparedness of a virtual machine are described herein. The techniques may include executing a workload on a virtual machine and replicating the workload to another virtual machine. The replication may include generating one or more logs indicating changes that have occurred on the virtual machine and sending the one or more logs to the other virtual machine. Upon initiation of a failover, the workload may stop execution on the virtual machine and a log may be sent to the other virtual machine. The log may indicate changes occurring on the virtual machine to a point in time when execution of the workload stopped. The log may be stored to the other virtual machine. The workload may continue execution on the other virtual machine and may be replicated to the virtual machine. | 08-01-2013 |
20130198740 | INTEGRATED VIRTUAL INFRASTRUCTURE SYSTEM - A technique is provided for creating virtual units in a computing environment. A virtual system definition is received by a processor that is utilized to create the virtual units for a virtual system. Relationship constraints between the virtual units in the virtual system are received by the processor. The relationship constraints between the virtual units include a communication link requirement between the virtual units and/or a location requirement between the virtual units. The virtual units in the virtual system are deployed by the processor according to the relationship constraints between virtual units. | 08-01-2013 |
20130198741 | COMPUTING REUSABLE IMAGE COMPONENTS TO MINIMIZE NETWORK BANDWIDTH USAGE - Methods and arrangements for facilitating virtual appliance image delivery. Virtual appliance image templates are stored at a caching location, based on a historical request pattern. A request is received for a virtual appliance image and there is provided, to a second location, a virtual appliance image responsive to the received request. A difference is determined between the requested virtual appliance image and stored virtual appliance image templates. | 08-01-2013 |
20130198742 | SUBSEQUENT OPERATION INPUT REDUCTION SYSTEMS AND METHODS FOR VIRTUAL MACHINES - Storage systems and methods are presented. A method can include: accessing virtual machine image information; performing an examination process on the virtual machine image information to determine characteristics of the virtual machine image information including temporary attributes of the virtual machine image information; performing an exclusion block identification process based upon results of the examination process to identify exclusion blocks, wherein exclusion blocks are identified for exclusion from a subsequent operation; and forwarding an indication of the exclusion blocks to the subsequent operation. In one embodiment the method is performed within a File Server. | 08-01-2013 |
20130198743 | DATA CENTER WITH CONTINUOUS WORLD SWITCH SECURITY - Technologies related to continuous world switch security are generally described. In some examples, a world switch indicator may indicate whether continuous world switch security is on or off for a cloud customer. A hypervisor world switch security setting may be activated and deactivated, according to the world switch indicator, to optionally allow world switches and not context switches between virtual machines executed by a cloud server. Continuous world switch security may optionally be controlled by a cloud customer. Load balancing, cloud customer billing and other techniques are also disclosed to accommodate the activation/deactivation of continuous world switch security. | 08-01-2013 |
20130198744 | System and Method for Providing Migrateable Virtual Serial Port Services - A virtualization environment provides a virtual console server that communicates with other virtual servers/machines utilizing virtual hardware connections such that the virtual remote console server can monitor and/or control the virtual servers/machines in the environment as if the virtual remote console server and the other virtual servers/machines were connected, even if the virtual console server and/or the other virtual servers are moved between processors in the virtualization environment. | 08-01-2013 |
20130198745 | COMPUTING REUSABLE IMAGE COMPONENTS TO MINIMIZE NETWORK BANDWIDTH USAGE - Methods and arrangements for facilitating virtual appliance image delivery. Virtual appliance image templates are stored at a caching location, based on a historical request pattern. A request is received for a virtual appliance image and there is provided, to a second location, a virtual appliance image responsive to the received request. A difference is determined between the requested virtual appliance image and stored virtual appliance image templates. | 08-01-2013 |
20130198746 | PREVENTING CLOUD CARTOGRAPHY - Technologies are presented for a network/hypervisor approach to maintain a stable and separate network address for the hypervisor on a multi-tenant system and changeable network addresses for the virtual machines (VMs). In some examples, the VM addresses may be decoupled from the domain address for the hypervisor so they can be changed arbitrarily and independently without impacting command messaging, and the domain address for the hypervisor may not form a part of the delivery chain for messages to the VMs. The system may also consume only the same number of IP addresses as currently used. | 08-01-2013 |
20130198747 | SYSTEM AND METHOD FOR MANAGING CODE ISOLATION - A computing system is configured to use a trampoline to isolate sensitive code in a virtual environment and in other applications. An import table may describe the entry points of a privileged code module or driver that generates privileged code. A system and method loads a shadow kernel to facilitate isolating the linkage between drivers and the rest of the system. The shadow kernel may be a copy of the operating system kernel that does not have the same integral position in the operation of the computing device. The shadow kernel may be used as a template for creating a jump table to redirect more critical privileged resource access requests from specially loaded kernel mode drivers to the PVM. All requests may pass through the PVM, which redirects non-critical functions to the original kernel. Multiple copies of a given driver or code module may be loaded in a given session. | 08-01-2013 |
20130198748 | STORAGE OPTIMIZATION SELECTION WITHIN A VIRTUALIZATION ENVIRONMENT - Storage optimization selection for virtual disks of a virtualization environment, where the storage optimization can be selected based in part on the disk type of a virtual disk included in a virtual machine. The disk type of the virtual disk can be discovered by the virtualization environment which queries a database within the virtualization environment for metadata associated with the virtual disk. The metadata can be created when a virtual desktop infrastructure creates the virtual disk, and a virtual machine template that includes the at least one virtual disk. The virtual disk can be modified to either include or be associated with the metadata that describes a disk type of the virtual disk. Upon executing the virtual machine that includes the modified virtual disk, a storage subsystem of the virtualization environment can obtain the metadata of the virtual disk to discover the disk type of the virtual disk. | 08-01-2013 |
20130205295 | Parallel hardware hypervisor for virtualizing application-specific supercomputers - A parallel hypervisor system for virtualizing application-specific supercomputers is disclosed. The hypervisor system comprises (a) at least one software-virtual hardware pair consisting of a software application, and an application-specific virtual supercomputer for accelerating the said software application, wherein (i) The virtual supercomputer contains one or more virtual tiles; and (ii) The software application and the virtual tiles communicate among themselves with messages; (b) One or more reconfigurable physical tiles, wherein each virtual tile of each supercomputer can be implemented on at least one physical tile, by configuring the physical tile to perform the virtual tile's function; and (c) A scheduler implemented substantially in hardware, for parallel pre-emptive scheduling of the virtual tiles on the physical tiles. | 08-08-2013 |
20130205296 | MULTICAST MESSAGE FILTERING IN VIRTUAL ENVIRONMENTS - Various systems, processes, and products may be used to filter multicast messages in virtual environments. In particular implementations, a system, process, and product for filtering multicast messages in virtual environments may include the ability to determine whether a multicast message has been received, examine a local filtering store for a match for the destination address of the multicast message, and send the message to a number of virtual machines if a match for the address is found. The system, process, and product may also include the ability to analyze a filtering store of at least one of the virtual machines for a match for the address if a match is not found in the local filtering store and send the message to a number of the virtual machines if a match for the address is found in a filtering store of one of the virtual machines. | 08-08-2013 |
20130205297 | MANAGEMENT SYSTEM, MANAGEMENT APPARATUS, AND MANAGEMENT METHOD - A system includes a physical machine that controls a virtual machine to execute a processing by using hardware resources of the physical machine, and a management apparatus includes a processor configured to execute: detect a first quantity of the hardware resources used by the virtual machine larger than a specific quantity set based on a second quantity of the hardware resources assigned to the virtual machine in accordance with a first usage fee corresponding to the virtual machine, calculate a second usage fee of the virtual machine based on a third quantity larger than the second quantity, and modify the second quantity to meet with the third quantity when the second usage fee is lower than the first usage fee. | 08-08-2013 |
20130212575 | INFORMATION PROCESSOR AND METHOD FOR CONTROLLING THE SAME - It is an object of the present invention to provide an information processing device that verifies the authorization of an application that has issued an access request to access a device. For the present invention to fulfill the above object, when an application | 08-15-2013 |
20130212576 | Tagging Physical Resources in a Cloud Computing Environment - A cloud system may create physical resource tags to store relationships between cloud computing offerings, such as computing service offerings, storage offerings, and network offerings, and the specific physical resources in the cloud computing environment. Cloud computing offerings may be presented to cloud customers, the offerings corresponding to various combinations of computing services, storage, networking, and other hardware or software resources. After a customer selects one or more cloud computing offerings, a cloud resource manager or other component within the cloud infrastructure may retrieve a set of tags and determine a set of physical hardware resources associated with the selected offerings. The physical hardware resources associated with the selected offerings may be subsequently used to provision and create the new virtual machine and its operating environment. | 08-15-2013 |
20130212577 | APPLICATION-SPECIFIC DATA IN-FLIGHT SERVICES - Embodiments provide data in-flight services (DIFS) to software applications such as virtual machines (VMs) at an application level without requiring modification to established storage protocols. In exemplary embodiments, a software application is associated with a DIFS policy indicating one or more DIFS services to apply to the software application. Data transmitted by the software application to a destination is tagged based on the DIFS policy associated with the software application and transmitted to the destination. | 08-15-2013 |
20130212578 | OPTIMIZING TRAFFIC LOAD IN A COMMUNICATIONS NETWORK - A system and method that facilitates the migration of one or more Virtual Machines (VMs) throughout a communications network, such as a cloud network, is disclosed. A management system monitors a current traffic matrix to determine if the network is congested. If the network is congested, the management system determines a minimum number of VMs that must be migrated to unused VM sites to ease the congestion and optimize the distribution of traffic in the network. Additionally, the management system also identifies which VMs should be migrated to which unused VM sites, and a sequence in which the migration should be performed to return the bandwidth distribution in the network to an acceptable level. In addition, the management system may determine which unused VM site or sites is best for the addition of one or more new VMs or groups of VMs. | 08-15-2013 |
20130212579 | FAST PROVISIONING OF A CENTRALIZED VIRTUAL DESKTOP USING LINKED CLONES - Methods and systems for n-way cloning and synchronization of a user desktop image are provided. Example embodiments provide a Cloning and Synchronization System (“CSS”) which binds a server stored CVD object representing the user's desktop image to one or more endpoint devices. Each endpoint device receives a clone of the CVD object that comprises one or more layers of the server CVD depending upon the suitability of the endpoint device hardware and operating system to the server stored desktop. The cloned CVDs in the endpoint devices are then kept synchronized by synchronization operations. In one embodiment, the CSS allows only one endpoint device to act as a master device and push up changes to the server CVD. These changes are then pushed down to the other devices using different synchronization methods dependent upon the layer. | 08-15-2013 |
20130212580 | SYSTEM AND METHOD FOR MANAGING A VIRTUAL MACHINE ENVIRONMENT - A system and method for providing an abstraction of the VM environment for management and control of one or more VMs without being tied to a particular hardware platform or construct. | 08-15-2013 |
20130212581 | System, Method and Computer Program Product for Performing a Security or Maintenance Operation in Association with Virtual Disk Data - A system, method and computer program product are provided for performing a security or maintenance operation in association with virtual disk data accessed independent of a virtual machine. In use, data stored on a virtual disk is accessed at least in part independent of a virtual machine. Further, a security or maintenance operation is performed in association with the accessed data. | 08-15-2013 |
20130219384 | SYSTEM AND METHOD FOR VERIFYING LAYER 2 CONNECTIVITY IN A VIRTUAL ENVIRONMENT - A method is provided in one example embodiment that includes detecting a migration of a virtual machine from an origination host to a destination host and comparing a first root bridge to a second root bridge to verify data link layer continuity of the virtual network on the destination host. The virtual machine is connected to a virtual network, the first root bridge is associated with the virtual network on the origination host and the second root bridge is associated with the virtual network on the destination host. The method may further include blocking the migration if the first root bridge and the second root bridge are not the same. | 08-22-2013 |
20130219385 | BATCH SCHEDULER MANAGEMENT OF VIRTUAL MACHINES - A batch scheduler receives a task. Further, a processor, at the batch scheduler, generates a virtual machine corresponding to the task. In addition, the virtual machine is deployed from the batch scheduler to a compute node. The task is also dispatched from the batch scheduler to the virtual machine at the compute node. | 08-22-2013 |
20130219386 | DYNAMIC ALLOCATION OF COMPUTE RESOURCES - A resource broker determines availability of a portion of a set of compute resources in real-time. The set of compute resources is assigned as a priority to a master process. Further, the resource broker assigns the portion of the set of compute resources to an auxiliary process if the portion of the set of compute resources is available. In addition, the resource broker determines that the master process is attempting to utilize the portion of the set of compute resources. The resource broker also assigns the portion of the set of compute resources to the master process from the auxiliary process without an interruption that exceeds a predetermined time threshold of processing being performed by the master process. | 08-22-2013 |
20130219387 | ESTABLISHING SECURE TWO-WAY COMMUNICATIONS IN A VIRTUALIZATION PLATFORM - In a specific embodiment, a secure two-way multi-message communication channel between a virtualization platform and a guest running on a virtual machine hosted on the virtualization platform is provided using the OVF environment channel. The OVF environment may be used to transmit communication parameters from the platform to the virtual machine during power-on. At runtime, a guest executing on the virtual machine may use the communication parameters to establish a secure two-way communication channel with the virtualization platform. | 08-22-2013 |
20130219388 | COMPONENT FRAMEWORK FOR VIRTUAL MACHINES - Virtual machines may be configured in a complex computing environment having dependencies among themselves and on the virtualization platform they operate on. The present disclosure formalizes these dependencies by defining a component framework that enables a deployer to know about the dependencies at deployment time and allow the platform to ensure that all required dependencies are satisfied at runtime. Disclosed is a set of Open Virtualization Format (OVF) sections that allow an OVF package to express dependencies to services using OVF meta-data. At deployment time, those OVF sections allow the platform to recognize and honor those dependencies, and at runtime provide the virtual machines with appropriate configuration meta-data. | 08-22-2013 |
20130219389 | METHODS, APPARATUS, AND ARTICLES OF MANUFACTURE TO VIRTUALIZE PERFORMANCE COUNTERS - Methods, apparatus, and articles of manufacture to virtualize performance counters are disclosed. An example method includes scheduling a virtual machine on a processor, incrementing a virtual performance counter in response to an occurrence of a speculative event during direct execution of guest instructions by the virtual machine on the processor, and incrementing the virtual performance counter in response to an occurrence of the speculative event during execution of emulated instructions by a hypervisor on behalf of the virtual machine on the processor. | 08-22-2013 |
20130219390 | CLOUD SERVER AND METHOD FOR CREATING VIRTUAL MACHINES - When receiving a notice that a client has logged off the cloud server, a cloud server checks a resource utilization ratio of the cloud server and a resource utilization ratio of a virtual machine (VM) designated to the client. If the resource utilization ratio of the cloud server is not more than a first preset ratio, the cloud server creates a new VM using idle resources of the cloud server. If the resource utilization ratio of the cloud server is more than first preset ratio and the resource utilization ratio of the VM is less than a second preset ratio, the cloud server releases idle resources of the VM, and creates a new VM using the released resources. When receiving a notice that notifies the client is logging back into the cloud server, the cloud server reallocates the released resources to the VM. | 08-22-2013 |
20130219391 | SERVER AND METHOD FOR DEPLOYING VIRTUAL MACHINES IN NETWORK CLUSTER - A server for deploying virtual machines (VMs) in a network cluster is provided. The server sets template information for creating VMs, creates a VM based on the template information, receives an IP address assigned to the VM by a dynamic host configuration protocol (DHCP), and deploys the VM into the network cluster. The server then monitors a resource utilization ratio of the network cluster. When the ratio of the network cluster is more than a first preset ratio, the server creates a new VM based on the template information and deploys the new created VM into the network cluster. When the ratio of the network cluster is less than a second preset ratio , the server decreases available resource of the network cluster by suspending or deleting a created VM in the network cluster. | 08-22-2013 |
20130219392 | COMPUTER SYSTEM - In a computer system according to the background art, when a request to halt a virtual processor was detected, the virtual processor was blocked. In the blocking method, latency of virtual halt exit of the virtual processor was so long that a problem of performance was caused. A virtual machine monitor selects either of a busy wait method for making repeatedly examination until the virtual halt state exits while the virtual processor stays on the physical processor and a blocking method for stopping execution of the virtual processor and scheduling other virtual processors on the physical processor while yielding the operating physical processor and checking off scheduling of the virtual processor to the physical processor, based on a virtual processor halt duration predicted value of the virtual processor which is an average value of latest N virtual processor halt durations of the virtual processor. | 08-22-2013 |
20130219393 | ZONING DATA TO A VIRTUAL MACHINE - In a method to zone data to a virtual machine, support information is exchanged between a physical controller and an expander, the support information comprising an indication of an ability of the physical controller and the expander to support thereon a set of virtual controllers and a set of virtual physical layers (virtual PHYs), respectively. In response to a positive indication sending a list of SAS addresses to the expander, a list of SAS addresses is sent to the expander. Then, a first SAS address of the list of SAS addresses is assigned to a first virtual PHY of the set of virtual PHYs, wherein the assigning is performed by the expander, and wherein the first virtual PHY at an assigned SAS address corresponds to a first virtual controller of the set of virtual controllers. | 08-22-2013 |
20130227549 | MANAGING UTILIZATION OF PHYSICAL PROCESSORS IN A SHARED PROCESSOR POOL - Systems, methods and computer program products may provide managing utilization of one or more physical processors in a shared processor pool. A method of managing utilization of one or more physical processors in a shared processor pool may include determining a current amount of utilization of the one or more physical processors and generating an instruction message. The instruction message may be at least partially determined by the current amount of utilization. The method may further include sending the instruction message to a guest operating system, the guest operating system having a number of enabled virtual processors. | 08-29-2013 |
20130227550 | SYSTEM AND METHOD FOR ISOLATED VIRTUAL IMAGE AND APPLIANCE COMMUNICATION WITHIN A CLOUD ENVIRONMENT - Provided herein are systems and methods for providing isolated virtual image communication in a virtual computing environment. Initially, a guest virtual machine that is activated in a virtual computing environment may be isolated into a private network. A service request may then be formulated at the guest virtual machine and addressed to a predetermined non-existent address. The request is then ostensibly sent to the predetermined address, whereupon the service request is actually transmitted to a shared resource with a security appliance machine in the virtual computing environment. The request is then forwarded to the security appliance machine and a reply formulated. The reply is sent back to the guest virtual machine via the shared resource. | 08-29-2013 |
20130227551 | SYSTEM AND METHOD FOR HYPERVISOR VERSION MIGRATION - Methods and systems for managing migration of a virtual machine from a source hypervisor to a destination hypervisor. A migration manager of the source hypervisor is provided which is configured to determine a state of the virtual machine and identify multiple state fields as either an optional field or an obligatory field. During restoration of the state by a migration manager of the destination hypervisor, the migration manager reviews the state fields and terminates the state restoration process if an obligatory field is encountered which is not recognized by the migration manager of the destination hypervisor. If during restoration, an optional field that is not recognized is encountered, the migration manager may choose to ignore the optional state field and proceed with the restoration process. | 08-29-2013 |
20130227552 | PERSISTENT VOLUME AT AN OFFSET OF A VIRTUAL BLOCK DEVICE OF A STORAGE SERVER - Example embodiments disclosed herein relate to a persistent volume at an offset of a virtual block device of a storage server. Example embodiments include requesting that a persistent volume be dissociated from a virtual block device in response to the termination of a virtual machine. | 08-29-2013 |
20130227553 | HIBERNATION VIA PARAVIRTUALIZATION - A system and method for hibernating virtual machines (VMs) are disclosed. In accordance with one embodiment, a computer system that executes a hypervisor and a virtual machine (VM) receives a request from a first virtual processor of the VM to put the VM to sleep. In response, the hypervisor stops a second virtual processor of the VM. | 08-29-2013 |
20130227554 | HYPERVISOR-DRIVEN HIBERNATION - A system and method for hibernating virtual machines (VMs) are disclosed. In accordance with one embodiment, a computer system that executes a hypervisor and a virtual machine (VM) determines that the virtual machine is to be put to sleep, wherein the determining is performed without involvement of a guest operating system hosted by the virtual machine. In response, the hypervisor stops a first virtual processor of the VM and persists the state of the first virtual processor. | 08-29-2013 |
20130227555 | MANAGEABLE EXTERNAL WAKE OF VIRTUAL MACHINES - A system and method for waking hibernating virtual machines (VMs) are disclosed. In particular, a host operating system (OS) may decide to wake a hibernating VM in response to a message received by the host, such as an incoming networking packet, a message generated by an external event (e.g., a hardware device fault, an interrupt, etc.), etc. In accordance with one embodiment, the decision whether to wake a hibernating VM may be based on a sender of the message. | 08-29-2013 |
20130227556 | GUEST-DRIVEN HOST EXECUTION - A system and method by which a host computer system can run executables on behalf of a virtual machine (VM) are disclosed. In accordance with one embodiment, an executable of a guest application of a virtual machine is received by a hypervisor and is run via the host operating system on behalf of the virtual machine. | 08-29-2013 |
20130227557 | SYSTEMS AND METHODS FOR PROVIDING PRIORITY BUILD EXECUTION IN A CONTINUOUS INTEGRATION SYSTEM - A mechanism for priority build execution in a continuous integration system is disclosed. A method includes executing, by a computing device in a continuous integration system, a low priority job of the continuous integrations system using a resource of the computing device. The method also includes receiving a high priority job to be executed by the computing device and identifying a conflict at the resource when the high priority job is assigned to execute using the same resource that is currently being used for executing the low priority job. When the conflict is identified, the method further includes suspending the execution of the low priority job, recording a state of the low priority job at a time of the suspension of the execution and executing the high priority job using the resource. | 08-29-2013 |
20130227558 | PROVISIONING OF DISTRIBUTED COMPUTING CLUSTERS - Embodiments perform automated provisioning of a cluster for a distributed computing platform. Target host computing devices are selected from a plurality of host computing devices based on configuration information, such as a desired cluster size, a data set, code for processing the data set and, optionally, a placement strategy. One or more virtual machines (VMs) are instantiated on each target host computing device. Each VM is configured to access a virtual disk that is preconfigured with code for executing functionality of the distributed computing platform and serves as a node of the cluster. The data set is stored in a distributed file system accessible by at least a subset of the VMs. The code for processing the data set is provided to at least a subset of the VMs, and execution of the code is initiated to obtain processing results. | 08-29-2013 |
20130227559 | MANAGEMENT OF I/O REQEUSTS IN VIRTUAL MACHINE MIGRATION - Management of input/output (I/O) requests in virtual machine (VM) migration is provided. More specifically, tracking of I/O requests associated with a VM selected for migration from an origin host machine to a destination host machine may be provided. A data record providing a listing of tracked I/O requests may be generated and stored. When a determination is made that a migrated VM is ready for operation at the destination host machine, processing of I/O requests associated with the migrated VM may be canceled on the origin host machine. The stored data record providing the listing of tracked I/O requests may then be retrieved when the migrated VM is resumed at the destination host machine to determine the completion status of the I/O requests canceled on the origin host machine and complete processing of the same on the destination host machine. | 08-29-2013 |
20130227560 | Mechanism for System Resource Sharing in a Multi-Tenant Platform-as-a-Service (PaaS) Environment in a Cloud Computing System - A mechanism for system resource sharing in a multi-tenant PaaS environment in a cloud computing system is disclosed. A method of embodiments includes receiving, by a virtual machine (VM), identification of resource-usage groups that each define resource constraints to apply to applications having a type of the resource-usage group, establishing a resource control policy on the VM for each of the identified resource-usage groups, the resource control policy to enforce the resource constraints of its associated resource-usage group, configuring a plurality of resource control tools of the VM to implement each resource control policy, identifying a resource-usage group of an application to be created on the VM, applying, by the one or more resource control tools to the application, the resource control policy of the identified resource-usage group of the application, and executing the application with the defined resource constraints on the VM. | 08-29-2013 |
20130227561 | Mechanism for Applying a Custom Security Type Label to Multi-Tenant Applications of a Node in a Platform-as-a-Service (PaaS) Environment - A mechanism for applying security category labels to multi-tenant applications of a node in a PaaS environment is disclosed. A method of embodiments includes receiving, by a virtual machine (VM) executing on a computing device, a custom security type label (STL) and a custom security policy associated with the custom STL, the custom STL and associated custom security policy applied to one or more multi-tenant applications executed by the VM. The method further include receiving a request to initialize an application on the VM, the request identifying the custom STL as an STL to apply to the application, assigning a local UID maintained by the VM to the application, recording a mapping of the assigned local UID to the custom STL, assigning the custom STL to files of the application, and assigning the custom STL to a running process of the application. | 08-29-2013 |
20130227562 | SYSTEM AND METHOD FOR MULTIPLE QUEUE MANAGEMENT AND ADAPTIVE CPU MATCHING IN A VIRTUAL COMPUTING SYSTEM - A method and system for managing multiple queues providing a communication path between a virtual machine and a hypervisor in a virtual machine system. The multiple queues are bundled together and identified on a polled list. When one of the queues on the polled list is used to communicate a request from the virtual machine to the hypervisor, a virtual machine exit is performed and a virtual machine exit is disabled for all of the queues on the polled list. The queues on the polled list are assigned to an initial host CPU to service requests from the virtual machine. If a particular queue on the polled list experiences a load that exceeds a load threshold, the particular queue is removed from the polled list and assigned to a different host CPU. | 08-29-2013 |
20130227563 | Mechanism for Creating and Maintaining Multi-Tenant Applications in a Platform-as-a-Service (PaaS) Environment of a Cloud Computing System - A mechanism for creating and maintaining multi-tenant applications in a Platform-as-a-Service (PaaS) environment of a cloud computing system is disclosed. A method includes receiving, by a virtual machine (VM), a request to start an application on the VM, wherein the VM hosts multi-tenant applications associated with owners different than an owner of the requested application, creating unique kernel namespace directories for the application, wherein each unique kernel namespace directory corresponds to one of a plurality of standard directories on an OS of the VM, providing identification of the created unique namespace directories to the application, wherein the application does not update code of the application to access the unique kernel namespace directories, receiving an access request to one of the standard directories, mapping the requested standard directory to a corresponding unique kernel namespace directory of the application, and directing the application to the corresponding unique kernel namespace directory. | 08-29-2013 |
20130227564 | INFORMATION PROCESSING APPARATUS, CLIENT MANAGEMENT SYSTEM, AND CLIENT MANAGEMENT METHOD - According to one embodiment, in response to a connection request from a second virtual machine corresponding to a second client terminal on which a first user has newly executed a logon operation, an information processing apparatus determines whether a first user profile associated with a first identifier of the first user is being used by another client terminal. If the first user profile is being used, the apparatus causes a first virtual machine corresponding to the another client terminal to unmount the first storage location, and transmits the information indicative of the path to the first storage location to a second virtual machine corresponding to the second client terminal. | 08-29-2013 |
20130227565 | APPARATUS AND METHOD FOR MANAGING APPLICATION FOR GUEST OPERATING SYSTEM - An apparatus includes a processor to operate a host OS, a host application managing unit to receive a request for managing a guest application operable in the guest OS, the request including application information of the guest application, and a guest application managing unit under the operation of the host OS to receive the request from the host application managing unit and to manage the guest application according to the request. A method includes operating a host operating system (OS), receiving a request for managing an application under the operation of the host OS, determining whether the application is operable in a guest OS, and transmitting application information of the application and the request to a guest application managing unit that is operable via a virtual machine monitor in response to the determination that the application is operable in the guest OS. | 08-29-2013 |
20130227566 | DATA COLLECTION METHOD AND INFORMATION PROCESSING SYSTEM - According to a data collection method, an allocation determination unit detects one or more pairs of virtual machines communicating with each other from virtual machines on the basis of communication data exchanged between the virtual machines. The allocation determination unit selects one of information processing apparatuses, and determines how to reallocate the virtual machines in order that, out of the detected pairs, at least one of paired virtual machines running on a non-selected information processing apparatus runs on the selected information processing apparatus. A control unit reallocates the virtual machines in accordance with the determined reallocation, and controls the selected information processing apparatus so as to collect communication data relayed by the relay unit running on the selected information processing apparatus. | 08-29-2013 |
20130227567 | THIN CLIENT SYSTEM, CONNECTION MANAGEMENT SERVER, CONNECTION MANAGEMENT METHOD AND CONNECTION MANAGEMENT PROGRAM - A thin client system comprises a virtual machine server, a thin client terminal, a connection management server, and a virtual machine management server which executes reverting processing of the virtual machine, wherein the connection management server includes a session management unit which determines the virtual machine to be allocated to the thin client terminal based on a to-be-reverted list stored in which virtual machines to be reverted are arranged in descending order of differential disk capacities, and a maintenance processing management unit which requests the virtual machine management server to execute reverting processing, wherein the session management unit when a virtual machine not included in the to-be-reverted list exists, allocates the virtual machine in question to the thin client terminal, and when all the virtual machines are included in the to-be-reverted list, allocates the virtual machine whose differential disk capacity is the smallest to the thin client terminal. | 08-29-2013 |
20130227568 | SYSTEMS AND METHODS INVOLVING VIRTUAL MACHINE HOST ISOLATION OVER A NETWORK - Systems and methods for virtual machine host isolation are disclosed. According to one implementation, an illustrative system may include a first compute node configured to be operatively coupled to a second compute node via a first application server, and to a third compute node via a second application server. In operation, the first compute node may be configured to receive an instruction from the second compute node via the first server to define a virtual machine, and send an instruction to the third compute node via the second server to define the virtual machine. | 08-29-2013 |
20130227569 | SYSTEM AND METHOD FOR INFORMATION ACQUISITION OF WIRELESS SENSOR NETWORK DATA AS CLOUD BASED SERVICE - A system and a method for information acquisition of Wireless Sensor Network (WSN) data as a cloud based service are provided. An apparatus in the system including a WSN, a service cloud, and a device, includes a virtual sensor configured to receive data from a physical sensor in the WSN. The apparatus further includes a virtual sensor controller configured to receive a request for the data from the service cloud or the device, and spawn a virtual machine (VM) based on the request. The apparatus further includes the VM configured to transmit the data to the service cloud or the device. | 08-29-2013 |
20130227570 | REMOTE MANAGEMENT OF VIRTUAL MACHINES HOSTED IN A PRIVATE NETWORK - Management of virtual machines within a private network may be provided from a server application, such as a web application, on a machine remote from a private network. The server application receives management commands and communications the management commands in a vendor independent format to a client application within the private network. The client application receives the management commands, instantiates the management commands into a vendor specific definition and redirects the management commands to the virtual machine host for appropriate execution. | 08-29-2013 |
20130227571 | VIRTUAL MACHINE AND/OR MULTI-LEVEL SCHEDULING SUPPORT ON SYSTEMS WITH ASYMMETRIC PROCESSOR CORES - Different processor cores in a computing device can support different features. In one or more embodiments, the features supported by each of multiple physical processor cores of a computing device are identified. A set of one or more features of the multiple physical processor cores to make available to virtual processor cores of the virtual machine are determined based at least in part on both the one or more features supported by each of the multiple physical processor cores and a number of virtual processor cores of the virtual machine. In additional embodiments, a multi-level scheduling model is used. An operating system level scheduler of an operating system schedules multiple applications for execution on multiple processor cores, and a user level scheduler of an application schedules application threads of that application for execution on one or more of the multiple processor cores. | 08-29-2013 |
20130227572 | TEST DEVICE, A SYSTEM, A PROGRAM AND A METHOD - A test device includes: an identifier storage means for storing: an identifier of a server information storage means for storing a server specification for each of a plurality of servers; an identifier of an application information storage means for storing application information including a module name for each of a plurality of applications; and an identifier of a deployment information storage means for storing deployment information which makes correspond each of the plurality of applications and either of the plurality of servers; and a deployment means for, by referring to the identifier storage means, acquiring the server specification and the module name which correspond for each of the plurality of applications indicated by the deployment information; and deploying a module of the application which the module name concerned indicates on a virtual server on its own device which reflected the server specification concerned. | 08-29-2013 |
20130232483 | Cloud of Virtual Clouds for Increasing Isolation Among Execution Domains - A method, an apparatus and an article of manufacture for providing a cloud environment for provisioning a guest virtual machine instance. The method includes selecting at least one machine from a collection of machines as a management node, wherein the at least one machine includes a hypervisor enabled with nested virtualization capability, deploying a plurality of cloud managers on each management node, selecting at least one machine from the collection of machines as a host node, deploying a plurality of level two hypervisors on each host node, forming a plurality of virtual clouds, wherein each virtual cloud contains at least one of the plurality of cloud managers and one of the plurality of level two hypervisors, and provisioning, via the at least one cloud manager, at least one guest virtual machine instance on top of the at least one level two hypervisors. | 09-05-2013 |
20130232484 | POLICY-BASED APPROACH TO PROVISION AND TRANSFORM OF VIRTUAL APPLIANCES - A method for customizing virtual machines includes retrieving a virtual machine image file from a library of virtual machine image files. A target virtual machine image file is created by making a copy of the retrieved virtual machine image file. A file size of the target virtual machine image file is increased. A partition size of a primary partition of the target virtual machine image file is increases. The target virtual machine image file is booted after the partition size of the primary partition thereof has been increased. | 09-05-2013 |
20130232485 | SOFTWARE APPLICATION PREVIEWS - In one implementation, a computer-implemented method includes receiving, at a computer system and from a first computing device, a request to access a simulation of an application running a second computing device; identifying, by the computer system, one or more types of input that are available on the second computing device and that are not available on the first computing device; generating one or more controls for each of at least a portion of the identified types of input; instantiating, by the computer system, a virtual machine that simulates the second computing device; and providing information to the first computing device that causes i) an interface for the application as executed by the virtual machine to be presented by the first computing device and ii) the generated controls to be presented by the first computing device in association with the interface. | 09-05-2013 |
20130232486 | Cloud of Virtual Clouds for Increasing Isolation Among Execution Domains - A method for providing a cloud environment for provisioning a guest virtual machine instance. The method includes selecting at least one machine from a collection of machines as a management node, wherein the at least one machine includes a hypervisor enabled with nested virtualization capability, deploying a plurality of cloud managers on each management node, selecting at least one machine from the collection of machines as a host node, deploying a plurality of level two hypervisors on each host node, forming a plurality of virtual clouds, wherein each virtual cloud contains at least one of the plurality of cloud managers and one of the plurality of level two hypervisors, and provisioning, via the at least one cloud manager, at least one guest virtual machine instance on top of the at least one level two hypervisors. | 09-05-2013 |
20130232487 | CONTROL COMPUTER AND METHOD FOR MANAGING VIRTUAL MACHINE - A method for managing virtual machines (VMs) installed in physical machines using a control computer, the method receives a control command for adjusting an initial size of a first VM, searches for a physical machine that has enough computing resources for creating a second VM of a specified size, creates the second VM of the specified size in a found physical machine. The method further copies computing resources of the first VM to the second VM at a first time, controls the first VM and the second VM running in a parallel mode until all of the computing resources of the first VM have been copied to the second VM, stops parallel running of the first VM and the second VM at a second time, deletes the first VM and releases the computing resource of the first VM. | 09-05-2013 |
20130232488 | VIRTUALIZATION EVENT PROCESSING IN A LAYERED VIRTUALIZATION ARCHITECTURE - Embodiments of apparatuses and methods for processing virtualization events in a layered virtualization architecture are disclosed. In one embodiment, an apparatus includes a event logic and evaluation logic. The event logic is to recognize a virtualization event. The evaluation logic is to determine whether to transfer control from a child guest to a parent guest in response to the virtualization event. | 09-05-2013 |
20130232489 | Register Mapping - A technique for register mapping in a virtual system includes preparing a register pool that includes a plurality of registers for mapping. A mapping table is prepared that has a register identifier (ID) and information related to each of a plurality of parameters that express an operational state of the virtual system for each of the registers. At the time a register access request is issued by a hardware thread, the register ID for an access target and information related to each of the plurality of parameters that express the operational state during operation is acquired. One of the registers, for which the acquired register ID and information related to each of the plurality of parameters match the register ID and information for each of the plurality of parameters within the mapping table, is set as a mapped register to be accessed per the register access request. | 09-05-2013 |
20130232490 | VIRTUAL MACHINE CONTROL METHOD AND VIRTUAL MACHINE SYSTEM - Provided is a virtual machine including a first virtualization module operating on a physical CPU, for providing a first CPU, and a second virtualization module operating on the first CPU, for providing second CPU. The second virtualization module includes first processor control information holding a state of the first CPU obtained at a time of execution of the user program. The first virtualization module includes second processor control information containing a state of the physical CPU obtained at the time of the execution of the second virtualization module, third processor control information containing a state of the physical CPU obtained at the time of the execution of the user program, and prefetch entry information in which information to be prefetched from the third processor control information is set, and, upon detection of a event, the information set in the prefetch entry information is reflected to the first processor control information. | 09-05-2013 |
20130232491 | VIRTUAL MACHINE COMMUNICATION - Two or more virtual machines may be co-located on a same physical machine, and the virtual machines may communicate with each other. To establish efficient communication, memory mapping information for respective virtual machines can be exchanged between the respective virtual machines. An instance of a virtualized network interface can be established, and a direct communications channel can be mapped between respective virtualized network interfaces. Data packet routing information can be updated, such that data packets transferred between two of more co-located virtual machines can be transferred using the virtualized network interface communications channel. | 09-05-2013 |
20130232492 | METHOD AND SYSTEM FOR REALIZING VIRTUAL MACHINE MOBILITY - The present document discloses a method and system for realizing virtual machine mobility, comprising: in a process of establishing communication with a second virtual machine, a first virtual machine subscribing to a media access control (MAC) address change notification of the second virtual machine from an address resolution server (ARS) through a first virtual switch in a physical server where the first virtual machine is located; after the second virtual machine migrates, a second virtual switch in a physical server, to which the second virtual machine migrates, registering a corresponding relationship between an IP address of the second virtual machine and a MAC address of the second virtual switch itself to the ARS; and the ARS updating the MAC address corresponding to the IP address of the second virtual machine, and notifying the first virtual machine of the updated MAC address according to the subscription of the first virtual machine. | 09-05-2013 |
20130232493 | VIRTUAL COMPUTER CONTROL APPARATUS, VIRTUAL COMPUTER CONTROL METHOD, VIRTUAL COMPUTER CONTROL PROGRAM, AND INTEGRATED CIRCUIT - A pseudo task generation requester | 09-05-2013 |
20130239106 | OFFLINE PROVISIONING OF VIRTUAL MACHINES - An offline provisioning system provides a facility for provisioning a virtual machine without requiring that the virtual machine be launched. The system extends the configuration file or other mechanism used for storing virtual hardware configuration to store provisioning information for software state. The system uses the configuration information for pushing selective contents of the configuration information into the guest virtual machine as it is launched to perform guest provisioning. With these changes in place, a guest virtual machine can be provisioned when the virtual machine image is offline and not running. The system can even perform provisioning before an appropriate host has been identified to launch the guest virtual machine. This allows for much more rapid deployment and provisioning of virtual machines and frees administrative resources for other tasks. | 09-12-2013 |
20130239107 | PERFORMANCE MANAGEMENT OF SYSTEM OBJECTS BASED ON CONSEQUENCE PROBABILITIES - Embodiments provide a system including a plurality of objects and a monitoring module coupled to the objects. The monitoring module is configured to determine a health value of each object. The system also includes an optimization module coupled to the monitoring module. The optimization module is configured to receive a user input indicating a utility to be increased within the system, wherein the utility is based on the health value of each object. The optimization module is also configured to identify a plurality of available actions to be performed on each object. Each available action is associated with at least one expected consequence. The optimization module is also configured to calculate an expected utility of each action based on an effect of each expected consequence on the health value of each object and select, from the available actions, an action based on the expected utility for the system. | 09-12-2013 |
20130239108 | HYPERVISOR MANAGEMENT SYSTEM AND METHOD - A hypervisor management system is applied in a control center. The control center is connected to a plurality of servers via a network. Each of the plurality of servers has a hypervisor and an agent module. The hypervisor management system records information in relation to each hypervisor detected by the agent modules, creates an object file to record information of each virtual machine (VM) created by the hypervisors, and displays icons of all created VMs on a user interface. When an operation command is sent to a selected VM, the system converts the operation command into a control command suitable for the hypervisor that created the selected VM, where the control command has a format that is identifiable to the hypervisor, and performs an operation on the selected VM according to the control command and an object file of the selected VM. | 09-12-2013 |
20130239109 | SYSTEM AND METHOD OF SECURITY MANAGEMENT FOR A VIRTUAL ENVIRONMENT - A system and method can support security management in a computing environment that includes one or more virtualized machines to which one or more applications can be deployed. The system can include a plurality of agents, wherein each agent resides on one of a plurality of machines in the computing environment and operates to manage software processes and resources running in the computing environment. The system also includes a controller that collects data from the plurality of agents about current operating performance of the computing environment, and uses the data gathered to enforce policies and to deploy services in a way that honors one or more service level agreements of the deployed services. Furthermore, the communication between each of the plurality of agents and the controller can be secured with a mutual authentication method, and the communication between an agent and an application is secured with a one-way authentication method. | 09-12-2013 |
20130239110 | DETACHING METHOD AND RECORDING MEDIUM - A detaching method including: receiving an instruction to detach a virtual input/output device; detaching the virtual input/output device from a virtual control operating system; | 09-12-2013 |
20130239111 | Top-Down Performance Assessment of a Hypervisor - Techniques promote monitoring of hypervisor systems by presenting dynamic representations of hypervisor architectures that include performance indicators. A reviewer can interact with the representation to progressively view select lower-level performance indicators. Higher level performance indicators can be determined based on tower level state assessments. A reviewer can also view historical performance metrics and indicators, which can aid in understanding which configuration changes or system usages may have led to sub-optimal performance. | 09-12-2013 |
20130239112 | INFORMATION PROCESSING SYSTEM - It is an object of the invention to provide an information processing system which achieves efficient physical resource allocation to a virtual resource. An information processing system of the invention includes a plurality of physical resources mutually connected over a network, and an operating management computer which manages a virtual resource into which a plurality of physical resources are logically aggregated. The information processing system determines physical resources to be logically aggregated into and be allocated to a virtual resource on the basis of a resource usage amount of a workload to be processed by the information processing system and configuration information on the plurality of physical resources. | 09-12-2013 |
20130247032 | Method of and system for computer system state checks - A system for and method of system state analysis of a computational system. The method is comprised of capturing selective state information of a computational system configured to operated with one or more guest machines running on a virtual machine layer and configured to output state information. The state information is then analyzed to for compliance checking. The system for system state analysis is comprised of a storage system, computation hardware configured to run the guest machines and the virtual machine layer, guest machines, a virtual machine layer configured to output guest machine state information, a system state snapshot server configured to control the virtual machine layer for the capture of state information. | 09-19-2013 |
20130247033 | SYSTEM AND METHOD FOR MANAGING VIRTUAL MACHINE CONFIGURATIONS - A method in one example implementation includes receiving a plurality of command messages through a control channel and reconstructing the command messages to determine an intended command for one or more virtual machines on a server device. The command messages include one or more criteria and the intended command corresponds to an operation defined in a policy database. The method also includes determining whether the corresponding operation is permitted by comparing one or more policies associated with the operation to the one or more criteria. The method further includes sending the command messages to the server device if the operation is permitted. In more specific embodiments, the operation may include one of creating, cloning, deleting, starting, stopping, and modifying the one or more virtual machines. | 09-19-2013 |
20130247034 | Method and System for Utilizing Spare Cloud Resources - A cloud computing system including a computing device configured to run virtual machine instances is disclosed. The computing device includes a hypervisor program for managing the virtual machine instances. A customer virtual machine instance is run by the hypervisor program on the computing device, and a grid virtual machine instance is run by the hypervisor program on the computing device. The grid virtual machine instance is configured to run only when a resource of the computing device is not being utilized by the customer virtual machine instance. | 09-19-2013 |
20130247035 | TECHNIQUES FOR MANAGING VIRTUAL MACHINE (VM) STATES - Techniques for managing virtual machine (VM) states are provided. Applications executing within a processing context of a VM communicate outside that processing context with a hypervisor or VM Monitor (VMM) to have the hypervisor perform host operations for the VM. | 09-19-2013 |
20130247036 | INFORMATION PROCESSING APPARATUS, VIRTUAL IMAGE FILE CREATION SYSTEM, AND VIRTUAL IMAGE FILE CREATION METHOD - According to one embodiment, an information processing apparatus is configured to create a virtual image file executing a virtual machine by a virtualization client terminal. The apparatus includes a setting module. The setting module is configured to set, for the virtual image file, a domain name of a domain and a user ID and a password to participate in the domain, when the virtual image file is created. | 09-19-2013 |
20130247037 | CONTROL COMPUTER AND METHOD FOR INTEGRATING AVAILABLE COMPUTING RESOURCES OF PHYSICAL MACHINES - In a method for integrating available computing resources of physical machines in a data center, a user request for installing a virtual machine in a data center and a specified amount of computing resources required by the virtual machine are received from a client computer. If there are no physical machine that satisfies the specified amount of computing resources and a total amount of available computing resources of the physical machines is equal to or greater than the specified amount, a resource collection strategy of the physical machines is set. The available computing resources of the physical machines are collected according to the resource collection strategy, virtual machines previously installed in one of the physical machines are transferred to other physical machines, and the virtual machine required by the client computer is deployed in the one of the physical machines. | 09-19-2013 |
20130247038 | DEVICE-INTEGRATION METHOD, TERMINAL-APPARATUS, AND DISTRIBUTED MULTI-TERMINAL-APPARATUS SYSTEM - A device-integration method, a terminal-apparatus and a distributed multi-terminal-apparatus system. The device-integration method is applied in a terminal-apparatus on which there runs a virtual-machine-hypervisor, and the method includes: the virtual-machine-hypervisor scanning a bus configuration storage unit of the terminal-apparatus, to acquire local-device-information on each of the local devices that the terminal-apparatus has; the virtual-machine-hypervisor sending the acquired local-device-information to an adjacent terminal-apparatus, and receiving, from the adjacent terminal-apparatus, remote-device-information on each of the remote devices that the adjacent terminal has; the virtual-machine-hypervisor organizing the local devices and the remote devices on a virtual bus of the terminal-apparatus. | 09-19-2013 |
20130247039 | COMPUTER SYSTEM, METHOD FOR ALLOCATING VOLUME TO VIRTUAL SERVER, AND COMPUTER-READABLE STORAGE MEDIUM - An embodiment of the invention is a computer system, including a management apparatus, a storage apparatus and a physical server. The management apparatus registers a master volume created from a first volume provided by the storage apparatus to a first virtual server in operation. The storage apparatus creates, when a second volume provided by the storage apparatus to a second virtual server operating on the physical server satisfies a specific similarity condition with respect to the registered master volume, a difference volume for storing difference data between the master volume and a volume of the second virtual server. The second virtual server accesses the difference volume and the master volume. | 09-19-2013 |
20130247040 | VIRTUALIZATION EVENT PROCESSING IN A LAYERED VIRTUALIZATION ARCHITECTURE - Embodiments of apparatuses and methods for processing virtualization events in a layered virtualization architecture are disclosed. In one embodiment, an apparatus includes a event logic and evaluation logic. The event logic is to recognize a virtualization event. The evaluation logic is to determine whether to transfer control from a child guest to a parent guest in response to the virtualization event. | 09-19-2013 |
20130247041 | AUTOMATICALLY SELECTING OPTIMAL TRANSPORT PROTOCOL IN A CLOUD COMPUTING ENVIRONMENT - A method, system and computer program product for selecting an optimal transport protocol in a cloud computing environment. An application creates a socket to communicate with a destination Virtual Machine (VM). The application requests a daemon agent to query a virtual machine manager to determine if the destination VM is on the same hypervisor as the application. The daemon agent receives a VMCI address (“context ID”) and an indication of the hypervisor associated with the destination VM from the virtual machine manager. The daemon agent enables the transport layer to route traffic over the VMCI protocol to the destination VM using its context ID in response to the destination VM being on the same hypervisor as the application. In this manner, the application is able to route traffic using an optimal transport protocol, including VMCI, depending on peer hypervisor association without requiring any code modifications to the application. | 09-19-2013 |
20130247042 | Population State-Based Performance Assessment of a Hypervisor - Techniques promote monitoring of hypervisor systems by presenting dynamic representations of hypervisor architectures that include performance indicators. A reviewer can interact with the representation to progressively view select lower-level performance indicators. Higher level performance indicators can be determined based on lower level state assessments. A reviewer can also view historical performance metrics and indicators, which can aid in understanding which configuration changes or system usages may have led to sub-optimal performance. | 09-19-2013 |
20130247043 | Stale Performance Assessment of a Hypervisor - Techniques promote monitoring of hypervisor systems by presenting dynamic representations of hypervisor architectures that include performance indicators. A reviewer can interact with the representation to progressively view select lower-level performance indicators. Higher level performance indicators can be determined based on tower level state assessments. A reviewer can also view historical performance metrics and indicators, which can aid in understanding which configuration changes or system usages may have led to sub-optimal performance. | 09-19-2013 |
20130247044 | INTERACTIVE ARCHITECTURE-BASE PRESENTATION OF HYPERVISOR PERFORMANCE - Techniques promote monitoring of hypervisor systems by presenting dynamic representations of hypervisor architectures that include performance indicators. A reviewer can interact with the representation to progressively view select lower-levet performance indicators. Higher level performance indicators can be determined based on tower level state assessments. A reviewer can also view historical performance metrics and indicators, which can aid in understanding which configuration changes or system usages may have led to sub-optimal performance. | 09-19-2013 |
20130247045 | AUTOMATIC OPTIMIZATION FOR VIRTUAL SYSTEMS - Techniques are disclosed for controlling and managing virtual machines and other such virtual systems. VM execution approval is based on compliance with policies controlling various aspects of VM. The techniques can be employed to benefit all virtual environments, such as virtual machines, virtual appliances, and virtual applications. For ease of discussion herein, assume that a virtual machine (VM) represents each of these environments. In one particular embodiment, a systems management partition (SMP) is created inside the VM to provide a persistent and resilient storage for management information (e.g., logical and physical VM metadata). The SMP can also be used as a staging area for installing additional content or agentry on the VM when the VM is executed. Remote storage of management information can also be used. The VM management information can then be made available for pre-execution processing, including policy-based compliance testing. | 09-19-2013 |
20130247046 | PROCESSING CODE UNITS ON MULTI-CORE HETEROGENEOUS PROCESSORS - A virtual machine can be extended to be aware of secondary cores and specific capabilities of the secondary cores. If a unit of platform-independent code (e.g., a function, a method, a package, a library, etc.) is more suitable to be run on a secondary core, the primary core can package the unit of platform-independent code (“code unit”) and associated data according to the ISA of the secondary core. The primary core can then offload the code unit to an interpreter associated with the secondary core to execute the code unit. | 09-19-2013 |
20130247047 | RECORDING MEDIUM HAVING VIRTUAL MACHINE MANAGING PROGRAM RECORDED THEREIN AND MANAGING SERVER DEVICE - A virtual machine managing program manages plural physical machines and makes a computer device execute processing through virtual machines developed on each physical machine. Virtual machines are assigned to physical machines on the basis of CPU usage rate and temperature, and are moved among the physical machines as needed, to maintain acceptable operating conditions. | 09-19-2013 |
20130247048 | METHOD OF OPERATING A COMMUNICATION DEVICE AND RELATED COMMUNICATION DEVICE - A method is provided for operating a communication device offering a plurality of features by a plurality of virtual machines, which run on a virtualized representation of a plurality of hardware components. The method includes: obtaining a mapping between at least some features of the plurality of features and corresponding sets of virtual machines of the plurality of virtual machines and hardware components of the plurality of hardware components which are required to enable the features; and upon deciding to activate or deactivate at least one group of features of the plurality of features, switching on or off virtual machines of the plurality of virtual machines and hardware components of the plurality of hardware components depending on the mapping. | 09-19-2013 |
20130254761 | GRANULAR APPLICATION SESSIONS TAGGING - Systems and techniques are provided for identifying and tracing an individual session of a web application hosted at a server in a network communication system, based on a request message from a client requesting a function of the web application. Data stored in a database may be accessed by one or more virtual machines executing at the server via one or more data connections from a connection pool allocated to each of the virtual machines. Reference and logging information are stored for each virtual machine and corresponding connection pool, thereby enabling operations performed by each virtual machine for the requested function to be traced with a relatively high degree of granularity at each of various functional layers or tiers of the network communication system. | 09-26-2013 |
20130254762 | PROVIDING REDUNDANT VIRTUAL MACHINES IN A CLOUD COMPUTING ENVIRONMENT - A device designates a primary virtual machine for applications to be executed by the device, and designates a backup virtual machine for the applications. The device also establishes a primary link between the primary virtual machine and each of the applications, and establishes a backup link between the backup virtual machine and each of the applications. The device further determines whether the primary virtual machine is available, and enables, when the primary virtual machine is available, traffic to be securely communicated between the applications via the primary virtual machine and the primary links. | 09-26-2013 |
20130254763 | DETERMINING HARDWARE FUNCTIONALITY IN A CLOUD COMPUTING ENVIRONMENT - A device provides, to hardware associated with the device, a first request for hardware information, the first request being provided at a first time and via a virtual machine associated with the device. The device also receives, from the hardware and via the virtual machine, first hardware information based on the first request, and provides, to the hardware, a second request for hardware information, the second request being provided at a second time and via the virtual machine. The device further receives, from the hardware and via the virtual machine, second hardware information based on the second request, and calculates functionality of the hardware based on the first hardware information, the second hardware information, the first time, and the second time. | 09-26-2013 |
20130254764 | ENABLING MULTI-TENANCY FOR INTEGRATED DEVELOPMENT ENVIRONMENT SOFTWARE IN VIRTUAL ENVIRONMENTS - A method and system for enabling multiple users in a computing environment is provided. The method includes receiving software comprising an operating system, integrated development environment (IDE) software, middleware software, and database software. A virtual image instance of operating system, the IDE software, the middleware software, and the database software is provisioned to a virtual instance. User IDs for access to the operating system instance are generated. Workspaces are generated and associated with the user IDs. The middleware software and the database software are configured and the virtual image instance is enabled to accept multiple concurrent logins associated with the user IDs. | 09-26-2013 |
20130254765 | PATCH APPLYING METHOD FOR VIRTUAL MACHINE, STORAGE SYSTEM ADOPTING PATCH APPLYING METHOD, AND COMPUTER SYSTEM - In a computer system composed of a computer in which a plurality of VMs (Virtual Machines) are operated and a storage system, when a patch of an OS in the VM is applied, update data ac companying the application of the patch is stored in each VM image, causing increase of load of the storage system accompanying the concentration of read requests and the elongation of the patch applying process time. Therefore, the present invention provides a high-speed patch applying method to the VMs. When a patch applying request from a user using the VM is received and a patch is applied to the first VM, the patch is applied after creating a replication of the original GI (Golden Image) by which a new GI is created, and the new GI is used when the patch is applied to the second and subsequent VMs. During a patch applying process of the VM, a VM image is created from a new GI, and a path information related to the VM image is changed to thereby execute the patch applying process. | 09-26-2013 |
20130254766 | OFFLOADING PACKET PROCESSING FOR NETWORKING DEVICE VIRTUALIZATION - The present invention extends to methods, systems, and computer program products for offloading packet processing for networking device virtualization. A host maintains rule set(s) for a virtual machine, and a physical network interface card (NIC) maintains flow table(s) for the virtual machine. The physical NIC receives and processes a network packet associated with the virtual machine. Processing the network packet includes the physical NIC comparing the network packet with the flow table(s) at the physical NIC. When the network packet matches with a flow in the flow table(s) at the physical NIC, the physical NIC performs an action on the network packet based on the matching flow. Alternatively, when the network packet does not match with a flow in the flow table(s) at the physical NIC, the physical NIC passes the network packet to the host partition for processing against the rule set(s). | 09-26-2013 |
20130254767 | COMPUTER AND BANDWIDTH CONTROL METHOD - A computer with a processor, memory, and one or more network interfaces, the computer having a virtualization management unit for managing a virtual computer and a bandwidth control unit for controlling a bandwidth in use in a virtual computer group comprised of one or more virtual computers, in which the virtualization management unit contains an analysis unit for managing a bandwidth in use of virtual network interfaces allocated to the virtual computers, the analysis unit measures the bandwidth in use of the each virtual computer, determines whether there exists a first virtual computer group whose bandwidth in use is smaller than a guaranteed bandwidth, and commands to control the bandwidth of a second virtual computer group whose bandwidth in use is larger than the guaranteed bandwidth, and the bandwidth control unit secures a free bandwidth just equal to a shortage of the guaranteed bandwidth of the first virtual computer group. | 09-26-2013 |
20130254768 | SYSTEM AND METHOD FOR PROVIDING ANNOTATED SERVICE BLUEPRINTS IN AN INTELLIGENT WORKLOAD MANAGEMENT SYSTEM - The system and method described herein for providing annotated service blueprints in an intelligent workload management system may include a computing environment having a model-driven, service-oriented architecture for creating collaborative threads to manage workloads. In particular, the management threads may converge information for creating annotated service blueprints to provision and manage tessellated services distributed within an information technology infrastructure. For example, in response to a request to provision a service, a service blueprint describing one or more virtual machines may be created. The service blueprint may then be annotated to apply various parameters to the virtual machines, and the annotated service blueprint may then be instantiated to orchestrate the virtual machines with the one or more parameters and deploy the orchestrated virtual machines on information technology resources allocated to host the requested service, thereby provisioning the requested service. | 09-26-2013 |
20130254769 | APPARATUS AND METHOD FOR PROVIDING SERVICES USING A VIRTUAL OPERATING SYSTEM - Provided are an apparatus and method for providing services using a virtual operating system (OS). The apparatus classifies virtual resources into a plurality of mutually exclusive regions and manages the virtual resources based on the provides services regions. The plurality of provides services regions include a virtual region whose resources are stored in and used on an external storage, and an overlay region whose resources refer to host resources. The apparatus uses the region information for virtual resources and a list of resources accessed for each application process to manage the virtual resources. | 09-26-2013 |
20130263114 | DETECTING A REPEATING EXECUTION TIME SEQUENCE IN A VIRTUAL MACHINE - A generation identifier is provided having a value established upon generating a new virtual machine configuration context or a snapshot of a virtual machine configuration context. The generation identifier is configured to be sampled in order to indicate whether the sampled generation is a latest generation. To use the generation identifier, a service or application persists the generation identifier upon resuming or initiating operation. During normal operation or replay, the persisted generation identifier is compared to the generation identifier sampled from a location associated with the virtual machine configuration context on which the service or application is being run before performing a requested process or committing to a transaction. When the sampled generation identifier is different than the persisted generation identifier, the service or application knows that it is running a time-shifted operation such as from a snapshot replay. | 10-03-2013 |
20130263115 | INFORMATION PROCESSING APPARATUS, APPARATUS MANAGEMENT METHOD, AND APPARATUS MANAGEMENT PROGRAM - An information processing apparatus that mounts a first and second system boards SB# | 10-03-2013 |
20130263116 | AUTOMATIC MANAGEMENT OF HETEROGENEOUS MEMORY RESOURCES - A method for resource management, including: identifying a resource map corresponding to a thread stack of a first thread; reading, in the resource map and by a computer processor, a bit value referencing an address of a first binary value in the thread stack; determining, based on the bit value and by the computer processor, that the first binary value corresponds to a managed resource; reading, by the computer processor, a resource identifier section of the first binary value after determining that the first binary value corresponds to the managed resource; determining a type of the managed resource based on the resource identifier section; interpreting, based on the type of the managed resource, at least a portion of a payload of the first binary value as a reference to a resource address of the managed resource in a resource storage; and accessing the managed resource using the reference. | 10-03-2013 |
20130263117 | ALLOCATING RESOURCES TO VIRTUAL MACHINES VIA A WEIGHTED COST RATIO - In an embodiment, a plurality of estimates of costs of executing a plurality of respective queries is received from a plurality of respective virtual machines using a plurality of respective estimated resources allocated to the plurality of respective virtual machines. A selected virtual machine of the plurality of respective virtual machines is selected with a lowest weighted cost ratio, as compared to all other of the plurality of respective virtual machines. A source virtual machine is found with a lowest current resource usage. An amount of a resource to deallocate from the source virtual machine is calculated, which further comprises estimating the amount of the resource to deallocate that does not raise the lowest current resource usage over a maximum resource threshold. The amount of the resource from the source virtual machine is deallocated. The amount of the resource is allocated to the selected virtual machine. | 10-03-2013 |
20130263118 | EMULATING A DATA CENTER NETWORK ON A SINGLE PHYSICAL HOST WITH SUPPORT FOR VIRTUAL MACHINE MOBILITY - Methods and arrangements for emulating a data center network. A first end host and a second end host are provided. A base hypervisor is associated with each of the first and second end hosts, and the first and second end hosts are interconnected. A virtual hypervisor is associated with at least one virtual machine running on at least one of the base hypervisors, and virtual hypervisors are interconnected within one of the first and second end hosts. A virtual machine is nested within the virtual hypervisor, and the virtual machine is migrated from one virtual hypervisor to a destination virtual hypervisor to further be nested within the destination virtual hypervisor. | 10-03-2013 |
20130263119 | METHOD AND SYSTEM FOR VISUALIZING LINKED CLONE TREES - A virtual computer system, including a plurality of virtual machines (VMs) running on one or more host computers, is configured to manage virtual disks in a manner to facilitate making copies of one or more virtual machines (VMs) by means of a method known as linked cloning. Techniques are disclosed for scanning a storage system to determine the set of VMs, identifying virtual disks associated with each VM, examining parent/child relationships among the virtual disks, and displaying the virtual disk hierarchy. Redundant virtual disks may be identified as the set of virtual disks that have exactly one child disk and that are not associated with a snapshot. Provisions for generating a relocate list to support migration of a linked clone may also be utilized. | 10-03-2013 |
20130263120 | Virtual machine placement framework - A virtual machine placement framework is described to enable a data center operator to develop a placement scheme to satisfy its particular constraints while simultaneously optimizing resource utilization. To generate a placement solution, the virtual machine placement problem is first characterized as a “bin packing” problem. The framework provides simple interface tools and processing modules, and a pluggable architecture for receiving placement algorithms. To generate a solution, an administrator creates an XML representation that abstracts physical entities (e.g., data center, subnet, rack, physical server, and the like) into a hierarchical tree of bins. The administrator also defines a set of “rules” that govern (direct) the placement of the virtual machines by placing constraints on the placement scheme. Using the hierarchical tree and the rules, the framework is executed to generate a placement as a solution to a bin packing problem, preferably on a layer-by-layer basis. | 10-03-2013 |
20130263121 | METHOD TO EMBED A LIGHT-WEIGHT KERNEL IN A FULL-WEIGHT KERNEL TO PROVIDE A HETEROGENEOUS EXECUTION ENVIRONMENT - Enabling a Light-Weight Kernel (LWK) to run in a virtualized environment on a Full-Weight Kernel (FWK), in one aspect, may include replacing a FWK loader, e.g., FWK's dynamic library loader or linker, with a LWK library on a first computing entity for an application allocated to run on one or more second computing entities. The LWK library may be enabled to initialize the one or more second computing entities and associated memory allocated to run the application under the LWK library. The LWK library may be enabled to manage the one or more second computing entities and said associated memory and resources needed by the application. | 10-03-2013 |
20130263122 | PRIVATE CLOUD REPLICATION AND RECOVERY - Replication and recovery for a protected private cloud infrastructure that may include hosts, virtual machines (VMs) provisioned on the hosts, storage arrays and a management server. is periodically captured and made accessible to a recovery site. Upon a recovery event, replication of storage arrays is halted, and a number of target machines corresponding to the management server and the hosts to be recovered are assigned. The assigned management server and hosts are then bare provisioned by installing operating systems or hypervisors as specified by the metadata. Only then are recovery target machines connected to the replicated storage arrays so that virtual machines can be activated. | 10-03-2013 |
20130263123 | Methods and Apparatus for Dynamically Preloading Classes - A class preloading mechanism that dynamically preloads classes at runtime in a virtual machine (VM) environment. Data structures representing preloaded classes may be stored in a persistent module corresponding to a classloader. A persistent module can be directly mapped or copied into a memory region at runtime so that the classes may not have to be loaded from the class file container. The preloaded classes are not fully linked and resolved. When a classloader receives a class request, the classloader looks up the preloaded class in the memory region and completes linking and resolution of the class. Persistent modules may be pre-generated and, for example, installed with an application. Alternatively, a persistent module for a class file container may be generated at runtime by preloading classes from the class file container into a memory region and storing data structures representing the classes as a persistent module. | 10-03-2013 |
20130263124 | Apparatus and Method for Guest and Root Register Sharing in a Virtual Machine - A computer readable storage medium includes executable instructions to define a processor with guest mode control registers supporting guest mode operating behavior defined by guest context specified in the guest mode control registers. The guest mode control registers include a control bit to specify a guest access blocked register state and a shared register state. Root mode control registers support root mode operating behavior defined by root context specified in the root mode control registers. The root mode control registers include control bits to enable replicated register state access and shared register state access. The guest context and the root context support virtualization of hardware resources such that multiple operating systems supporting multiple applications are executed by the hardware resources. | 10-03-2013 |
20130263125 | VIRTUALIZED MOVEMENT OF ENHANCED NETWORK SERVICES ASSOCIATED WITH A VIRTUAL MACHINE - In one embodiment, a method comprises detecting an initiation of a movement process of a virtual machine executed by a first physical host to a destination physical host; initiating a transfer of a stateful process executed by a first network entity and providing enhanced network services for the virtual machine executed in the first physical host, including causing execution parameters for the enhanced network services to be sent to a second network entity; and completing the movement process of the virtual machine to the destination physical host in response to detecting the stateful process is executing in the second network entity and is ready to provide the enhanced network services for execution of the virtual machine in the destination physical host. | 10-03-2013 |
20130263126 | SYSTEM AND METHOD FOR INCREASING THE CAPABILITIES OF A MOBILE DEVICE - A system and computer-implemented method for increasing the computational capabilities of a mobile device by initializing one or more virtual machines in cloud computing environment to run applications. The system and computer-implemented method comprising a manager module that stimulates an application on a virtual machine with a user's interactions with a rendered version of the application on a mobile device. | 10-03-2013 |
20130263127 | PERSISTENT AND RESILIENT WORKER PROCESSES - In the field of computing, many scenarios involve the execution of an application within a virtual environment (e.g., web applications executing within a web browser). In order to perform background processing, such applications may invoke worker processes within the virtual environment; however, this configuration couples the life cycle of worker processes to the life cycle of the application and/or virtual environment. Presented herein are techniques for executing worker processes outside of the virtual environment and independently of the life cycle of the application, such that background computation may persist after the application and/or virtual environment are terminated and even after a computing environment restart, and for notifying the application upon the worker process achieving an execution event (e.g., detecting device events even while the application is not executing). Such techniques may heighten the resiliency and persistence of worker processes and expand the capabilities of applications executing within virtual environments. | 10-03-2013 |
20130263128 | COMPUTER-READABLE RECORDING MEDIUM, MIGRATION CONTROL METHOD AND CONTROL DEVICE - A control device includes a moving unit that moves a storage image of a machine that is currently used as a virtual machine environment, to a predetermined storage area, and a writing unit that, after a completion of a move by the moving unit, writes a new storage image including an operating system in a physical machine environment, to the machine in which the move is completed. | 10-03-2013 |
20130263129 | SEMICONDUCTOR DEVICE - A semiconductor device includes an instruction decoder that decodes an instruction code and thereby generates instruction information, an execution unit that performs an operation based on the instruction information through pipeline processing, and a pipeline control unit that controls an order of the instruction code to be processed in the pipeline processing, in which the pipeline control unit includes a register for defining presence/absence of an authority to execute a first privilege program for each virtual machine, the first privilege program being to be executed on one virtual machine, refers to the register, and when the virtual machine that has issued the instruction code relating to the first privilege program has an authority to execute the first privilege program, instructs the execution unit to execute a process based on the instruction code relating to a second privilege program, based on an operation of the first privilege program. | 10-03-2013 |
20130263130 | VIRTUALIZATION SYSTEM, SWITCH CONTROLLER, FIBER-CHANNEL SWITCH, MIGRATION METHOD AND MIGRATION PROGRAM - A virtualization system comprises a plurality of host machines on which a virtual machine operates, a plurality of storages connected to the host machines through a fiber-channel switch; and a switch controller, the switch controller including a migration management unit which instructs the host machine on migration of the virtual machine, wherein the migration management unit instructs the fiber-channel switch connected to a migration destination to set host bus information so as to allow an access to the storage used at a migration source by the virtual machine which has migrated. | 10-03-2013 |
20130263131 | GLOBAL COMPUTING INTERFACE - Methods, systems, and apparatus, including computer programs encoded on computer storage media, for implementing a global computing interface. One of the methods includes maintaining a global database of virtual machine configuration information, the database including information on cloud computing resources in each of a plurality of regions, wherein each region of the plurality of regions includes one or more datacenters. A virtual machine image is received in a first region. The global database is updated with configuration information about the received virtual machine image that is stored in the first region. A request is received to start one or more virtual machines from the virtual machine image in a second different region, and the virtual machine image is transmitted from the first region to the second region and one or more virtual machines are started from the virtual machine image in a datacenter of the second different region. | 10-03-2013 |
20130263132 | METHOD AND SYSTEM FOR TRACKING DATA CORRESPONDENCES - One embodiment is a method for tracking data correspondences in a computer system including a host hardware platform, virtualization software running on the host hardware platform, and a virtual machine running on the virtualization software, the method including: (a) monitoring one or more data movement operations of the computer system; and (b) storing information regarding the one or more data movement operations in a data correspondence structure, which information provides a correspondence between data before one of the one or more data movement operations and data after the one of the one or more data movement operations. The “monitoring” may comprise monitoring data movement at one or more of an interface between the host hardware platform and the virtualization software, and an interface between the virtual machine and the virtualization software | 10-03-2013 |
20130263133 | TRANSFERRING OBJECTS BETWEEN VIRTUAL MACHINES - Object calls for objects not available on a local virtual machine are fulfilled by requesting the object itself from a remote virtual machine and reconstructing a local instance of the requested object on the local virtual machine. The local instance is reconstructed using information about the class, variables, and methods associated with the remote instance of the object communicated to the local virtual machine. Present and future calls to the object are then directed to the local instance of the requested object. | 10-03-2013 |
20130263134 | State Separation For Virtual Applications - Application states may be stored and retrieved using policies that define various contexts in which the application is used. The application states may define configurations or uses of the application, including connections to and interactions with other applications. Applications that are virtualized may have state that is defined within a usage context and multiple states or configurations may be stored and recalled based on the usage context. Policies may define the context and what parameters are to be saved, and may be applied when applications are operated in a virtualized manner. | 10-03-2013 |
20130268929 | METHOD FOR SHARING AN INTERNAL STORAGE OF A PORTABLE ELECTRONIC DEVICE ON A HOST ELECTRONIC DEVICE AND AN ELECTRONIC DEVICE CONFIGURED FOR SAME - The present disclosure provides a method for sharing an internal storage of a portable electronic device on a host electronic device and an electronic device configured for same. The internal storage is automatically mounted and dismounted as a network drive on the host electronic device in response to connection events and disconnection events. | 10-10-2013 |
20130268930 | PERFORMANCE ISOLATION WITHIN DATA PROCESSING SYSTEMS SUPPORTING DISTRIBUTED MAINTENANCE OPERATIONS - A data processing system | 10-10-2013 |
20130268931 | SYSTEMS AND METHODS FOR SECURING AND RESTORING VIRTUAL MACHINES - Systems and methods are provided for securing a virtual machine by causing a plurality of shares of virtual machine files to be separately stored in response to a stop command. Systems and methods are also provided for restoring a data set with a cryptographic restoration application in response to a series of user inputs received when no visual indicator of the cryptographic restoration algorithm is displayed, and for restoring a data set with data shares received from another computer device in response to detecting a communication link with the device. | 10-10-2013 |
20130268932 | MANAGING PROCESS MIGRATION FROM SOURCE VIRTUAL MACHINE TO TARGET VIRTUAL MACHINE WHICH ARE ON THE SAME OPERATING SYSTEM - An apparatus and method for managing process migration are provided. When a process of a source virtual machine is to be migrated to a target virtual machine based on a process migration request, and the source virtual machine and the target virtual machine are on the same operating system (OS), logical migration is performed by updating information included in a virtual machine information database and a namespace router table. | 10-10-2013 |
20130268933 | HYPERVISOR SCHEDULER - Techniques for configuring a hypervisor scheduler to make use of cache topology of processors and physical memory distances between NUMA nodes when making scheduling decisions. In the same or other embodiments the hypervisor scheduler can be configured to optimize the scheduling of latency sensitive workloads. In the same or other embodiments a hypervisor can be configured to expose a virtual cache topology to a guest operating system running in a virtual machine. | 10-10-2013 |
20130268934 | DYNAMIC METHOD FOR CONTROLLING THE INTEGRITY OF THE EXECUTION OF AN EXECUTABLE CODE - The present invention describes a method for securing the execution of a computer program in a multitask device. This method is based on the execution, in parallel with the program to be made secure, of a security thread, able to modify the parameters of the scheduler. | 10-10-2013 |
20130275963 | SYSTEM, METHOD, AND COMPUTER PROGRAM PRODUCT FOR CONDITIONALLY PREVENTING USE OF HARDWARE VIRTUALIZATION - A system, method, and computer program product are provided for conditionally preventing use of hardware virtualization. In use, an attempt to use hardware virtualization is identified. Further, the use of the hardware virtualization is conditionally prevented. | 10-17-2013 |
20130275964 | SYSTEM, METHOD, AND COMPUTER PROGRAM PRODUCT FOR SCANNING DATA UTILIZING ONE OF A PLURALITY OF VIRTUAL MACHINES OF A DEVICE - A system, method, and computer program product are provided for scanning data utilizing one of a plurality of virtual, machines of a device. In use, data to be scanned is identified utilizing a first virtual machine of a device, where the device further includes at least one second virtual machine and a cache shared by the first virtual machine and the second virtual machine. Additionally, it is determined whether the data was previously scanned by the at least one second virtual machine, utilizing the cache. Furthermore, the data is conditionally scanned utilizing the first virtual machine based on the determination. | 10-17-2013 |
20130275965 | CONTROL OF JAVA RESOURCE RUNTIME USAGE - A method for providing control of Java resource runtime usage may include establishing communication with one or more Java virtual machines (JVMs) forming a hive via a hive communication channel where the hive comprises a plurality of JVMs configured to enable utilization of at least one shared resource, receiving, via the hive communication channel, environmental information indicative of hive activity relative to the at least one shared resource from at least one of the one or more JVMs, and adapting, via processing circuitry, operations associated with use of the at least one shared resource based on the environmental information. | 10-17-2013 |
20130275966 | PROVIDING APPLICATION BASED MONITORING AND RECOVERY FOR A HYPERVISOR OF AN HA CLUSTER - An embodiment of the invention is directed to a method associated with a node comprising a hypervisor and guest VMs, each guest VM being managed by the hypervisor and disposed to run applications, the node being joined with other nodes to form an HA cluster. The method includes establishing an internal bidirectional communication channel between each guest VM and the hypervisor, and further includes sending commands and responses thereto through the channel, wherein respective commands manage a specified application running on the given guest VM. The messages are selectively monitored, to detect a failure condition associated with the specified application running on the given guest VM. Responsive to detecting a failure condition, action is taken to correct the failure condition, wherein the action includes sending at least one command through the internal channel from the hypervisor to the given guest VM. | 10-17-2013 |
20130275967 | DYNAMIC PROVISIONING OF VIRTUAL SYSTEMS - A method for dynamic provisioning of virtual systems includes, with a server system that hosts virtual systems, detecting a new virtual system on the server system, and with the server system, using a physical address of the new virtual system to perform network authentication on behalf of the new virtual system. | 10-17-2013 |
20130275968 | APPLICATION MANAGEMENT METHODS AND SYSTEMS - Example systems and methods of managing applications are described. In one implementation, a load balancer receives a request to start an instance of an application. An orchestrator receives application code associated with the instance of the application and selects a virtual machine template based on the application code. The orchestrator provisions a virtual machine associated with the instance of the application using the virtual machine template. Additionally, the orchestrator notifies the load balancer that the virtual machine is available to start the instance of the application. | 10-17-2013 |
20130275969 | APPLICATION INSTALLATION MANAGEMENT - Example systems and methods of managing installation of applications are described. In one implementation, a repository stores data associated with multiple application instances. An orchestrator analyzes application instances running on multiple provisioned virtual machines and identifies at least one unused virtual machine among the multiple provisioned virtual machines. The orchestrator terminates processes running on the unused virtual machine and clears data associated with the unused virtual machine. The cleared virtual machine is added to a virtual machine pool for use by another application instance. | 10-17-2013 |
20130275970 | INTERACTIVE SEARCH MONITORING IN A VIRTUAL MACHINE ENVIRONMENT - A method and system for providing up-to-date monitoring results in a virtual machine environment are described. A host controller may receive requests to execute a plurality of searches pertaining to a plurality of objects in a virtual machine system. The host controller may execute the plurality of searches and provides the results to one or more requestors. The host controller may monitor data associated with the plurality of objects to detect a change in plurality of objects. The host controller may re-execute searches impacted by the change and may refrain from re-executing searches which are not impacted by the change. | 10-17-2013 |
20130275971 | DETERMINING DESTINATION CLOUD SYSTEM REQUIREMENTS - Technologies and implementations for testing cloud systems to determine destination cloud system requirements are generally disclosed. | 10-17-2013 |
20130275972 | VIRTUAL MACHINE, VIRTUAL MACHINE SYSTEM AND METHOD FOR CONTROLLING VIRTUAL MACHINE - In an exemplary computing machine of the invention, a shared IO device used by a first virtual machine and the second virtual machine includes a physical IO device and a virtual IO device controlled by the physical IO device. In the case of detecting that the first driver has transmitted a stop signal for stopping the physical IO device, a hypervisor keeps the stop signal from being transmitted to the shared IO device, determines whether or not a processing request transmitted by the second driver to the virtual IO device has been completed, and stops the physical IO device with the completion of the processing request as a trigger. | 10-17-2013 |
20130275973 | VIRTUALISATION SYSTEM - This invention relates to virtualised systems, in particular to portable virtualised systems whereby a user is able to transfer their desktop environment from one computing machine to another. A method of providing a transferable computing environment between a computing machine and a portable storage device is described whereby the operational state of the computing environment is maintained after the transfer. A virtual machine is portioned into a common portion and a second portion, the second portion storing a state of operation of the virtual machine and the state of applications. The second portion is transferred to a computing machine to be used in combination with the common portion of the virtual machine already residing on the computing machine. | 10-17-2013 |
20130275974 | METHOD AND APPARATUS FOR ALLOCATING VIRTUAL COMPUTER - A virtual computer (VM) is allocated in such a manner that the excess or deficiency of a hardware resource of a physical computer does not occur preferably. A VM allocation apparatus that is configured to allocate a plurality of virtual computers (VM) to a plurality of physical computers is constructed. The VM allocation apparatus stores the VM load information that is information that indicates a resource load value of a VM for each of a plurality of time sections for every VM. The VM allocation apparatus selects at least two VMs in which a difference of resource load values is largest for every time section based on the VM load information and allocates the at least two VMs that have been selected to the same physical computer. | 10-17-2013 |
20130275975 | RESOURCE MANAGEMENT SERVER, RESOURCE MANAGEMENT METHOD AND STORAGE MEDIUM IN WHICH RESOURCE MANAGEMENT PROGRAM IS STORED - It is provided a resource management server comprising: a resource management module for managing virtual machines and use amounts of resources used by the virtual machines for deployment; a pool management module for managing a total amount of the resources and a total amount of available resources; a requirement specification reception module for receiving an allocation requirement for a new virtual machine along with requirement specifications including amounts of resources; and a search module for searching the virtual machines for deployment included in the resource management information for virtual machines for deployment having amounts of resources that satisfy the requirement specifications, and for virtual machines for deployment which do not have the amounts of resources that satisfy the requirement specifications but which allow the amounts of resources that satisfy the requirement specifications to be secured by adding the available resources included in the pool management information. | 10-17-2013 |
20130275976 | CONTROL OF JAVA RESOURCE RUNTIME USAGE - A method for providing control of Java resource runtime usage may include establishing communication with one or more Java virtual machines (JVMs) forming a hive via a hive communication channel where the hive comprises a plurality of JVMs configured to enable utilization of at least one shared resource, receiving, via the hive communication channel, environmental information indicative of hive activity relative to the at least one shared resource from at least one of the one or more JVMs, and adapting, via processing circuitry, operations associated with use of the at least one shared resource based on the environmental information. | 10-17-2013 |
20130275977 | VIRTUAL MACHINE PACKING METHOD USING SCARCITY - A method for packing virtual machines onto host devices may calculate scarcity values for several different parameters. A host's scarcity for a parameter may be determined by multiplying the host's capacity for a parameter with the overall scarcity of that parameter. The sum of a host's scarcity for all the parameters determines the host's overall scarcity. Hosts having the highest scarcity are attempted to be populated with a group of virtual machines selected for compatibility with the host. In many cases, several different scenarios may be evaluated and an optimal scenario implemented. The method gives a high priority to those virtual machines that consume scarce resources, with the scarcity being a function of the available hardware and the virtual machines that may be placed on them. | 10-17-2013 |
20130275978 | VIRTUAL ENVIRONMENT FOR SERVER APPLICATIONS, SUCH AS WEB APPLICATIONS - An application virtualization system enables server applications, such as web applications to be easily deployable, separates an application and its state from the system, and allows applications to be easily moved to another server. The application virtualization system provides a way to isolate conflicts and allow multiple applications that provide conflicting information to run independently and avoid conflicts. The application virtualization system receives notification of an application preparation session and monitors the session to collect configuration information that the application uses to run on a client. The application virtualization system stores the collected information until the application to be virtualized is deployed. When an administrator later deploys the application, the system retrieves the stored information and uses the information and information from the client to reconstitute the metadata and virtualize the application. | 10-17-2013 |
20130275979 | DELAYED HARDWARE UPGRADES IN VIRTUALIZATION SYSTEMS - A system for delayed hardware upgrades in virtualization systems is disclosed. A method of the disclosure includes maintaining, by a hypervisor of a host machine, a delayed hardware upgrade mode that is applicable to virtual machines (VMs) managed by the hypervisor, receiving, by the hypervisor, a command to change VM hardware of one of the VMs managed by the hypervisor, placing, per the delayed hardware upgrade mode maintained by the hypervisor, the command in a delayed command queue associated with the VM to which the command was directed, the delayed command queue maintained by the hypervisor, and executing, by the hypervisor, the command in the delayed command queue when it is determined that the VM has been rebooted, wherein the reboot of the VM is not directly associated with the command. | 10-17-2013 |
20130275980 | HARDWARE PROTECTION OF VIRTUAL MACHINE MONITOR RUNTIME INTEGRITY WATCHER - An apparatus and method for hardware protection of a virtual machine monitor (VMM) runtime integrity watcher is described. A set of one or more hardware range registers that protect a contiguous memory space that is to store the VMM runtime integrity watcher. The set of hardware range registers are to protect the VMM runtime integrity watcher from being modified when loaded into the contiguous memory space. The VMM runtime integrity watcher, when executed, performs an integrity check on a VMM during runtime of the VMM. | 10-17-2013 |
20130283263 | SYSTEM AND METHOD FOR MANAGING RESOURCES IN A VIRTUAL MACHINE ENVIRONMENT - A system and method for assigning attributes, such as directory or firewall attributes for virtual machines by a user over a network comprising an input server connected to a network and programmed to present an interface to a user over a network, the interface configured to receive inputs from the user comprising attributes for a virtual machine and to store the input directory attributes in a database, a database for storing the directory attributes, and a controller programmed to periodically poll the database for updates and creates a daemon thread. A directory agent then accesses the thread and inputs the updated attributes into the operating system program of the virtual machine. | 10-24-2013 |
20130283264 | METHOD FOR SELECTIVE REPLICATION OF PHYSICAL DEVICES ON A VIRTUAL SELF-CONFIGURING ZONING EXPANDER - Disclosed is a method and SAS controller that abstract access from virtual machines operating on a host system to SAS physical devices connected to the SAS controller without a routing table for port-to-port messaging on the SAS controller. An embodiment may create a virtual expander for each physical port of the SAS controller and further create virtual ports within the virtual expanders to provide abstracted access to SAS physical devices for the virtual machines. The SAS physical devices may be replicated/cloned within the virtual ports. Each replicated/cloned SAS physical device may be assigned a unique SAS address for the SAS controller. If a physical expander that supports zoning is connected to a SAS controller port, an embodiment may further selectively replicate/clone the SAS physical devices for a virtual port based on whether or not a SAS physical device is included in a zoning group associated with the virtual port. | 10-24-2013 |
20130283265 | METHOD AND APPARATUS FOR PROVIDING A TEST NETWORK AS AN IP ACCESSIBLE CLOUD SERVICE - A method and structure for a cloud service includes an API (application programming interface) as tangibly embodied in a set of computer-executable instructions and selectively executable on a computer on a network. The API provides a user interface for a cloud environment comprising one or more virtual machines to be selectively instantiated on at least one computer in the network upon a user request. A library is accessible via the API, the library providing definitions of components available to be instantiated in the cloud environment. The API automatically instantiates an image of a virtual network of components, as defined by a user input request and provides at least one cloud portal providing the user an access to exercise the instantiated virtual network image. | 10-24-2013 |
20130283266 | Remediating Resource Overload - A method, an apparatus and an article of manufacture for remediating overload in an over-committed computing environment. The method includes measuring resource usage of each of multiple virtual machines on each of at least one hypervisor in a computing environment, upon detection of a resource overload on one of the at least one hypervisor, determining at least one operation that is to be taken for at least one of the multiple virtual machines on the hypervisor to remediate resource overload while increasing values of running virtual machines, and sending a command to the hypervisor to issue the at least one operation. | 10-24-2013 |
20130283267 | VIRTUAL MACHINE CONSTRUCTION - A virtual machine is constructed using a first file received at an endpoint from at least one remote server across a wide area network and a second file received at the endpoint from a local network of the endpoint. | 10-24-2013 |
20130283268 | Mobile Devices Having A Plurality of Virtual Interfaces - Mobile devices, systems and methods are described with a plurality of virtual machines, wherein each virtual machine executes a separate virtual interface, or guest operating system. Each guest operating system corresponds to a different virtual device having its own contact list, applications, and so on. A virtual “device” can be controlled by an employer or service provider, and is a secure space that provides authenticated applications that are walled off from another virtual device. A host operating system provides a hardware abstraction layer. A proxy server on the host operating system receives an incoming signal from a remote device on the external network, and routes the incoming signal to one of the first and second virtual machines based on a call context. A method and computer program product for providing a plurality of virtual interfaces on a mobile device are also disclosed. | 10-24-2013 |
20130283269 | SYSTEM AND METHOD FOR PROVIDING VIRTUAL DESKTOP EXTENSIONS ON A CLIENT DESKTOP - The system and method described herein may identify one or more virtual desktop extensions available in a cloud computing environment and launch virtual machine instances to host the available virtual desktop extensions in the cloud. For example, a virtual desktop extension manager may receive a virtual desktop extension request from a client desktop and determine whether authentication credentials for the client desktop indicate that the client desktop has access to the requested virtual desktop extension. In response to authenticating the client desktop, the virtual desktop extension manager may then launch a virtual machine instance to host the virtual desktop extension in the cloud and provide the client desktop with information for locally controlling the virtual desktop extension remotely hosted in the cloud. | 10-24-2013 |
20130283270 | VIRTUAL GATEWAY ROUTER - A facility for configuring a virtual data center is described. A user such as an administrator uses a management interface to input information specifying a configuration for a virtual data center including virtual network nodes and virtual routers. In response, the facility instantiates each virtual network router needed for the configuration, such as by, for each, instantiating on commodity hardware a virtual machine running a general-purpose operating system used to provide routing services to the virtual network nodes. | 10-24-2013 |
20130283271 | VIRTUAL GATEWAY ROUTER - A facility for configuring a virtual data center is described. A user such as an administrator uses a management interface to input information specifying a configuration for a virtual data center including virtual network nodes and virtual routers. In response, the facility instantiates each virtual network router needed for the configuration, such as by, for each, instantiating on commodity hardware a virtual machine running a general-purpose operating system used to provide routing services to the virtual network nodes. | 10-24-2013 |
20130283272 | ADJUSTING CLIENT DISPLAY DEVICES BASED ON SETTINGS INCLUDED IN A NOTIFICATION FROM REMOTE VIRTUAL MACHINE HOST PRIOR TO CONNECTION ESTABLISHMENT - A method and system for the display device configuration in a VM environment are disclosed. In one embodiment, the method includes determining one or more devices in a display system of a client and transmitting client display system information to a host running one or more VMs. Further, a notification is received by the client from the host indicating that display settings of the VM were configured based on the client display system information. | 10-24-2013 |
20130283273 | SERVICE RESERVATION MANAGEMENT METHOD, VIRTUAL MACHINE SYSTEM AND STORAGE MEDIUM - Provided is a service reservation management method for a plurality of physical computers, at least one virtual machine, which is provided by a virtualizing part, and a management computer for managing a service allocated to the at least one virtual machine and the virtualizing part, the method including: receiving, by the management computer, a reservation of a service; searching, by the management computer, for a combination of the received service and a service stored in a reservation information by referring to service combination information for storing a combination of services that has a chance of causing an anomaly in one of the plurality of physical computers; and outputting, by the management computer, when the service combination information includes the combination of the received service and the service stored in the reservation information, an alert indicating that the combination has a chance of causing an anomaly. | 10-24-2013 |
20130290952 | Copying Virtual Machine Templates To Cloud Regions - A method includes transmitting a list of virtual machine templates from a cloud management system for display to a user, the list indicating for each virtual machine template a particular region from among plural cloud regions defined as a source region in which the virtual machine template is located. A copy request is received at the cloud management system, indicating one of the virtual machine templates to copy from its associated source region to a selected destination region. The copy request is transmitted to a first cloud control server in the selected source region. The selected template is read from a block storage device in the source region and transmitted to a second cloud control server in the destination region. At the second cloud control server the transmitted template is stored to a destination virtual machine template at a block storage device in the destination region. | 10-31-2013 |
20130290953 | EFFICIENT EXECUTION OF JOBS IN A SHARED POOL OF RESOURCES - Embodiments of the invention relate to a shared group of resource and efficient processing of one or more jobs in the share group of resources. Tools are provided in the shared group of resource to assess and organize a topology of the shared resources, including physical and virtual machines, as well as storage devices. The topology is stored in a known location and utilized for efficient assignment of one or more jobs responsive to the hierarchy. | 10-31-2013 |
20130290954 | Service provider-hosted virtual machine instantiation by hoster user for use by end users - A system includes one or more computing devices accessible over a network and managed by a service provider. A hoster user accessing the system over the network, and who is at least partially unaffiliated with the service provider, is permitted to instantiate in accordance with a desired configuration a virtual machine hosted at and realized by hardware resources of the computing devices. The hoster user is further permitted to assign one or more end users unaffiliated with the service provider to the virtual machine in accordance with a desired permission specified by the hoster user. The end users accessing the system over the network, and who are unaffiliated with the service provider, are permitted to access and use the virtual machine in accordance with the desired permission specified by the hoster user. | 10-31-2013 |
20130290955 | PROVIDING A VIRTUAL NETWORK TOPOLOGY IN A DATA CENTER - Topology input is used to identify a set of data flows as between a set of virtual machines (VMs), as well as one or more network services for one or more of the data flows. A determination is made as to a minimal number of network service virtual machines (NSVMs) for use in providing the one or more network services. An arrangement is determined for server resources and network resources of the data center network on which a virtual network topology is provided for the tenant, including the set of VMs and the number of NSVMs. | 10-31-2013 |
20130290956 | VIRTUAL MACHINE SWITCHING BASED ON MEASURED NETWORK DELAY - Technologies related to virtual machine switching based on measured network delay are generally described. A network delay aware Virtual Machine (VM) may be configured to adapt a Virtual Machine Monitor (VMM) to delay switching back to the network delay aware VM by a delay amount determined using a measured network delay. The measured network delay may comprise a delay between sending a network communication and receiving a network response. By delaying switching back to the network delay aware VM, additional processing resources are freed for other VMs managed by the VMM, thereby increasing efficiency of computing devices including network delay aware VMs, and correspondingly increasing efficiency of data centers including such computing devices. | 10-31-2013 |
20130290957 | EFFICIENT EXECUTION OF JOBS IN A SHARED POOL OF RESOURCES - Embodiments of the invention relate to a shared group of resource and efficient processing of one or more jobs in the share group of resources. Tools are provided in the shared group of resource to assess and organize a topology of the shared resources, including physical and virtual machines, as well as storage devices. The topology is stored in a known location and utilized for efficient assignment of one or more jobs responsive to the hierarchy. | 10-31-2013 |
20130290958 | SYSTEM AND METHOD FOR ON-DEMAND CLONING OF VIRTUAL MACHINES - A system for on-demand cloning of virtual machines (VMs) includes a virtual server to host a number of VMs, the virtual server including at least one master VM. The system also includes a Web server to authenticate a user in response to a request for online access to a new VM on the virtual server. In addition, the system includes a cloning module, in communication with the Web server and the virtual server, to automatically clone the master VM to create a unique VM clone for the user on the virtual server responsive to the request. | 10-31-2013 |
20130290959 | SYSTEM AND METHOD FOR TRANSFORMING LEGACY DESKTOP ENVIRONMENTS TO A VIRTUALIZED DESKTOP MODEL - A system and method for transforming a legacy device into a virtualized environment, comprising includes analyzing the profiling data for at least one application to determine usage frequency and resource requirements of the at least one application. Captured user events are benchmarked to simulate a user workload for the at least one application to determine how resource utilization and execution times scale from a legacy environment to a virtualized environment. The legacy device is transformed into the virtualized environment in accordance with a provisioning plan. | 10-31-2013 |
20130290960 | MULTITENANT HOSTED VIRTUAL MACHINE INFRASTRUCTURE - A multi-tenant virtual machine infrastructure (MTVMI) allows multiple tenants to independently access and use a plurality of virtual computing resources via the Internet. Within the MTVMI, different tenants may define unique configurations of virtual computing resources and unique rules to govern the use of the virtual computing resources. The MTVMI may be configured to provide valuable services for tenants and users associated with the tenants. | 10-31-2013 |
20130290961 | Multiple Mode Mobile Device - A particular method includes receiving authentication information at a device. The method also includes determining, by the device, whether a user is authenticated based on the authentication information. The method further includes executing, by the device, a first virtual machine in response to determining that the user is authenticated. The first virtual machine has access to sensitive information. The method also includes executing, by the device, a first application on the first virtual machine. The method further includes determining, by the device, whether execution of an instruction associated with a second virtual machine would result in a fault. The method also includes, in response to determining that execution of the instruction would result in the fault, preventing execution of the instruction and allowing the second virtual machine to fail without adversely affecting the first virtual machine. | 10-31-2013 |
20130290962 | METHOD AND DEVICE FOR ACQUIRING A VIRTUAL FRAME NUMBER AND A VIRTUAL SLOT NUMBER - A method for acquiring a virtual frame number and a virtual slot number at a virtualization platform is provided. The virtualization operating system receives a command for creating a virtual server sent from a virtualization management platform. In response to the command for creating a virtual server, the virtualization operating system creates a virtual server on a board. After creating the virtual server, the virtualization operating system sends a frame number request message carrying an identifier of the virtual server to the virtualization management platform. The virtualization operating system receives a virtual frame number corresponding to the identifier of the virtual server sent from the virtualization management platform. The virtualization operating system obtains a virtual slot number corresponding to the identifier of the virtual server according to the virtual frame number. | 10-31-2013 |
20130298118 | THROTTLING PRODUCERS BASED ON TOTAL HEAP USAGE - A method for a messaging engine to control messaging within a virtual machine having a heap is disclosed. The messaging engine creates a list of destinations associated with a messaging engine and receives parameters associated with the heap. The messaging engine determines total heap usage and based on the parameters associated with the heap and the total heap usage, controls message production rates of producers associated with the destinations. | 11-07-2013 |
20130298119 | MANAGEMENT SYSTEM AND METHOD USING THE SAME - A management method for multiple virtual machines (VMs) in a virtualized server is provided. The management method includes steps of: generating a command; determining an extended cluster including N serving VMs and M redundant VMs in response to the command, wherein the N serving VMs are for providing computing services, N is a natural number, and M is an integer greater than or equal to zero; determining an expanding event in response to the command; periodically detecting workload information of the N serving VMs; periodically determining whether the workload information of the N serving VMs satisfies the expanding event; if yes, determining whether M equals zero; if M does not equal zero, activating one of the M redundant VMs to remove one redundant VM and to accordingly add a new serving VM. | 11-07-2013 |
20130298120 | Copy Equivalent Protection Using Secure Page Flipping For Software Components Within An Execution Environment - Embodiments of copy equivalent protection using secure page flipping for software components within an execution environment are generally described herein. An embodiment includes the ability for a Virtual Machine Monitor (VMM), Operating System Monitor, or other underlying platform capability to restrict memory regions for access only by specifically authenticated, authorized and verified software components, even when part of an otherwise compromised operating system environment. In an embodiment, an embedded VM is allowed to directly manipulate page table mappings so that, even without running the VMM or obtaining VMXRoot privilege, the embedded VM can directly flip pages of memory into its direct/exclusive control and back. Other embodiments may be described and claimed. | 11-07-2013 |
20130298121 | Method for Isolated Use of Browser - The present invention provides a method for isolated use of browser comprising: establishing a virtual environment in a user's computer system by a browser; arranging content loaded by the browser in the virtual environment; processing operation results in the virtual environment. | 11-07-2013 |
20130298122 | VIRTUAL MACHINE MIGRATION - In embodiments of virtual machine migration, a virtual machine migration system includes a storage array that maintains data. A first host computer includes at least one virtual machine with a virtual drive that is mapped to a logical unit number (LUN) of the storage array, and the LUN corresponds to a LUN mask that associates the LUN with the first host computer. A virtual manager is executable on the first host computer, and is implemented to unmask the LUN to migrate the virtual machine from the first host computer to a second host computer that is configurable as a host of the virtual machine. The virtual manager can log the first host computer out of the LUN and the second host computer can log into the LUN to access the data in the storage array. | 11-07-2013 |
20130298123 | APPLYING POLICIES TO SCHEDULE NETWORK BANDWIDTH AMONG VIRTUAL MACHINES - Computerized methods, systems, and computer-storage media for allowing virtual machines (VMs) residing on a common physical node to fairly share network bandwidth are provided. Restrictions on resource consumption are implemented to ameliorate stressing the network bandwidth or adversely affecting the quality of service (QoS) guaranteed to tenants of the physical node. The restrictions involves providing a scheduler that dynamically controls networking bandwidth allocated to each of the VMs as a function of QoS policies. These QoS policies are enforced by controlling a volume of traffic being sent from the VMs. Controlling traffic includes depositing tokens into token-bucket queues assigned to the VMs, respectively. The tokens are consumed as packets pass through the token-bucket queues. Upon consumption, packets are held until sufficient tokens are reloaded to the token-bucket queues. | 11-07-2013 |
20130298124 | MEMORY ADDRESS RE-MAPPING OF GRAPHICS DATA - A method and apparatus for creating, updating, and using guest physical address (GPA) to host physical address (HPA) shadow translation tables for translating GPAs of graphics data direct memory access (DMA) requests of a computing environment implementing a virtual machine monitor to support virtual machines. The requests may be sent through a render or display path of the computing environment from one or more virtual machines, transparently with respect to the virtual machine monitor. The creating, updating, and using may be performed by a memory controller detecting entries sent to existing global and page directory tables, forking off shadow table entries from the detected entries, and translating GPAs to HPAs for the shadow table entries. | 11-07-2013 |
20130298125 | EFFICIENT RECONSTRUCTION OF VIRTUAL DISK HIERARCHIES ACROSS STORAGE DOMAINS - A method and software is described for recreating on a target datastore a set of hierarchical files that are present on a source datastore. A content identifier (ID) is maintained for each component of the set of hierarchical files. The content ID of a component is updated when its contents are modified. The child component is copied from the source datastore to the target datastore. The content ID corresponding to the parent component on the source datastore is compared with content IDs corresponding to files present on the target datastore. When a matching content ID is discovered, it infers a copy of the parent component. The matching file on the target datastore is associated with the copied child component so that the matching file becomes a new parent component to the copied child component, thereby recreating the set of hierarchical files on the target. | 11-07-2013 |
20130298126 | COMPUTER-READABLE RECORDING MEDIUM AND DATA RELAY DEVICE - Each switch blade receives, from a CPU server serving as a migration destination to which a VM to be migrated is migrated, a setting request of port profile information corresponding to the VM. Then, each switch blade determines whether a VSI ID included in the received setting request is stored in a storage unit that stores therein the VSI ID identifying the VM. Subsequently, each switch blade executes processing according to the setting request when determining that the received VSI ID is stored in the storage unit. Furthermore, when determining that the received VSI ID is not stored in the storage unit, each switch blade forwards the setting request to an external switch that is an upper-level data relay device connected to the CPU server serving as the migration destination through the corresponding switch blade. | 11-07-2013 |
20130305241 | Sharing Reconfigurable Computing Devices Between Workloads - One or more physical RCDs (PRCDs) are shared between one or more workloads in one or more virtual computing environments. Example PRCD sharing operations may include: (1) providing a virtual RCD (VRCD) for one of the workloads, the VRCD being programmed with an IC design representing a hardware implementation of a software hotspot in the workload, (2) allocating one of the PRCDs to the workload by scheduling the programmed VRCD on the PRCD, (3) burning the PRCD with the IC design of the programmed VRCD so that the PRCD becomes a programmed PRCD that is capable of implementing the workload's hotspot in hardware, and (4) invoking the programmed VRCD instead of executing the hotspot as software in order to cause the programmed PRCD to implement the hotspot in hardware. | 11-14-2013 |
20130305242 | Performance of Load Balancing Modules with Migration Awareness - Embodiments perform migration-aware load balancing in virtual data centers. One or more load balancing modules in a host distribute data requests from clients to one or more servers implemented as virtual machines (VMs). The load balancing modules are notified of VM migration (e.g., live migration) prior to the VM migration and reduce the load on the VM to be migrated. After being notified of completion of VM migration, the load balancing modules increase the load on the migrated VM. Such migration notifications enable the load balancing modules to prevent or reduce performance degradation and migration duration, among other aspects. | 11-14-2013 |
20130305243 | SERVER SYSTEM AND RESOURCE MANAGEMENT METHOD AND PROGRAM - A server system for providing a plurality of virtual machines constructed on a physical machine equipped with a physical resource, wherein each of the virtual machines includes: an OS recognizing the physical resource allocated to the virtual machine as a resource in the virtual machine; and a software management unit for securing the resource recognized by the OS and allocating the resource to a plurality of pieces of business application software registered in the virtual machine; wherein the software management unit identifies the plurality of pieces of business application software and allocates the resource to the plurality of pieces of business application software according to a preset policy to allocate the resource; and wherein when the software management unit detects an increase or decrease of the resource allocated to the virtual machine, it changes allocation of the resource to the plurality of pieces of business application software in accordance with an amount of the detected resource increase or decrease. | 11-14-2013 |
20130305244 | PREASSEMBLED, RAPLIDLY DEPLOYABLE SYSTEMS AND COMPONENTS - Various embodiments provide mechanisms that mitigate organizational exposures when evaluating and choosing computer applications, enhancements, and modifications thereto. Some embodiments provide technological solutions that allow for rapid application prototyping, deployment, evaluation, testing, and the act of going live in a production environment. Some such embodiments provide applications including content and data, in the form of virtual appliance templates, that is pre-installed, pre-configured, pre-tested, and pre-loaded in a very rapid manner. Some embodiments may further allow for cloning of the instantiated application to another virtual computing environment, and utilization from that location on forward looking basis. | 11-14-2013 |
20130305245 | METHODS FOR MANAGING WORK LOAD BURSTS AND DEVICES THEREOF - A method, non-transitory computer readable medium, and resource management computing device comprises identifying one or more workload bursts in a production environment. One or more additional resources in a non-production environment required to manage the one or more workload burst in a production environment is determined by comparing an environment resource consumption value against a permissible maximum value, wherein the environment resource consumption value is a value indicating usage of each of the one or more resources. One or more additional resources are identified in the non-production environment. The identified one or more additional resources are provided to handle the one or more workload bursts. | 11-14-2013 |
20130305246 | LIVE MIGRATION OF VIRTUAL MACHINE DURING DIRECT ACCESS TO STORAGE OVER SR IOV ADAPTER - A method is provided to migrate a virtual machine from a source computing machine to a destination computing machine comprising: suspending transmission of requests from a request queue disposed in source computing machine memory associated with the VM from the request queue to a VF; while suspending the transmission of requests, determining when no more outstanding responses to prior requests remain to be received; in response to a determination that no more outstanding responses to prior requests remain to be received, transferring state information that is indicative of locations of requests inserted to the request queue from the VF to a PF and from the PF to a memory region associated with a virtualization intermediary of the source computing machine. After transferring the state information to source computing machine memory associated with a virtualization intermediary, resuming transmission of requests from locations of the request queue indicated by the state information to the PF; and transmitting the requests from the PF to the physical storage. | 11-14-2013 |
20130305247 | INTERPRETING I/O OPERATION REQUESTS FROM PAGEABLE GUESTS WITHOUT HOST INTERVENTION - Input/output (I/O) operation requests from pageable storage mode guests are interpreted without host intervention. In a pageable mode virtual environment, requests issued by pageable storage mode guests are processed by one or more processors of the environment absent intervention from one or more hosts of the environment. Processing of the requests includes manipulating, by at least one processor on behalf of the guests, buffer state information stored in host storage. The manipulating is performed via instructions initiated by the guests and processed by one or more of the processors. | 11-14-2013 |
20130311988 | MIGRATING VIRTUAL MACHINES BETWEEN NETWORKED COMPUTING ENVIRONMENTS BASED ON RESOURCE UTILIZATION - Embodiments of the present invention relate to the migration of virtual machines (VMs) between networked computing environments (e.g., cloud computing environments) based on resource utilization. Specifically, embodiments of the present invention provide an approach to select an optimal set (one or more) of VMs as candidates for pre-staged migration. In a typical embodiment, when a first cloud environment nears physical resource capacity, an optimal set of VMs will be identified for migration to a second cloud environment that has sufficient capacity to accommodate workload(s) from the first cloud environment. To make this process more efficient, data associated with the set of virtual machines may be “pre-stage” replicated from the first cloud environment to the second cloud environment (e.g., in advance of the migration of the identified set of VMs). | 11-21-2013 |
20130311989 | METHOD AND APPARATUS FOR MAINTAINING A WORKLOAD SERVICE LEVEL ON A CONVERGED PLATFORM - A management server is provided in a computer system having one or more hosts, one or more storage systems and one or more switches, the hosts having a plurality of virtual machines, each virtual machine being defined according to a service level agreement. The management server is operable to manage the virtual machines and resources associated with the virtual machines; receive a notification of an event from a node in the computer system; determine if the event affects a service level agreement for any of the virtual machines defined in the computer system, the service level agreements listing required attributes for the corresponding virtual machines; allocate a new resource for a virtual machine whose service level agreement is affected by the event; and move the virtual machine whose service level agreement is affected by the event to the newly allocated resource. | 11-21-2013 |
20130311990 | CLIENT-SIDE VIRTUALIZATION ARCHITECTURE - The invention discloses the architecture of client-side virtualization, utilizing the techniques of differential bi-directional synchronization of layered data and closed computing, as an extension to virtual desktop infrastructure. Both the client and server run a virtual machine monitor on top of which user application data, system data, personal application, group application, and guest operating system are layered independently. Layer independence is achieved by system data redirection, cache acceleration, and application composing/decomposing method. The client can be securely protected via the push-OS concept, security links, and closed computing services, including virtual machine subscription management control and network resource control services. | 11-21-2013 |
20130311991 | VIRTUAL MACHINE MIGRATION METHOD, SWITCH, AND VIRTUAL MACHINE SYSTEM - The present invention provides a virtual machine migration method, a switch, a virtual machine system. A switch receives a message sent by a server, where the message is used to enable the switch to discover a connected virtual machine interface; obtains, from the message, an identifier for indicating whether a virtual machine is migrated; and determines whether the virtual machine is a virtual machine migrated to the server according to the identifier indicating whether the virtual machine is migrated. According to the embodiments of the present invention, it may be determined whether an added virtual machine on a server is a newly created one or a migrated one. | 11-21-2013 |
20130311992 | Storage Checkpointing in a Mirrored Virtual Machine System - A method and system are provided for storage checkpointing in a mirrored virtual machine system. The method includes a storage controller receiving a modifying operation to storage from a virtual machine and carrying out the modifying operation in a non-destructive manner by saving the modifying operation data to a checkpointing region of storage and preserving the stored previous data state. The method also includes receiving a checkpoint notification and committing modifying operation data from the checkpointing region and releasing the stored previous data state. If a failover notification is received, the storage controller rolls back the physical storage to match a checkpoint state. | 11-21-2013 |
20130311993 | ADAPTIVE OBFUSCATED VIRTUAL MACHINE - The invention enables the generation of an obfuscated bytecode for execution in an adaptive VM execution environment. A VM compiler compiles a high level code to obtain the bytecode | 11-21-2013 |
20130318521 | LOCATION-BASED POWER MANAGEMENT FOR VIRTUAL DESKTOP ENVIRONMENTS - In one embodiment, an illustrative technique determines when an end-user is within a specified proximity of a client device configured to provide an interface to a virtual machine. In response to the end-user being within the specified proximity of the client device, the technique may then allocate data center resources for the virtual machine. | 11-28-2013 |
20130318522 | Management of Virtual Desktop Infrastructure (VDI) Sessions Using Real-Time Network Conditions - Devices, methods and instructions encoded on computer readable medium are provided herein for management of virtual desktop infrastructure (VDI) sessions in a VDI system based on real-time network conditions. In one example, a request for allocation of bandwidth to a first VDI session configured to operate in parallel with a plurality of other VDI sessions in a network is received at a network device. The real-time conditions of the network are detected, and the network device uses these real-time network conditions to determine if sufficient bandwidth is available to satisfy the request for allocation of bandwidth to the first VDI session. A determination is then performed, based on one or more provisioned policies, to determine how bandwidth is to be allocated between the first VDI session and the other parallel VDI sessions. Bandwidth may then be allocated to the first VDI session. | 11-28-2013 |
20130318523 | HYPERVISOR-BASED STACK PRE-FETCH CACHE - A hypervisor, associated with a device, receives guest operating system code that includes an instruction to switch to a new stack provided in hardware associated with the device. The hypervisor provides, to a guest page table associated with the hypervisor, a query for writable pages provided in proximity to the new stack, and receives, from the guest page table and based on the query, the writable pages provided in proximity to the new stack. The hypervisor provides test instructions to the new stack in order to determine whether one or more faults occur, and switches from a current stack to the new stack when no faults occur based on the test instructions. | 11-28-2013 |
20130318524 | VIRTUALIZING INTEGRATED CALLS TO PROVIDE ACCESS TO RESOURCES IN A VIRTUAL NAMESPACE - Disclosed herein are systems, methods, and software for facilitating the integration of native applications and virtualized applications. Calls initiated from within a native application associated with a native namespace are monitored for integrated calls. The integrated calls involve resources accessible through a virtual namespace. Upon detecting an integrated call, the integrated call is provided with access to a corresponding resource by way of the virtual namespace. | 11-28-2013 |
20130318525 | LOCALITY-AWARE RESOURCE ALLOCATION FOR CLOUD COMPUTING - Computing resource allocation for map-reduce job execution comprises determining the volume of input data to the map-phase and the reduce-phase of a map-reduce job prior to execution. Based on said determination, data blocks and virtual machines (VMs) are selectively placed for locality aware map-reduce job execution on a cluster of computing nodes in a network. Selectively placing data blocks and VMs comprises integrally placing the data and the VMs at selected nodes to lower data transfer network hops for a map-phase and a shuffle-phase of the map-reduce job upon execution by the VMs. | 11-28-2013 |
20130318526 | Cloud Defragmentation - In an embodiment, a method includes identifying, in a defragmentation scheduling logic of a first system of a data center, a first virtual machine (VM) of a first server of the data center to select for migration based on a cost determined according to a source algorithm, identifying a second server of the data center to select for receipt of the first VM based on a cost determined according to a destination algorithm, where the second server has available free space to receive the first VM and the available free space has been scrubbed prior to the identification, and migrating a substantial plurality of VMs between servers of the data center based on cost according to the source and destination algorithms, to defragment the data center. | 11-28-2013 |
20130318527 | VIRTUAL SERVER CONTROL SYSTEM AND PROGRAM - In relation to scale control of virtual servers and others in a target system such as a public cloud, techniques capable of ensuring sufficient effects in processing efficiency and load dispersion and operability of systems and services are provided. The present system has a function of carrying out scale control of the virtual servers ( | 11-28-2013 |
20130318528 | INFORMATION PROCESSING METHOD - An information processing method executed by an information processing apparatus. The information processing includes: running a virtual machine that emulates an idle state of other information apparatus; powering on the other information apparatus when receiving a process execution request issued to the running virtual machine; and transferring the received execution request to the powered-on other information apparatus. | 11-28-2013 |
20130326503 | Generating Super Templates to Obtain User-Requested Templates - A method, an apparatus and an article of manufacture for creating a virtual machine super template to create a user-requested virtual machine template. The method includes identifying at least one virtual machine super template to be created via analyzing at least one existing template in a repository and/or a user-defined combination of software, creating the super template by installing software requested by the user to be within the super template, and creating a user-requested virtual machine template by un-installing software from the super template that is not required in the user-requested template and/or adding software to the super template required in the user-requested template that is not present in the super template. | 12-05-2013 |
20130326504 | SYSTEM AND METHOD FOR MANAGING DEVICE ACCESS - Methods and systems for managing requests for access to devices managed by a hypervisor in virtualized computing environment. A hypervisor receives a request for access to a device from a guest. The hypervisor provides an address hint associated with the device to the guest and an association between the address hint and the device is stored in a reference table. Upon receipt of a subsequent request from the guest including the address hint, the hypervisor performs a look-up in the reference table based on the address hint to identify the device and establishes access to the device by the guest. | 12-05-2013 |
20130326505 | RECONFIGURING VIRTUAL MACHINES - A host controller obtains virtual machine configuration data for a virtual machine which has a guest operating system. The host controller may identify a plurality of virtual hardware components supported by the guest operating system and may identify a set of the plurality of virtual hardware components which are not used by the virtual machine. The host controller may reconfigure the virtual machine to use one or more of the set of virtual hardware components. | 12-05-2013 |
20130326506 | Mechanism for Controlling Capacity in a Multi-Tenant Platform-as-a-Service (Paas) Environment in a Cloud Computing System - A mechanism for controlling capacity in a multi-tenant Platform-as-a-Service (PaaS) environment in a cloud computing system is disclosed. A method includes detecting, by a resource control module, an occurrence of a trigger event for capacity analysis of virtual machines (VMs) in a multi-tenant PaaS system, obtaining an active capacity metric of each of the VMs, the active capacity metric comprising a result of dividing a number of containers that are currently executing in the VM by a maximum number of active containers allowed to execute in the VM, comparing the active capacity metric of each VM to an active capacity threshold of each VM, when the active capacity metric exceeds the active capacity threshold in all of the VMs in a district of the multi-tenant PaaS system and when a maximum actual capacity of containers in the district is not exceeded, adding a new VM to the district. | 12-05-2013 |
20130326507 | Mechanism for Controlling Utilization in a Multi-Tenant Platform-as-a-Service (PaaS) Environment in a Cloud Computing System - A mechanism for controlling utilization in a multi-tenant Platform-as-a-Service (PaaS) environment in a cloud computing system is disclosed. A method includes obtaining, by a resource control module executing on a computing device, an active capacity metric of each virtual machine (VM) of a plurality of VMs in a district of a multi-tenant PaaS system, the active capacity metric comprising a result of dividing a number of containers that are currently executing in the VM by a maximum number of active containers allowed to execute in the VM, determining that the active capacity metric of a source VM in the district exceeds an active capacity threshold associated with the source VM, and migrating at least one of the containers of the source VM to a target VM in the district, wherein the target VM is operating with an active capacity metric below the active capacity threshold associated with the target VM. | 12-05-2013 |
20130326508 | DISPLAY POWER MANAGEMENT FOR VIRTUAL MACHINES - A system and method for display power management in a virtualized environment are disclosed. In accordance with one embodiment, a hypervisor receives a notification that a host operating system has received a command to dim a display, and forwards the notification to a guest operating system hosted by a virtual machine. The hypervisor receives from the guest operating system a first signal that indicates that the hypervisor is to notify the host operating system to refrain from executing the command. The hypervisor then transmits a second signal that notifies the host operating system to refrain from executing the command. | 12-05-2013 |
20130326509 | ROBUST NON-SHAREABLE RESOURCE ACCESS UNDER LIVE VIRTUAL MACHINE CLONING - A system and method are disclosed for preventing concurrent access by a virtual machine and a clone of the virtual machine to a resource in a non-shareable state. In accordance with one embodiment, a hypervisor receives a command to clone a virtual machine, and determines whether any resource used by the virtual machine is in a non-shareable state (e.g., the virtual machine holds a lock on a resource, a resource comprises memory that stores secure data, etc.). When any resource used by the virtual machine is in a non-shareable state, the hypervisor delays cloning of the virtual machine until all resources used by the virtual machine are in a shareable state. | 12-05-2013 |
20130326510 | VIRTUALIZATION-BASED ENVIRONMENTS FOR PROBLEM RESOLUTION - According to one aspect of the present disclosure a method and technique for allocating virtualization-based resources for resolving a problem report associated with a computing environment is disclosed. The method includes: receiving a problem report associated with a computing environment; determining a resource template from the problem report corresponding to the computing environment; determining whether a virtual machine is available from a virtualization-based resource pool based on the resource template; and responsive to determining that a virtual machine is available from the virtualization-based resource pool based on the resource template, utilizing the virtual machine for the problem report. | 12-05-2013 |
20130326511 | HYPERVISOR PRINTER EMULATION FOR VIRTUAL MACHINES - A virtual machine manager (VMM) provides an emulated printer to a guest operating system. The virtual machine manager is configured to receive a printer mechanism type, receive an output type, and configure the emulated printer according to the printer type, printer mechanism type, and output type. The VMM, in one embodiment, also provides a virtual communication channel over which a guest operating system may identify and communicate with the emulated printer. The guest operating system installs a printer driver corresponding to the emulated printer, prints an object to the emulated printer via the virtual communication bus, and the VMM creates a print image of the object before communicating the print image with a target destination. | 12-05-2013 |
20130326512 | MEDIA CONTENTION FOR VIRTUALIZED DEVICES - Methods and systems for providing media contention controls at a virtual desktop infrastructure (VDI) device are provided. More particularly, a VDI desktop media controller (DMC) application is provided. The VDI DMC application is executed by the VDI client device. Accordingly, the VDI DMC application provides local control of media. In addition, the VDI DMC application can provide for local control of multiple media streams received simultaneously. | 12-05-2013 |
20130326513 | METHOD AND SYSTEM FOR CROSS-OPERATING SYSTEMS EXECUTION OF SOFTWARE APPLICATIONS - A method for cross-operating systems execution of a legacy software application on a user computing device is provided. The method comprises upon launching a native application on the user computing device, executing, on a server, a cloudified application corresponding to the legacy software application, the legacy software application is compliant with a first operating system, wherein the user computing device is compliant with a second operating system, the first operating system and the second operating system are incompatible with each other; rendering outputs responsive of inputs generated by the native application and received at the cloudified application; streaming the rendered outputs to the user computing device to be displayed by the native application; and performing file system operations requested by at least one of the native application and the cloudified application on at least a cloud storage service. | 12-05-2013 |
20130326514 | METHOD AND APPARATUS FOR SUPPORTING VIRTUALIZATION OF LOADABLE MODULE - Disclosed herein is a method and apparatus for supporting virtualization. In the method, conversion of source code of a loadable module is initiated. A virtualization-sensitive instruction is searched for during the conversion of the source code. If the virtualization-sensitive instruction has been found, a virtualization-sensitive instruction table is generated based on the found virtualization-sensitive instruction. The virtualization-sensitive instruction is substituted with an instruction recognizable in a privileged mode, based on the generated virtualization-sensitive instruction table. The loadable module is loaded and executed in a kernel. Accordingly, the present invention supports virtualization, thus minimizing overhead occurring in full virtualization, and guaranteeing the high performance provided by para-virtualization without modifying a source. | 12-05-2013 |
20130326515 | DEVICE, RECORDING MEDIUM, AND METHOD - A device includes a memory which stores a program, and a processor which executes, based on the program, a procedure comprising deciding, based on maximum bandwidth information included in bandwidth setting information corresponding to a network device existing on a route between a physical server serving as an allocation destination candidate of a virtual machine and a gateway, maximum bandwidth information of the virtual machine, available bandwidth information of the network device, and hardware resource information of the physical server, and instructing a decided physical server to create the virtual machine. | 12-05-2013 |
20130326516 | CLOUD COMPUTING GATEWAY, CLOUD COMPUTING HYPERVISOR, AND METHODS FOR IMPLEMENTING SAME - Embodiments of the present invention provide a cloud gateway system, a cloud hypervisor system, and methods for implementing same. The cloud gateway system extends the security, manageability, and quality of service membrane of a corporate enterprise network into cloud infrastructure provider networks, enabling cloud infrastructure to be interfaced as if it were on the enterprise network. The cloud hypervisor system provides an interface to cloud infrastructure provider management systems and infrastructure instances that enables existing enterprise systems management tools to manage cloud infrastructure substantially the same as they manage local virtual machines via common server hypervisor APIs. | 12-05-2013 |
20130326517 | VIRTUALIZATION AND DYNAMIC RESOURCE ALLOCATION AWARE STORAGE LEVEL REORDERING - A system and method for reordering storage levels in a virtualized environment includes identifying a virtual machine (VM) to be transitioned and determining a new storage level order for the VM. The new storage level order reduces a VM live state during a transition, and accounts for hierarchical shared storage memory and criteria imposed by an application to reduce recovery operations after dynamic resource allocation actions. The new storage level order recommendation is propagated to VMs. The new storage level order applied in the VMs. A different storage-level order is recommended after the transition. | 12-05-2013 |
20130326518 | Efficient Virtualization of Input/Output Completions for a Virtual Device - Completion interrupts corresponding to I/O requests issued by a virtual machine guest, which runs on a host platform, are virtualized in such a way that I/O completion interrupts to the requesting guest are delivered no faster than it can stably handle them, but, when possible, faster than the nominal speed of a virtual device to which a virtual machine addresses the I/O request. In general, completion events received from the host platform in response to guest I/O requests are examined with respect to time. If enough time has passed that the virtual device would normally have completed the I/O request, then the completion interrupt is delivered to the guest. If the nominal time has not elapsed, however, the invention enqueues and time-stamps the event and delivers it at the earliest of a) the normal maturity time, or b) at a safepoint. | 12-05-2013 |
20130326519 | VIRTUAL MACHINE CONTROL STRUCTURE SHADOWING - Embodiments of apparatuses and methods for processing virtual machine control structure shadowing are disclosed. In one embodiment, an apparatus includes instruction hardware, execution hardware, and control logic. The instruction hardware is to receive instructions. A first instruction is to transfer the processor from a root mode to a non-root mode. The non-root mode is for executing guest software in a virtual machine, where the processor is the return to root mode upon the detection of a virtual machine exit event. A second instruction is to access a data structure for controlling a virtual machine. The execution hardware is to execute the instructions. The control logic is to cause the processor to access a shadow data structure instead of the data structure, without returning to the root mode for the access to be performed, when the second instruction is executed in the non-root mode. | 12-05-2013 |
20130332920 | LIVE VIRTUAL MACHINE TEMPLATE CREATION - A system and method are disclosed for creating a virtual machine template from a live virtual machine. In accordance with one embodiment, a computer system creates a live snapshot of a virtual machine, and creates a clone of the virtual machine based on the live snapshot. The computer system then initiates execution of the clone, shuts down the clone, and creates a virtual machine template based on the clone, where the virtual machine template enables the creation of another virtual machine that corresponds to the live snapshot of the original virtual machine. | 12-12-2013 |
20130332921 | VIRTUAL MACHINE IMAGE WRITE LEASING - A system that includes multiple hosts, each having physical resources, a hypervisor, and a host agent that causes the virtual machines to operate above the hypervisor so as to be abstracted from the physical resources. A host fabric reviews requests to instantiate or upgrade a virtual machine, identifies a corresponding virtual machine image to instantiate the virtual machine from in order to honor the request, and determines whether the virtual machine to be instantiated has a valid lease on the virtual machine image. If the requestor does not have a valid lease, the request is blocked. If the requestor does have a valid lease, the host fabric facilitates the instantiation of the virtual machine from the virtual machine image. This prevents or eliminates the chances of multiple instances of the same virtual machine image writing to the virtual machine image at the same time. | 12-12-2013 |
20130332922 | SOFTWARE HANDLING OF HARDWARE ERROR HANDLING IN HYPERVISOR-BASED SYSTEMS - Errors occurring on a hardware bus of a hypervisor-based system may be handled in software monitors in the hypervisor-based system. When an error occurs, guest partitions on the hypervisor-based system may be notified of the error through a monitor executing in each guest partition. Only guest partitions affected by the error may be shut down or provided other instructions for taking an action in response to the error. | 12-12-2013 |
20130332923 | OPERATING SYSTEM - Among other things, a guest operating system is refreshed from a master image of the guest operating system repeatedly in connection with use of one or more electronic devices on which the guest operating system is hosted. A guest operating system is executed on a virtual machine, and, from time to time, while the virtual machine is running, the guest operating system is reloaded from a master image of the guest operating system. | 12-12-2013 |
20130332924 | OPERATING SYSTEM - Among other things, a guest operating system is refreshed from a master image of the guest operating system repeatedly in connection with use of one or more electronic devices on which the guest operating system is hosted. A guest operating system is executed on a virtual machine, and, from time to time, while the virtual machine is running, the guest operating system is reloaded from a master image of the guest operating system. | 12-12-2013 |
20130332925 | COMPUTER SYSTEM - There is a need to provide a computer system capable of preventing a failure from propagating and recovering from the failure. | 12-12-2013 |
20130332926 | PREDICTABLE COMPUTING IN VIRTUALIZATED DISTRIBUTED COMPUTER SYSTEMS BASED ON PARTITIONING OF COMPUTATION AND COMMUNICATION RESOURCES - The invention relates to a method for providing guaranteed quality of service in distributed computing platforms for execution of distributed applications, by combining: i) a partitioned operating system (POS) or a hypervisor ( | 12-12-2013 |
20130332927 | SYSTEM AND METHOD FOR MANAGING RESOURCES IN VIRTUALIZED ENVIRONMENT - The present invention discloses a system of managing resources in virtualized environment, including OSS and its associated NMS and EMS, service delivery platform, master, slave, network switches and shared storage devices. Virtual machines run on the slave. Service delivery platform is connected to the OSS, and sends a request to master for resources. Master is connected to network switch and slaves, and controls slaves and virtual machines running on slave. Slave is connected to network switch, shared storage device and TMN. Shared storage device is connected to network switch, slave and master. The disclosed invention can efficiently and reliably manage physical device resources (including servers, storage, network equipment, etc.) and virtual device resources (including virtual machines, virtual storage, virtual switches, etc.) centrally, and implements transaction-level management of all kinds of resources in virtualized environment through a distributed transaction processing method. | 12-12-2013 |
20130339947 | METHOD AND SYSTEM FOR HYPERVISOR-BASED SERVICE MANAGEMENT - A system for migrating services including a primary virtual environment (VE) with a service manager and a VE manager, and a secondary VE, where both VEs execute a plurality of services, a set of physical hardware, and a hypervisor including a service module for storing extended service attributes for each service. The hypervisor manages migration one of the plurality of services executing on a source VE, obtain hardware requirements of the source VE, obtain extended service attributes associated with the one of the plurality of services to be migrated, and select a target VE for migration of the service. The target VE is selected based on the source VE data and the extended service attributes of the one service. The hypervisor migrates the one service by configuring the target VE and installing the service on the target VE using install scripts that execute on the target VE. | 12-19-2013 |
20130339948 | REAL TIME MEASUREMENT OF VIRTUALIZATION I/O PROCESSING DELAYS - A computer system includes memory divided into a plurality of logical partitions (LPARs), each LPAR running one of a plurality of instances of an operating system (O/S). The system also includes a processor configured to run a hypervisor program to manage operation of the plurality of LPARs. The processor determines a reference I/O service time of an I/O operation on a server, measures an actual I/O service time of the operation, and determines a delay time of virtualization on the server based on the reference I/O service time and the actual I/O service time. | 12-19-2013 |
20130339949 | Provisioning of a Virtual Machine by Using a Secured Zone of a Cloud Environment - Apparatuses, computer readable media, methods, and systems are described for providing a list of cloud orchestrator clients, each of the clients being associated with one of a plurality of cloud provider data centers, processing an instruction to at least one of create a virtual machine and change a state of the virtual machine, select a particular one of the cloud orchestrator clients associated with a particular one of the cloud provider data centers where the virtual machine is to be created or where the virtual machine currently resides, generating a provisioning request instructing the particular cloud orchestrator client to perform at least one of creating the virtual machine and changing the state of the virtual machine, and communicating the request to the particular cloud orchestrator client. | 12-19-2013 |
20130339950 | INTERMEDIARY VIRTUAL MACHINE TASK MANAGEMENT - A system in which a virtual machine manager determines tasks that are to be performed on virtual machines executing on a host computing system. The host computing system further executes an intermediary virtual machine task management module that receives virtual machine tasks from the virtual machine manager. Upon request from the virtual machines, the intermediary module identifies the tasks that are to be performed on the requesting virtual machine to the requesting virtual machine. The virtual machines may perhaps also initiate the performance of such identified tasks. Since the virtual machine itself is initiating contact with the intermediary module, and is not interacting directly with the virtual machine manager, the virtual machine manager need not be in the same sphere of trust as the virtual machine. | 12-19-2013 |
20130339951 | REAL TIME MEASUREMENT OF VIRTUALIZATION I/O PROCESSING DELAYS - A method includes determining a reference I/O service time of an I/O operation on a server and measuring, with a processor of the server running a hypervisor configured to manage a plurality of logical partitions (LPARs), each LPAR running one of a plurality of instances of an operating system (O/S), an actual I/O service time of the I/O operation. The method also includes determining, by the processor, a delay time of virtualization on the server based on the reference I/O service time and the actual I/O service time. | 12-19-2013 |
20130339952 | PROVISIONING A PASS-THROUGH DISK IN A VIRTUALIZED COMPUTING ENVIRONMENT - A physical host machine determines that a storage device from a network storage system is available to the host machine as a pass-through disk. Virtualization software running on the host machine assigns the pass-through disk to a temporary resource group on the host machine. The pass-through disk is logically attached to the virtual machine running on the host machine and made available to an operating system and application running on the virtual machine. | 12-19-2013 |
20130339953 | VM INTER-PROCESS COMMUNICATION - A method for enabling inter-process communication between a first application and a second application, the first application running within a first context and the second application running within a second context of a virtualization system is described. The method includes receiving a request to attach a shared region of memory to a memory allocation, identifying a list of one or more physical memory pages defining the shared region that corresponds to the handle, and mapping guest memory pages corresponding to the allocation to the physical memory pages. The request is received by a framework from the second application and includes a handle that uniquely identifies the shared region of memory as well as an identification of at least one guest memory page corresponding to the memory allocation. The framework is a component of a virtualization software, which executes in a context distinct from the context of the first application. | 12-19-2013 |
20130339954 | FEATURE DRIVEN BACKEND SWITCHING - A method and system for switching backend devices in a virtual machine system based on features of the backend devices. In one embodiment, a computer system includes at least a first device and a second device that function as a backend device. The first device is currently used by a guest of the computer system as the backend device. A hypervisor of the computer system receives an indication from the guest to use a feature of the backend device. The hypervisor determines whether the use of the feature of the backend device triggers a switching condition. In response to a determination that the switching condition is triggered, the guest is switched to use the second device as the backend device. The switching operation can be transparent to the guest. | 12-19-2013 |
20130339955 | SR-IOV FAILOVER & AGGREGATION CONTROL SYSTEM TO ENSURE WITHIN-PHYSICAL-PORT VEB LOOPBACK - Methods, apparatus and systems for effecting Virtual Machine (VM) platform communication loopback and enabling user initiated network port failover. Network communications in platforms employing VMs and having multiple network ports accessible to the VMs are configured to be routed such that communications between VMs in the platform are looped back within the platform, thus not require routing through an external switch. This is facilitated via an Intelligent Channel Bonding Driver that dynamically enforces inter-VM traffic to ensure internal loopback within the same physical port. In another aspect, a user is enabled to initiate failover of a network port on a platform employing a Virtual Machine Manager and a plurality of VMs, wherein updated configuration information is sent to disable Virtual Function (VF) drivers associated with the network port. | 12-19-2013 |
20130339956 | COMPUTER SYSTEM AND OPTIMAL ARRANGEMENT METHOD OF VIRTUAL MACHINE IN COMPUTER SYSTEM - The present invention obtains an estimated load of a virtual machine which is scheduled to operate from estimated information which operates a virtual machine in a computer system and determines an executing entity of the virtual machine which arranges a computer to be operated before an operation starting time of a virtual machine which is scheduled to operate in the computer system from the estimated load and an actual load of the virtual machine which is being operated. | 12-19-2013 |
20130339957 | SHARING INTERNET CAPABILITY OF A MOBILE COMPUTING DEVICE WITH A CLIENT COMPUTING DEVICE USING A VIRTUAL MACHINE - Example embodiments relate to use of a virtual machine image for sharing Internet access available to a mobile computing device. In example embodiments, a virtual machine image maintained on a storage device of a mobile computing device is received in a client computing device. A guest operating system (OS) contained in the virtual machine image may then be executed on the client computing device. Network data may then be exchanged between the guest OS and the mobile computing device over an interface between the client computing device and the mobile computing device. | 12-19-2013 |
20130339958 | PROCESSING OF DIGITAL DATA, IN PARTICULAR MEDICAL DATA BY A VIRTUAL MACHINE - The present invention relates to a virtual machine (VM) for processing digital data (MD), in particular medical data by executing a digital data processing application program, in particular a medical data application program called MeDPAP, the virtual machine (VM) being a simulation of a computer, the virtual machine comprising at least the following components: a MeDPAP controller (MC) which is constituted—so that it can be addressed by a Uniform Resource Identifier called VM-URI via a wide area network (WAN), —to support direct interoperable interaction with a client application (MCA) over the wide area network (WAN), —to assign a Uniform Resource Identifier called MeDPAP-URI to the MeDPAP, and —to send the assigned MeDPAP-URI to the client application via the wide area network (WAN); and the MeDPAP which is constituted—to process the digital data (MD), —so that it can be addressed by the client application via the wide area network (WAN) by using the MeDPAP-URI, and —to support direct interaction with the client application over the wide area network for receiving instructions from the client application (MCA) to process the digital data. | 12-19-2013 |
20130346966 | MONITORING RESOURCE USAGE BY A VIRTUAL MACHINE - Embodiments of apparatus, computer-implemented methods, systems, devices, and computer-readable media are described herein for tracking per-virtual machine (“VM”) resource usage independent of a virtual machine monitor (“VMM”). In various embodiments, a first logic unit may associate one or more virtual central processing units (“vCPUs”) operated by one or more physical processing units of a computing device with a first VM of a plurality of VMs operated by the computing device, and collect data about resources used by the one or more physical processing units to operate the one or more vCPUs associated with the first VM. In various embodiments, a second logic unit of the computing device may determine resource-usage by the first VM based on the collected data. In various embodiments, the first and second logic units may perform these functions independent of a VMM of the computing device. | 12-26-2013 |
20130346967 | Determining Placement Fitness For Partitions Under A Hypervisor - A technique for determining placement fitness for partitions under a hypervisor in a host computing system having non-uniform memory access (NUMA) nodes. In an embodiment, a partition resource specification is received from a partition score requester. The partition resource specification identifies a set of computing resources needed for a virtual machine partition to be created by a hypervisor in the host computing system. Resource availability within the NUMA nodes of the host computing system is assessed to determine possible partition placement options. A partition fitness score of a most suitable one of the partition placement options is calculated. The partition fitness score is reported to the partition score requester. | 12-26-2013 |
20130346968 | Automated controlling of host over network - The provisioning of a host computing system by a controller located over a wide area network. The host computing system has power-on code that automatically executes upon powering up, and causes the host to notify the controller of the host address. In a first level of bootstrapping, the controller instructs the host to download a maintenance operating system. The host responds by downloading and installing a maintenance operating system, enabling further bootstrapping. The persistent memory may further have security data, such as a public key, that allows the host computing system to securely identify the source of the download instructions (and subsequent instructions) as originating from the controller. A second level of bootstrapping may accomplish the configuring of the host with a hypervisor and a host agent. A third level of bootstrapping may accomplish the provisioning of virtual machines on the host. | 12-26-2013 |
20130346969 | Opportunistically Proactive Resource Management Using Spare Capacity - Embodiments perform opportunistically proactive resource scheduling for a plurality of resource-consuming entities. The scheduling is based on both current entitlement (or demand) by the entities and predicted future entitlement (or demand) by the entities. Resources are allocated based on the current demands, while any remaining resource capacity is further allocated to entities based on predicted demands. In some embodiments, the scheduling is performed on a cluster of hosts executing a plurality of virtual machines (VMs) in a virtualized datacenter to implement load balancing. | 12-26-2013 |
20130346970 | SYSTEMS AND METHODS FOR EVENT STREAM PROCESSING - Disclosed are systems and methods for processing events in an event stream using a map-update application. The events may be embodied as a key-attribute pair. An event is processed by one or more instances implementing either a map or an update function. A map function receives an input event from the event stream and publishes one or more events to the event stream. An update function receives an event and updates a corresponding slate and publishes zero or more events. Systems and methods are also disclosed herein for implementing a map-update application in a multithreaded architecture and for handling overloading of a particular thread or node. Systems and methods for providing access to slates updated according to update operations are also disclosed. | 12-26-2013 |
20130346971 | COMMUNICATION METHOD OF VIRTUAL MACHINES AND SERVER-END SYSTEM - A communication method of virtual machines and a server-end system are provided. A virtual hardware address is assigned to a virtual machine when the virtual machine are established, wherein the virtual hardware address includes a tenant identity. A validation procedure for a packet is performed when the virtual machine desires to communicate with another virtual machine by transmitting the packet, so as to determine whether the virtual hardware addresses of the source-end and the destination-end in the packet have the same tenant identity. If the both virtual hardware addresses have the same tenant identity, the packet is transmitted to the another virtual machine. | 12-26-2013 |
20130346972 | Determining Placement Fitness For Partitions Under A Hypervisor - A technique for determining placement fitness for partitions under a hypervisor in a host computing system having non-uniform memory access (NUMA) nodes. In an embodiment, a partition resource specification is received from a partition score requester. The partition resource specification identifies a set of computing resources needed for a virtual machine partition to be created by a hypervisor in the host computing system. Resource availability within the NUMA nodes of the host computing system is assessed to determine possible partition placement options. A partition fitness score of a most suitable one of the partition placement options is calculated. The partition fitness score is reported to the partition score requester. | 12-26-2013 |
20130346973 | MANAGEMENT SERVER, AND VIRTUAL MACHINE MOVE CONTROL METHOD - A program that performs a virtual machine move control predicts a resource shortage, predicted to occur for a predetermined time period, of a physical server that includes multiple virtual machines and that is included in a management server; specifies a virtual machine that eliminate the resource shortage by moving, at a time point at which the predicted resource shortage occurs, among the virtual machine included in the physical server, for which the resource shortage is predicted, to another physical server; and moves the specified virtual machine to the other physical server on the basis of the resource usage of the specified virtual machine for the predetermined time period and on the basis of a time point that is associated with the resource usage. | 12-26-2013 |
20130346974 | Systems and Methods for Transparently Optimizing Workloads - Systems, methods, and media for transparently optimizing a workload of a containment abstraction are provided herein. Methods may include monitoring a workload of the containment abstraction, the containment abstraction being at least partially hardware bound, the workload corresponding to resource utilization of the containment abstraction, converting the containment abstraction from being at least partially hardware bound to being entirely central processing unit (CPU) bound by placing the containment abstraction in a memory store, based upon the workload, and allocating the workload of the containment abstraction across at least a portion of a data center to optimize the workload of the containment abstraction. | 12-26-2013 |
20130346975 | MEMORY MANAGEMENT METHOD, INFORMATION PROCESSING DEVICE, AND COMPUTER-READABLE RECORDING MEDIUM HAVING STORED THEREIN MEMORY MANAGEMENT PROGRAM - A computer that includes arithmetic processing units, a main memory, and a cache memory that is shared, and allows virtual computers to operate executes the following process. In other words, an instruction to arrange a program on a region of a virtualized virtual memory is given. Then, when the instruction is received, it is determined whether or not a program of an arrangement target remains arranged on the main memory. When it is determined that the program of the arrangement target remains arranged on the main memory, a region of the main memory on which the program of the arrangement target is arranged is associated with the region of the virtual memory. However, when it is determined that the program of the arrangement target does not remain arranged on the main memory, the program of the arrangement target is arranged on the region of the virtual memory. | 12-26-2013 |
20130346976 | CLONING VIRTUAL MACHINES - While current solutions for cloning virtual machines can involve copying and duplicating files associated to a virtual machine (VM), systems and techniques can be devised to create thin clones of a VM using the VM's associated storage system to copy and deduplicate storage for the VMs. One can create a base VM in a directory of a storage container attached to a hypervisor, and then map the storage container to a volume on a storage system. One can clone the base VM using a snapshot of respective files associated to the base VM, which can comprise creating metadata that identified a physical storage location of the files. Further, the metadata can then be copied to a desired location on the storage container, to create a VM clone. Once copied, the cloned VM can be customized and registered within the hypervisor. | 12-26-2013 |
20130346977 | VIRTUAL MACHINE SUPERVISION - An arrangement in a virtual machine for virtual machine supervision is provided. The arrangement comprises a translator operable in the virtual machine. The translator comprises a receiving unit adapted to receive program code to be executed on the virtual machine and a rule referring to the execution of the program code. The arrangement further comprises a code unit adapted to create rule infused machine code based on the program code and the rule, such that the rule is enforced when the machine code is executed on the virtual machine. | 12-26-2013 |
20130346978 | ACCESSING A DEVICE ON A REMOTE MACHINE - Disclosed is a method that may include hosting, by a virtual machine manager of a local machine, a virtual machine having a device driver. The method may include obtaining, by the virtual machine manager, from a stub driver on the remote machine, information about the I/O device on the remote machine. The I/O device on the remote machine may be bound to the stub driver on the remote machine. The method may include instantiating, by the virtual machine manager, a virtual I/O device on the local machine corresponding to the I/O device on the remote machine. The method may include collaborating, by the virtual machine manager, with the stub driver on the remote machine to effectuate a real access to the I/O device on the remote machine for an access to the virtual I/O device by the device driver on behalf of a program on the local machine. Other embodiments may be described and claimed. | 12-26-2013 |
20140007085 | ADJUSTING ADMINSTRATIVE ACCESS BASED ON WORKLOAD MIGRATION | 01-02-2014 |
20140007086 | METHOD AND APPARATUS FOR VIRTUAL MACHINE INTEROPERABILITY | 01-02-2014 |
20140007087 | VIRTUAL TRUSTED PLATFORM MODULE | 01-02-2014 |
20140007088 | METHOD AND APPARATUS TO REPLICATE STATEFUL VIRTUAL MACHINES BETWEEN CLOUDS | 01-02-2014 |
20140007089 | MIGRATING VIRTUAL MACHINES BETWEEN COMPUTING DEVICES | 01-02-2014 |
20140007090 | SIMULTANEOUS PROBING OF MULTIPLE SOFTWARE MODULES OF A COMPUTER SYSTEM | 01-02-2014 |
20140007091 | Maintaining hardware resource bandwidth quality-of-service via hardware counter | 01-02-2014 |
20140007092 | AUTOMATIC TRANSFER OF WORKLOAD CONFIGURATION | 01-02-2014 |
20140007093 | HIERARCHICAL THRESHOLDS-BASED VIRTUAL MACHINE CONFIGURATION | 01-02-2014 |
20140007094 | METHOD AND APPARATUS TO REPLICATE STATEFUL VIRTUAL MACHINES BETWEEN CLOUDS | 01-02-2014 |
20140007095 | ADJUSTING ADMINSTRATIVE ACCESS BASED ON WORKLOAD MIGRATION | 01-02-2014 |
20140007096 | Maintaining hardware resource bandwidth quality-of-service via hardware counter | 01-02-2014 |
20140007097 | DYNAMIC RESOURCE ALLOCATION FOR VIRTUAL MACHINES | 01-02-2014 |
20140007098 | PROCESSOR ACCELERATOR INTERFACE VIRTUALIZATION | 01-02-2014 |
20140007099 | METHOD AND APPARATUS TO IMPROVE EFFICIENCY IN THE USE OF RESOURCES IN DATA CENTER | 01-02-2014 |
20140007100 | Method and Apparatus for Migrating Virtual Machine Parameters and Virtual Machine Server | 01-02-2014 |
20140007101 | CHANGING FREQUENCY OF A VIRTUAL PROGRAMMABLE INTERRUPT TIMER IN VIRTUAL MACHINES TO CONTROL VIRTUAL TIME | 01-02-2014 |
20140013321 | METHOD FOR PROVIDING CLOUD COMPUTING RESOURCES - A method is described for providing cloud computing resources. The cloud computing resources having a plurality of virtual machine hours and/or bandwidth storage to be provided by a user and intended to attend a number of requests from the user, the requests including a plurality of tasks per second, the method portioning the virtual machine hours uniformly divided in units among several periods of time and providing access to the units virtual machine hours in response to said user's requests and dynamically allocating the cloud computing resources provided, by means of a temporal load awareness scheme. | 01-09-2014 |
20140013322 | VIRTUAL MACHINE IMAGE DISTRIBUTION NETWORK - Virtual machine images are distributed to, and retrieved from an image distribution network. A virtual machine image is received on the image distribution network and divided into chunks with a unique resource identifier created for each of the chunks. A virtual machine image reassembly file is created. Each of the chunks is assigned a unique resource locator address. An image distribution network server maintains a mapping between unique resource identifier for each of the chunks and the unique resource locator address. When a request to download a virtual machine image is received, chunks of the virtual machine image that are locally present are identified. Chunks of the virtual machine image that are not locally present are mapped to unique resource locator addresses in the image distribution network. When a sufficient number of chunks has been retrieved to instantiate the virtual machine image, the virtual machine image is instantiated. | 01-09-2014 |
20140013323 | SYSTEM AND METHOD FOR DYNAMIC SCALING BASED ON A REFERENCE ARCHITECTURE - A method includes, on a virtualization platform, creating a first runtime instance of a virtual appliance. The virtual appliance includes a solution stack of software components selected from a reference architecture. The method further includes, responsive to satisfaction of at least one performance criterion, dynamically scaling-out the solution stack to one or more additional runtime instances of the virtual appliance. The dynamically scaling-out includes distributing a subset of the solution stack to each of the first runtime instance and the one or more additional runtime instances. | 01-09-2014 |
20140013324 | PACKET FORWARDING OPTIMIZATION WITH VIRTUAL MACHINE MOBILITY - In one embodiment, a method includes tracking at a network device in communication with a plurality of virtual machines, virtual machine movement based on a device identifier in a packet received from one of the virtual machines and storing location information for the virtual machine in a virtual machine move list at the network device. The location information from the virtual machine move list is inserted into a forwarding information base for use in optimized forwarding of packets destined for the virtual machine. An apparatus and logic are also disclosed herein. | 01-09-2014 |
20140013325 | MANAGING VIRTUAL MACHINES USING OWNER DIGITAL SIGNATURES - A computer system is disclosed that includes a host operating system and a virtual hypervisor that operates under management of the host operating system to control operations of virtual machines operating under management of the virtual hypervisor. The virtual hypervisor provides an interface between the virtual machines and the host operating system. A signing component generates digital signatures which identify owners of the virtual machines and associates the digital signatures with the virtual machines. A signature validation component determines the owners of the virtual machines using the digital signatures and responsive to occurrence of defined events. Related methods and computer program products for operating computer systems are also disclosed. | 01-09-2014 |
20140013326 | Instruction-Set Support for Invocation of VMM-Configured Services without VMM Intervention - A processing core comprising instruction execution logic circuitry and register space. The register space to be loaded from a VMCS, commensurate with a VM entry, with information indicating whether a service provided by the processing core on behalf of the VMM is enabled. The instruction execution logic to, in response to guest software invoking an instruction: refer to the register space to confirm that the service has been enabled, and, refer to second register space or memory space to fetch input parameters for said service written by said guest software. | 01-09-2014 |
20140013327 | Trusted Boot of a Virtual Machine - A method, system and program product for performing a trusted boot of a virtual machine comprises the steps of executing, in turn, a series of components of the trusted boot, performing a function on each component prior to the execution of the respective component, storing the output of the functions in a virtual trusted platform module, detecting that the virtual trusted platform module has not responded to the storing of the output of a function in the virtual trusted platform module, and generating a request that the virtual trusted platform module be disabled. | 01-09-2014 |
20140013328 | Method And System For Abstracting Virtual Machines In A Network - One or more processors and/or one or more circuits may be operable to configure one or more virtual machines and a hypervisor for controlling the one or more virtual machines. The virtual machines and the hypervisor may be distributed across a plurality of network devices. A sub-hypervisor may be configured within each of the virtual machines utilizing the hypervisor. Load information of the network devices may be communicated to the hypervisor utilizing the sub-hypervisors. The virtual machines may include threads, may be load balanced utilizing the hypervisor, dynamically configured utilizing the hypervisor based on changes in the network devices, and scaled by the distribution of the virtual machines across the network devices. Information from the processing of data may be received in the virtual machines. The network devices may include a plurality of: servers, switches, routers, racks, blades, mainframes, personal data assistants, smart phones, desktop computers, and/or laptop devices. | 01-09-2014 |
20140019959 | AUTOMATED PROVISIONING OF VIRTUAL MACHINES - Virtual machines in a network may be isolated by encrypting transmissions between the virtual machines with keys possessed only by an intended recipient. Within a network, the virtual machines may be logically organized into a number of community-of-interest (COI) groups. Each COI may use an encryption key to secure communications within the COI, such that only other virtual machines in the COI may decrypt the message. Virtual machines may be automatically provisioned with configuration information, such as the encryption keys, when the virtual machine is started. The provisioning information may be created based on a template stored on a configuration server. | 01-16-2014 |
20140019960 | SYSTEMS AND METHODS OF CREATING CUSTOM VIRTUAL MACHINES - Systems and techniques of the creation of Virtual Machines (VM) from user requests to a Virtual Takeaway (VT) system are presented. In one embodiment, the VT system comprises a website to which the user may make requests for either pre-defined VM builds or customized VM builds. The VT system may also comprise a set of virtualization servers to which the requests for VM may be affected. In addition, the VT system may comprises a VT controller which may schedule jobs for the completion of user requests, to keep track of status of the state of various VM build requests and to perform administrative jobs for the efficient operation of the system. | 01-16-2014 |
20140019961 | System and Method for Automated Assignment of Virtual Machines and Physical Machines to Hosts - A system and method for reconfiguring a computing environment comprising a consumption analysis server, a placement server, an infrastructure management client and a data warehouse in communication with a set of data collection agents and a database. The consumption analysis server operates on measured resource utilization data to yield a set of resource consumptions in regularized time blocks, collects host and virtual machine configurations from the computing environment and determines available capacity for a set of target hosts. The placement server assigns a set of target virtual machines to the target set of hosts in a new placement. In one mode of operation the new placement is nearly optimal. In another mode of operation, the new placement is “good enough” to achieve a threshold score based on an objective function of resource capacity headroom. The new placement is implemented in the computing environment. | 01-16-2014 |
20140019962 | SCAN SYSTEMS AND METHODS OF SCANNING VIRTUAL MACHINES - A method and a system scan a virtual machine (VM). The method stores a first copy of a scan token associated with a first scan operation within a VM and stores a second copy of the scan token in a database accessible by a management module. Upon restarting of the VM, a scan token in the restarted VM is compared with a scan token associated with the restarted VM in the database. The scan token in the restarted VM is current when the scan token in the restarted VM matches the scan token in the database. A first scan operation is resumed on the restarted VM when it is determined that the scan token in the restarted VM is current, and a new first scan operation of the restarted VM is initiated when it is determined that the scan token in the restarted VM is not current. | 01-16-2014 |
20140019963 | CO-LOCATION OF VIRTUAL MACHINES WITH NESTED VIRTUALIZATION - Co-locating a virtual machine with nested virtualization, in one aspect, may comprise receiving a list of one or more virtual machine instances to co-locate with associated configuration information. A parent virtual machine instance may be identified to host the one or more virtual machine instances. Virtual machine images associated with the one or more virtual machine instances may be consolidated. A composite parent virtual machine image may be created based on the consolidated virtual machine images and the parent virtual machine instance. The parent virtual machine instance may be configured to accommodate for capacity requirement of the one or more child virtual machine instances. The parent virtual machine instance may be started on a processor via the composite parent virtual machine image. The one or more virtual machine instances may be started within the parent virtual machine instance as one or more child virtual machine instances. | 01-16-2014 |
20140019964 | SYSTEM AND METHOD FOR AUTOMATED ASSIGNMENT OF VIRTUAL MACHINES AND PHYSICAL MACHINES TO HOSTS USING INTERVAL ANALYSIS - A system and method for reconfiguring a computing environment comprising a consumption analysis server, a placement server and a data warehouse in communication with a set of data collection agents and a database. The consumption analysis server operates on measured resource utilization data to yield a set of resource consumptions in a set of regularized time blocks in a set of sample periods, and, to group regularized time blocks across a set of sample periods to form a set of interval groups. The placement server assigns a set of target virtual machines to the target set of hosts in a new placement and scores the new placement in an effort to meet a threshold score based on an objective function of resource capacity headroom. In one aspect, the scoring relies on percentile analysis of resource consumption in the interval groups. The new placement is implemented in the computing environment. | 01-16-2014 |
20140019965 | SYSTEM AND METHOD FOR AUTOMATED ASSIGNMENT OF VIRTUAL MACHINES AND PHYSICAL MACHINES TO HOSTS WITH RIGHT-SIZING - A system and method for reconfiguring a computing environment comprising a consumption analysis server, a placement server and a data warehouse in communication with a set of data collection agents and a database. The consumption analysis server operates on measured resource utilization data to yield a set of resource consumptions in regularized time blocks, collects host and virtual machine configurations from the computing environment and determines available capacity for a set of target hosts. The placement server assigns a set of target virtual machines to the target set of hosts in a new placement that is right-sized according to a set of right-sizing constraints and rules. In one mode of operation the new placement is nearly optimal. In another mode of operation, the new placement is “good enough” to achieve a threshold score based on an objective function of capacity headroom. The new placement is implemented in the computing environment. | 01-16-2014 |
20140019966 | SYSTEM AND METHOD FOR CONTINUOUS OPTIMIZATION OF COMPUTING SYSTEMS WITH AUTOMATED ASSIGNMENT OF VIRTUAL MACHINES AND PHYSICAL MACHINES TO HOSTS - A system and method for automatically reconfiguring a computing environment comprises a consumption analysis server, a placement server, a deployment server in communication with a set of virtual machine monitors and a data warehouse in communication with a set of data collection agents, and a database. The consumption analysis server operates on measured resource utilization data in the data warehouse to yield a set of resource consumptions, available capacities and host and virtual machine configurations from the computing environment. The deployment server continuously monitors an event triggering condition and when the triggering condition is met, the placement server assigns a set of target virtual machines to a target set of hosts in a new placement and the deployment server implements the new placement through communication with the set of virtual machine monitors. The placement server right-sizes the virtual machines and the target set of hosts. | 01-16-2014 |
20140019967 | PREPROVISIONING USING MUTATED TEMPLATES - A system, and computer program product for preprovisioning using a mutated template. A subset of templates is selected from a set of templates that can be provisioned to a data processing system, a template in the set of templates including data to create a virtual machine on the data processing system. The mutated template is constructed using the subset of templates. A manifest is constructed such that a template in the subset of templates can be reconstructed from the mutated template using the manifest. Instead of the subset of templates, the mutated template is preprovisioned to the data processing system. | 01-16-2014 |
20140019968 | CO-LOCATION OF VIRTUAL MACHINES WITH NESTED VIRTUALIZATION - Co-locating a virtual machine with nested virtualization, in one aspect, may comprise receiving a list of one or more virtual machine instances to co-locate with associated configuration information. A parent virtual machine instance may be identified to host the one or more virtual machine instances. Virtual machine images associated with the one or more virtual machine instances may be consolidated. A composite parent virtual machine image may be created based on the consolidated virtual machine images and the parent virtual machine instance. The parent virtual machine instance may be configured to accommodate for capacity requirement of the one or more child virtual machine instances. The parent virtual machine instance may be started on a processor via the composite parent virtual machine image. The one or more virtual machine instances may be started within the parent virtual machine instance as one or more child virtual machine instances. | 01-16-2014 |
20140019969 | CONFIGURING VM AND IO STORAGE ADAPTER VF FOR VIRTUAL TARGET ADDRESSING DURING DIRECT DATA ACCESS - A method is provided for use in a system that includes a host computing machine configured to implement a virtualization intermediary and that includes a physical storage adapter, the configures a virtual machine (VM) and a virtual function (VF) to support IO operations to physical storage through a direct IOV path to the VF of the physical storage adapter, the method comprises: creating by the virtualization intermediary mapping information that includes a first mapping between virtual disks and physical regions of physical storage and that includes a second mapping between virtual disks and virtual disk addresses; transmitting the mapping information from the virtualization intermediary over the physical storage adapter from a physical function (PF) of the physical storage adapter to the VF; associating a virtual port with the mapping information within the virtualization intermediary; binding the virtual port to the VF; communicating virtual disk addresses indicated within the second mapping within the transmitted mapping information for the allocated virtual disks to the VM. | 01-16-2014 |
20140019970 | VIRTUAL MACHINE MANAGEMENT SYSTEM AND VIRTUAL MACHINE MANAGEMENT METHOD - A migration of a virtual machine is performed to the nearest virtual-machine-operating server derived from location coordinates information of a client terminal, to establish a connection with a virtual-machine-operating server subjected to a reduced influence of the line delay. Specifically, a location optimization server on the network manages virtual-machine-operating servers on which a virtual machine providing a server for the client terminal operates, and orders a migration of the virtual machine to a destination virtual-machine-operating server selected depending on the location coordinates information related to the client terminal. In this operation, the location optimization server issues instructions related to the migration of the virtual machine to both of the virtual-machine-operating server on which the virtual machine is currently operating and the destination virtual-machine-operating server. | 01-16-2014 |
20140019971 | TECHNIQUES FOR DYNAMIC DISK PERSONALIZATION - Techniques for dynamic disk personalization are provided. A virtual image that is used to create an instance of a virtual machine (VM) is altered so that disk access operations are intercepted within the VM and redirected to a service that is external to the VM. The external service manages a personalized storage for a principal, the personalized storage used to personalize the virtual image without altering the virtual image. | 01-16-2014 |
20140019972 | SYSTEMS AND METHODS FOR PATH-BASED MANAGEMENT OF VIRTUAL SERVERS IN STORAGE NETWORK ENVIRONMENTS - Systems and methods for analyzing the service and performance levels associated with virtual machines in a storage network environment for compliance with a resource capacity policy are provided. Component configuration and connectivity information from components in the network environment is collected without using host agents on the virtual machines. Access paths defining end-to-end access relationships between an application on a virtual machine and storage data objects associated with the virtual machine in the network environment are derived. Access paths comprise sequences of components configured to enable information flow between an application residing on a virtual machine and a data object on a respective storage device. Access path resource consumption is computed and virtual machines with resource consumptions that violate the resource capacity policy are identified. | 01-16-2014 |
20140019973 | VIRTUALIZATION PROCESSING METHOD AND APPARATUSES, AND COMPUTER SYSTEM - A virtualization processing method and apparatuses, and a computer system are provided. Where a computing node includes: a hardware layer, a Host running on the hardware layer, and at least one virtual machine (VM) running on the Host, the hardware layer includes an I/O device, several corresponding virtual function (VF) devices are virtualized from the I/O device, the Host has several VF software instances, the several VF software instances and the several VF devices are in one-to-one correspondence; the Host further has a back-end instance (BE) of an I/O virtual device having the same type with the I/O device, the VM has a front-end instance (FE) of the I/O virtual device; the BE in the Host is bound with an idle VF software instance. The solutions of the embodiments of the present invention are beneficial to optimization of the performance and compatibility of a virtualization system. | 01-16-2014 |
20140019974 | MIGRATION MANAGEMENT APPARATUS AND MIGRATION MANAGEMENT METHOD - A migration management apparatus includes a first decision unit, a second decision unit, and a migration processing unit. The first decision unit simulates the migration of each virtual machine being a migration target to decide a migration destination. The second decision unit decides a migration mode of the virtual machine whose migration destination has been decided by the first decision unit based on the power status of the virtual machine. The migration processing unit, upon the migration destinations and migration modes of the virtual machines being the migration targets having been decided, migrates the virtual machines to the respective migration destinations decided by the first decision unit in the respective migration modes decided by the second decision unit. | 01-16-2014 |
20140026132 | Method and System for Emulation of Instructions and Hardware Using Background Guest Mode Processing - A method includes receiving, from a requesting guest environment, a device call requesting access to a device; sending the device call to an emulating guest environment, the emulating guest environment emulating the device; receiving, from the emulating guest environment, a result of the device call; and sending the result of the device call to the requesting guest environment. | 01-23-2014 |
20140026133 | VIRTUAL CONTAINER FOR NETWORK SYSTEMS - A first server is configured to receive performance data associated with a first virtual machine. The first virtual machine may be capable of communicating with a client device. The first server is further configured to determine whether the first virtual machine is overloaded based on the performance data, and send an instruction to a second server to generate a second virtual machine based on determining that the first virtual machine is overloaded. The second virtual machine may be capable of communicating with the client device. The first server is further configured to instruct the second virtual machine to communicate with the client device to reduce network load associated with the first virtual machine. | 01-23-2014 |
20140026134 | SYSTEMS AND METHODS FOR CONTROLLING, BY A HYPERVISOR, ACCESS TO PHYSICAL RESOURCES - A system for controlling, by a hypervisor, access to physical resources during execution of a virtual machine includes a physical disk and a hypervisor. The physical disk is provided by a computing device and stores at least a portion of a virtual disk. The hypervisor executes on the computing device. The hypervisor allocates, to the virtual disk, an amount of access to the physical disk. The hypervisor determines that a level of utilization of the physical disk has exceeded a threshold. The hypervisor limits, in response to the determination, access by the virtual disk to the physical disk. | 01-23-2014 |
20140026135 | INFORMATION HANDLING SYSTEM IMAGE MANAGEMENT DEPLOYMENT OF VIRTUAL MACHINE IMAGES TO PHYSICAL INFORMATION HANDLING SYSTEMS - Images for information handling system manufacture and maintenance are created and managed by manipulating the images as virtual machines through a secure remote network interface, such as a virtual private network or virtual desktop infrastructure. Operating system and application installation and updates, such as service packs and patches, are performed on a virtual machine of the image to adjust the image as desired, and then the image is transformed for loading on physical information handling systems, such as newly manufactured information handling systems or deployed information handling systems in need of maintenance. | 01-23-2014 |
20140033200 | METHOD AND SYSTEM TO PROVISION AND MANAGE A COMPUTING APPLICATION HOSTED BY A VIRTUAL INSTANCE OF A MACHINE - A system and method are described for provisioning and managing virtual instances of a computing application running within a public virtualization space (referred to as a hosted service system). A hosted service system may be configured to provide automated administration of the computing application, replacing the administration tasks that would otherwise be performed by the customer when running in an on-premise production deployment and to provide encrypted networking and other services that are specific to the public virtualization environment and are designed to provide a secure integration fabric between a customer's own private data center and virtual instances of the computing application running within an insecure public virtualization service. | 01-30-2014 |
20140033201 | System and Method of Replicating Virtual Machines for Live Migration Between Data Centers - A method includes providing a storage volume at a processing site, directing a storage controller of the processing site to asynchronously mirror the storage volume to another storage volume of another processing site that is remote from the first processing site, determining to migrate a virtual machine of the first processing site that is associated with the first storage volume to the second processing site, synchronizing the first and second storage volumes in response to the migration, live migrating the virtual machine to the second processing site and a second virtual machine of the second processing site with the second storage volume, and directing a second storage controller of the second processing site to asynchronously mirror the second storage volume to the first storage volume. | 01-30-2014 |
20140040883 | METHOD AND SYSTEM TO DETERMINE A WORK DISTRIBUTION MODEL FOR AN APPLICATION DEPLOYED ON A CLOUD - A system is described to determine a work distribution model for a computing application deployed on a cloud. In one embodiment, the system comprises a launch request detector, a provisioning module, and a work distribution module. The launch request detector may be configured to detect a request from a client device to launch a computing application. The provisioning module may be configured to provision an application container on a virtual instance of a machine for executing the computing application. The work distribution module may be configured to generate a work distribution model for the computing application, the work distribution model indicating a first portion of the computing application to be executed on the client device and a second portion of the computing application to be executed on the virtual instance of a machine. The client device may be a desktop device or a client device. | 02-06-2014 |
20140040884 | CLOUD BURSTING - A system is provided to reroute a request received within one virtualization service for processing within another virtualization service. The system comprises an offload manager, and a response service module. The offload manager may be configured to determine that an overflow request from a client computer system is suitable for being processed at a computer system provided within a second virtualization service and, in response, reroute the overflow request to a computer system provided within a second virtualization service. The response service module may be configured to receive a result of processing of the overflow request from the computer system provided within the second virtualization service and prepare the received result for communicating to the client computer system. | 02-06-2014 |
20140040885 | AUTONOMOUS APPLICATION-LEVEL AUTO-SCALING IN A CLOUD - A method and system for application-level auto-scaling in a cloud computing environment is provided. An example system includes a data collector and a scaling module. The data collector is to collect application-level data that reflects demand for a subject computing application executing on the virtual instance of a machine provided by a virtualization service. The scaling module is to select a scaling action based on the application-level data and issue a request to perform the scaling action with respect to the virtual instance of a machine. | 02-06-2014 |
20140040886 | SECURE OPERATIONS FOR VIRTUAL MACHINES - In one implementation, a secure operation system initiates a secure operation associated with a virtual machine hosted at a secured host, and determines when the secure operation is complete. In response to determining that the secure operation is complete, the secure operation system migrates the virtual machine to a host other than the secured host. | 02-06-2014 |
20140040887 | CUSTOMIZED VIRTUAL MACHINE CREATION - A method of creating a customized virtual machine comprises, with a processor, booting a virtual machine into a service operating system, with a customization agent, customizing a main operating system while the virtual machine is in maintenance mode, and rebooting the virtual machine into the main operating system of the virtual machine. A computer program product for creating a customized virtual machine comprises a computer readable storage medium comprising computer usable program code embodied therewith, the computer usable program code comprising computer usable program code to, when executed by a processor, boot a virtual machine into a service operating system, and computer usable program code to, when executed by a processor, customize a main virtual operating system while the virtual machine is in maintenance mode. | 02-06-2014 |
20140040888 | VIRTUAL MACHINE MIGRATION INTO THE CLOUD - The migration of virtual machines internal to a cloud computing environment. The cloud maintains the replicas for virtual machines that could be migrated. The cloud also is aware of location of user data for each of the virtual machines. The replica together with the user data, represents the virtual machine state. If migration to the cloud computing environment is to occur for any given virtual machine, the cloud computing environment correlates the replica with the user data for that virtual machine, and then uses the correlation to instantiate the virtual machine in the cloud. | 02-06-2014 |
20140040889 | Facilitating Customer-Initiated Virtual Machine Migration and Swapping - Techniques for facilitating customer-initiated virtual machine (VM) migration and swapping. A method includes obtaining documentation of at least one performance parameter of two or more virtual machines running on two or more physical machines, obtaining a request for a virtual machine exchange from a user of a first virtual machine, wherein the request comprises a preference for at least one desired performance parameter in at least one additional virtual machine, matching the request from the user of the first virtual machine with at least one additional virtual machine that includes the at least one desired performance parameter without assistance from a cloud provider, and exchanging the first virtual machine with a virtual machine from the at least one additional virtual machine that includes the at least one desired performance parameter. | 02-06-2014 |
20140040890 | TRUSTED EXECUTION ENVIRONMENT VIRTUAL MACHINE CLONING - Cloning of a virtual machine having a trusted executed environment such as a software-based trusted platform module. In order to clone the virtual machine, the virtual machine state of the source virtual machine is copied to formulate a target virtual machine state that is to be associated with a target virtual machine. The target virtual machine is a clone of the source virtual machine state, and thus the storage hierarchy of the trusted execution environment may be the same for the trusted execution environment in the source and target virtual machine states. However, because the identity of the target virtual machine is different than that of the source virtual machine, the endorsement hierarchy of the target virtual machine state is altered such that it is based on the identity of the target virtual machine, rather than the source virtual machine. | 02-06-2014 |
20140040891 | SELECTING PROVISIONING TARGETS FOR NEW VIRTUAL MACHINE INSTANCES - One embodiment of a method for provisioning a new virtual machine instance based on the content of an image of the new virtual machine instance includes identifying, from among a plurality of host machines, the host machine having the highest percentage of the content available in local storage, and provisioning the new virtual machine instance on the host machine having the highest percentage of the content available in local storage. Another embodiment of a method for provisioning a new virtual machine instance based on an image of the new virtual machine instance includes constructing at least a portion of the image using data stored locally on a target machine hosting the new virtual machine instance, and completing the image using data obtained over a network from remote storage. | 02-06-2014 |
20140040892 | Facilitating Customer-Initiated Virtual Machine Migration and Swapping - A system and an article of manufacture for facilitating customer-initiated virtual machine (VM) migration and swapping include obtaining documentation of at least one performance parameter of two or more virtual machines running on two or more physical machines, obtaining a request for a virtual machine exchange from a user of a first virtual machine, wherein the request comprises a preference for at least one desired performance parameter in at least one additional virtual machine, matching the request from the user of the first virtual machine with at least one additional virtual machine that includes the at to least one desired performance parameter without assistance from a cloud provider, and exchanging the first virtual machine with a virtual machine from the at least one additional virtual machine that includes the at least one desired performance parameter. | 02-06-2014 |
20140040893 | SELECTING PROVISIONING TARGETS FOR NEW VIRTUAL MACHINE INSTANCES - One embodiment of a system for provisioning a new virtual machine instance on a target host based on an image of the new virtual machine instance includes a local storage for storing images of virtual machine instances currently running on the target host and a virtual machine creator coupled to the local storage for constructing the image of the new virtual machine instance at least in part using the images of virtual machine instances currently running on the target host. | 02-06-2014 |
20140040894 | METHOD AND SYSTEM FOR PSEUDO-VIRTUALIZATION OF APPLICATION RUNNING ENVIRONMENT ON A HOST SERVER - A method and system for running multiple instances of a computer application into a virtual environment on a host server, and more specifically for running multiple instances of an operating system such as a mobile devices operating system, on the internet cloud. The method includes launching a global service manager, and having this service manager querying a binder driver which handles interprocess communications, so that the global service manager becomes a binder context manager for managing the running of multiple instances of the computer application into a virtual running environment. The method also includes launching, when launching any instance of the application after launch of the global service manager, a local service manager for handling service management for the instance of the application into a pseudo-virtual environment, the local service manager being registered by the binder context manager as local service manager for the instance of the application. | 02-06-2014 |
20140040895 | ELECTRONIC DEVICE AND METHOD FOR ALLOCATING RESOURCES FOR VIRTUAL MACHINES - In a method for allocating resources for a virtual machine (VM), usage rates of specified resources of the VM are obtained. A resource level of the VM is determined according to an average usage rate of each specified resource, to obtain a proposal for a revised resource allocation corresponding to the resource-utilization level of the VM. | 02-06-2014 |
20140040896 | HIGH AVAILABILITY VIRTUAL MACHINE CLUSTER - One embodiment of the present invention is a system including: (a) plural virtualization systems configured in a cluster; (b) storage accessible to each virtualization system of the cluster, wherein for each virtual machine operative in a virtualization system of the cluster, the storage maintains a representation of virtual machine state that includes at least a description of a hardware system virtualized and an image of virtualized memory state for the virtual machine; and (c) a failover system that, responsive to an interruption of, or on, a particular one of the virtualization systems, transitions at least one affected virtual machine to another virtualization system of the cluster and resumes computations of the transitioned virtual machine based on state encoded by a corresponding one of the virtual machine states represented in the storage. | 02-06-2014 |
20140047436 | IMAGE INSTANCE MAPPING - A method and system for image instance mapping is provided. The method includes receiving from change agents on virtual machine instances periodic monitoring data indicating changes for each virtual machine instance. The periodic monitoring data is analyzed and unique updates are applied to the virtual machine instances. High level semantic updates to the virtual machine instances are identified and updates associated with a golden master image are tracked. High level semantic updates to the golden master image are identified and in response, a version tree configured to track drift of each virtual machine instance with respect to golden master image is maintained. | 02-13-2014 |
20140047437 | VIRTUAL INSTANCE RECONFIGURATION - In a virtual computing environment allocating instantiations of computing resources based on a computing metric of a primary application for execution on the instantiated computing resources, an instance manager increases allocated computing resources in response to a performance shortfall. The virtual computing environment executes virtualization instances of computing systems as an autonomous computing entity in a physical environment shared with other virtualization instances. Each virtualization instance has a configuration including a processor type and quantity, memory, and mass storage (i.e. disk) allocation. Further, each virtualization instance has a performance capacity (capacity) based on a performance metric for identifying throughput in terms of a target application that the virtualization instance was designated to support. The instance manager performs a substantially real-time reconfiguration response based on an impact analysis that configures additional computing resources (virtualization instances) based on an actual, not computed or projected, demand. | 02-13-2014 |
20140047438 | RESOURCE MANAGEMENT USING RELIABLE AND EFFICIENT DELIVERY OF APPLICATION PERFORMANCE INFORMATION IN A CLOUD COMPUTING SYSTEM - System and method and computer program product implemented for a cloud computing infrastructure that allows a hypervisor to optimize resource allocation in a cloud computing environment by exploiting the application-level performance, O/S system performance, and hypervisor performance information through a reliable and efficient channel. | 02-13-2014 |
20140047439 | SYSTEM AND METHODS FOR MANAGEMENT VIRTUALIZATION - A method of executing an original agent application as a virtual agent, the method comprising encapsulating an original agent in a container file to produce a virtual agent; providing the virtual agent to an endpoint machine; and executing the virtual agent, within the container, on the endpoint machine. | 02-13-2014 |
20140047440 | RESOURCE MANAGEMENT USING RELIABLE AND EFFICIENT DELIVERY OF APPLICATION PERFORMANCE INFORMATION IN A CLOUD COMPUTING SYSTEM - System and method and computer program product implemented for a cloud computing infrastructure that allows a hypervisor to optimize resource allocation in a cloud computing environment by exploiting the application-level performance, O/S system performance, and hypervisor performance information through a reliable and efficient channel. | 02-13-2014 |
20140047441 | INFORMATION PROCESSING APPARATUS, VIRTUAL MACHINE CONTROL METHOD, AND PROGRAM - A storage section stores information indicative of an interface section, of the interface sections, used by virtual machines for access. When an error occurs in access performed by the virtual machine by the use of the interface section, a control section refers to the storage section and specifies the virtual machine which uses the interface section. The control section switches an interface section to be used by the virtual machine from the interface section to the interface section mounted on an information processing apparatus. | 02-13-2014 |
20140047442 | HYPERVISOR SELECTION FOR HOSTING A VIRTUAL MACHINE IMAGE - Embodiments of the present invention provide a method, system and computer program product for selecting a hypervisor for hosting a virtual machine (VM) image. In an embodiment of the invention, a method of selecting a hypervisor for hosting a VM image can include selecting an application for inclusion in a VM image, determining characteristics of the application and creating a VM image with the selected application. The method also can include identifying a hypervisor hosting a different VM image with an application having in common at least a portion of the determined characteristics. Finally, the method can include deploying the created VM image to the identified hypervisor. Of note, the deployment of the created VM image can be to an identified hypervisor in a node of a cloud computing cluster. | 02-13-2014 |
20140047443 | Virtual BIOS - A virtual basic input output system can be selected from different virtual basic input output systems. The selection of the virtual basic input output system is by a controller and the selection can be based on a software stack or user interaction. | 02-13-2014 |
20140047444 | VIRTUAL MACHINE MANAGING APPARATUS, VIRTUAL MACHINE MANAGING METHOD, AND PROGRAM THEREOF - In a virtual machine system, an arrangement of virtual machines, which has fault tolerance, is performed. A virtual machine managing apparatus includes a similar group generating unit and an arrangement restriction generating unit. The similar group generating unit generates a group of virtual machines having a similarity relationship which indicates that performance values of virtual machines at each timing are approximately the same, out of plural virtual machines. The arrangement restriction generating unit outputs the group of virtual machines having the similarity relationship as a distributed-arrangement restriction indicating a group of virtual machines to be arranged on different processing apparatuses among plural processing apparatuses carrying out processes of the virtual machines. | 02-13-2014 |
20140053150 | EFFICIENT HOSTING OF VIRTUALIZED CONTAINERS USING READ-ONLY OPERATING SYSTEMS - A single operating system image is shared among multiple running virtualized containers such that each running container interacts with underlying shared files and resources in system storage. Each container running on a server are provided the same image, which remains consistent among the containers. Each image is named and versioned and each container is configured in a manner that defines which underlying image is used when the container is started. When updates to the image are made, a new image is be generated, and the containers are be switched to the new image by changing configuration properties associated with the container and restarting the container. | 02-20-2014 |
20140053151 | REDUCING USAGE OF RESOURCE UTILIZED BY A VIRTUAL MACHINE WHOSE RESOURCE UTILIZATION IS ADVERSELY AFFECTING NEIGHBORING VIRTUAL MACHINES - A method, system and computer program product for managing resource utilization of virtual machines in a cloud computing environment. A cloud controller computes an index of the utilization of a resource by a virtual machine to determine its adverse impact on its neighboring virtual machine(s) that share the same resource. If the interference index is greater than a threshold, then the utilization of the resource by that virtual machine will be throttled or reduced provided that the servicing of its workload is not weighted at too high of a priority and that one or more of its neighboring virtual machines are not able to meet their service-level agreement requirements. In this manner, the adversely affected neighboring virtual machines may have its performance restored in meeting their service-level agreement requirements without having to add additional resources and/or be migrated to other areas of the cloud computing environment. | 02-20-2014 |
20140053152 | APPARATUS, SYSTEM, METHOD AND COMPUTER-READABLE MEDIUM FOR CONTROLLING VIRTUAL OS - An apparatus for controlling a virtual OS according to an embodiment comprises a scheduler configured for calculating a resource for zero or more first virtual machines included in a first group constructed from one or more virtual machines, calculating a ratio of an executing period with respect to a cycle of the resource, based on a throughput and a first occupancy of a processor at a time when the processor processes a first traffic and on a volume of a second traffic which is transmitted or received by zero or more second virtual machines included in the first group, calculating a second occupancy of the processor for processing the second traffic of the zero or more second virtual machines by the processor, and calculating the ratio of the zero or more first virtual machines and a sum of the second occupancies with respect to the zero or more second virtual machines. | 02-20-2014 |
20140053153 | APPARATUS, SYSTEM, METHOD AND COMPUTER-READABLE MEDIUM FOR SCHEDULING - A scheduling apparatus according to an embodiment comprises a scheduler configured to determine, using one or more task requirements of each virtual machine in the first terminal device that executes one or more virtual machines, a performance value of a first processor in the first terminal device, one or more task requirements of each virtual machine in the second terminal device that executes one or more virtual machines, and a performance value of a second processor in the second terminal device, whether or not a resource of a second processor is sufficient for a group including the one or more virtual machines in the first terminal in addition to the one or more virtual machines in the second terminal device; and a controller configured to order the first and second terminal devices to displace at least one of the one or more virtual machines in the first terminal device to the second terminal device when the resource of the second processor is sufficient for the group including the one or more virtual machines in the first terminal in addition to the one or more virtual machines in the second terminal device. | 02-20-2014 |
20140053154 | PRIVILEGE LEVEL AWARE PROCESSOR HARDWARE RESOURCE MANAGEMENT FACILITY - Multiple machine state registers are included in a processor core to permit distinction between use of hardware facilities by applications, supervisory threads and the hypervisor. All facilities are initially disabled by the hypervisor when a partition is initialized. When any access is made to a disabled facility, the hypervisor receives an indication of which facility was accessed and sets a corresponding hardware flag in the hypervisor's machine state register. When an application attempts to access a disabled facility, the supervisor managing the operating system image receives an indication of which facility was accessed and sets a corresponding hardware flag in the supervisor's machine state register. The multiple register implementation permits the supervisor to determine whether particular hardware facilities need to have their state saved when an application context swap occurs and the hypervisor can determine which hardware facilities need to have their state saved when a partition swap occurs. | 02-20-2014 |
20140053155 | VIRTUALIZING PERFORMANCE COUNTERS - Embodiments of apparatuses, methods, and systems for virtualizing performance counters are disclosed. In one embodiment, an apparatus includes a counter, a counter enable storage location, counter enable logic, and virtual machine control logic. The counter enable storage location is to store a counter enable indicator. The counter enable logic is to enable the counter based on the counter enable indicator. The virtual machine control logic is to transfer control of the apparatus to a guest. The virtual machine control logic includes guest state load logic to cause a guest value from a virtual machine control structure to be loaded into the counter enable storage location in connection with a transfer of control of the apparatus to the guest. | 02-20-2014 |
20140053156 | AUTONOMIC CUSTOMIZATION OF A VIRTUAL APPLIANCE - A customizer autonomically customizes a virtual appliance by retrieving customization values for various customizable properties of a virtual machine from various providers to customize the virtual appliance in order to simplify deployment of the virtual appliance. The customization properties may include CPU properties, memory properties, storage properties, network properties and properties specific to the software in the virtual appliance. The customizer allows an end user to initiate autonomic customization of the virtual appliance at various times prior to deployment of the virtual appliance. The customizer also allows the user to provide additional customization upon execution. | 02-20-2014 |
20140059537 | PROCESSING OF OVERLAY NETWORKS USING AN ACCELERATED NETWORK INTERFACE CARD - According to one embodiment, a server includes an accelerated network interface card (NIC), the accelerated NIC including a plurality of network ports including multiple Peripheral Component Interconnect express (PCIe) ports, an Overlay Network Offload System (ONOS), the ONOS including logic adapted for providing overlay functionality to network traffic received by the accelerated NIC, a first receiving/transmitting (RX/TX) packet buffer adapted for caching network traffic sent to or received from a network, a second RX/TX packet buffer adapted for caching the network traffic received from or sent to the server, and an Ethernet controller adapted for interfacing with the network. The server also includes a hypervisor coupled to one or more virtual machines (VMs) and a NIC driver adapted for interfacing with and supporting the accelerated NIC, wherein the NIC driver includes logic adapted for managing operations of the accelerated NIC. | 02-27-2014 |
20140059538 | VIRTUAL MACHINE STATE TRACKING USING OBJECT BASED STORAGE - A virtual machine state tracking mechanism that uses object based storage in order to track state information for at least some of the virtual machines that are operating in an environment. In some cases, the virtual machine environment includes virtual machine appliances on which virtual machines are run, and a centralized storage. For one, some, or all of the virtual machines, a first portion of the virtual machine state may be kept on an appliance, whereas a second portion is kept on the centralized storage. In some cases, the object based storage is resident on an appliance within the virtual machine environment, and also stores the first portion of the virtual machine state as well as the state tracking information. The state tracking information may be used to efficiently check in and check out associated virtual machines. | 02-27-2014 |
20140059539 | VIRTUAL MACHINE MIGRATION - Migration of a virtual machine from a source host computing system to a target host computing system in a context in which a centralized portion of virtual machine state is maintained in a storage that is accessible to both the source host computing system and the target host computing system, and a localized portion of the virtual machine state is maintained in local storage of the source host computing system. For instance, the centralized portion of the virtual machine state might be user data, and the localized portion might be backing files for the virtual machine. In order to support the potential migration, at least a portion of the localized portion of the virtual machine state are backed up to a storage that is accessible to both the source and target host computing systems. | 02-27-2014 |
20140059540 | USING PREPROVISIONED MUTATED TEMPLATES - Illustrative embodiments include a system, and computer program product for creating a virtual machine using a preprovisioned mutated template. A template to use for creating the virtual machine is identified, a template including data usable to create the virtual machine on a data processing system. A block of data is selected in the mutated template for reconstructing the template from the mutated template. The block of data is included in the mutated template at a location specified in a manifest associated with the mutated template. A data structure of the template is populated with the block of data such that the block of data occupies a predetermined position in the template, thereby reconstructing the template from the mutated template. The virtual machine is created on the data processing system using the template. | 02-27-2014 |
20140059541 | OPTIMIZING DEPLOYMENT OF VIRTUAL MACHINES BY PRE-GENERATING A VIRTUAL MACHINE'S FIRST-BOOT METADATA BY CLOUD CONTROLLER - A method, system and computer program product for optimizing virtual machine deployment. A cloud controller pre-generates and stores a virtual machine's first-boot metadata (e.g., a RSA key) prior to the deployment of the virtual machine so that the virtual machine does not need to generate such metadata at deployment time thereby reducing the deployment time of the virtual machine. Instead, after the deployment and activation of the virtual machine, an activation agent running on the virtual machine requests the pre-generated first-boot metadata from the cloud controller. The cloud controller retrieves the requested pre-generated first-boot metadata to be transmitted to the requesting virtual machine and implements public-key cryptography so that the requesting virtual machine can verify the authenticity of the transmitted first-boot metadata. By reducing the deployment time for the virtual machine, cloud resources can be used for other activities. | 02-27-2014 |
20140059542 | OPTIMIZING VIRTUAL MACHINE DEPLOYMENT TIME BY TEMPORARILY ALLOCATING MORE PROCESSING RESOURCES DURING THE INITIAL DEPLOYMENT TIME OF THE VIRTUAL MACHINE - A method, system and computer program product for optimizing virtual machine deployment time. A cloud controller receives a request from a user to configure a virtual machine with a designated CPU capacity. If the designated CPU capacity is less than or equal to a threshold, then the cloud controller determines if there are additional cloud resources available to be allocated to deploy the virtual machine. If so, then the cloud controller allocates additional CPU capacity to the designated CPU capacity to be used to provision the virtual machine thereby enabling a multithreaded startup to initialize the operating system and middleware tiers so as to reduce the deployment time of the virtual machine. The additional resources would only be available during the initial deployment time of the virtual machine and removed before the user is granted access to the system when it comes online. | 02-27-2014 |
20140059543 | SELECTIVE RESOURCE MIGRATION - Selective resource migration is disclosed. A computer system includes physical memory and a plurality of physical processors. Each of the processors has one or more cores and each core instantiates one or more virtual processors that executes program code. Each core is configured to invoke a HyperKernel on its hosting physical processor when the core cannot access a portion of the physical memory needed by the core. The HyperKernel selectively moves the needed memory closer to a location accessible by the physical processor or remaps the virtual processor to another core. | 02-27-2014 |
20140059544 | FRAMEWORK FOR NETWORKING AND SECURITY SERVICES IN VIRTUAL NETWORKS - Methods, computer-readable storage medium, and systems described herein facilitate registering and consuming network services on a virtual network. A virtual machine management server (VMMS) is configured to receive a service definition associated with a network service. The VMMS creates one or more service profiles based on the service definition. The VMMS configures a plurality of hosts based on the one or more service profiles such that the network service is usable, via a virtual network, by one or more virtual machines within the plurality of hosts. | 02-27-2014 |
20140059545 | Look Ahead of Links/Alter Links - A computationally-implemented method comprises retrieving at least a portion of data from a data source, determining an acceptability of an effect of the retrieved at least a portion of the data at least in part via a virtual machine representation of at least a part of an end user's real machine having one or more end-user specified preferences, and providing at least one data display option to the end user's real machine based on the determining acceptability of the effect of the retrieved at least a portion of the data. | 02-27-2014 |
20140059546 | VIRTUAL GATEWAY ROUTER - A facility for configuring a virtual data center is described. A user such as an administrator uses a management interface to input information specifying a configuration for a virtual data center including virtual network nodes and virtual routers. In response, the facility instantiates each virtual network router needed for the configuration, such as by, for each, instantiating on commodity hardware a virtual machine running a general-purpose operating system used to provide routing services to the virtual network nodes. | 02-27-2014 |
20140059547 | Supporting Heterogeneous Virtualization - Machine-readable media, methods, apparatus and system are described. In some embodiments, a virtual machine monitor of a computer platform may comprise a service virtual machine created by the virtual machine monitor partitioning an underlying hardware machine to support execution of a plurality of overlying guest operating systems, wherein the plurality of guest operating systems comprise a guest operating system complying with a non-native guest system architecture different from a host system architecture with which the hardware machine complies. The service virtual machine may further comprise a translation layer to translate instructions from the guest operating system complying with the non-native guest system architecture into instructions complying with the host system architecture. | 02-27-2014 |
20140068599 | PACKAGED APPLICATION DELIVERY FOR CONVERGED INFRASTRUCTURE - A method and apparatus for deploying an application in a converged infrastructure is described. The application may be deployed according a model that specifies deployment of the application on resources allocated from the converged infrastructure. The model may be used to scale and adjust the deployment of the application according to pre-determined best practices and according to deployment-specific parameters provided by a system administrator. | 03-06-2014 |
20140068600 | PROVIDING A SEAMLESS TRANSITION FOR RESIZING VIRTUAL MACHINES FROM A DEVELOPMENT ENVIRONMENT TO A PRODUCTION ENVIRONMENT - A method, system and computer program product for providing a seamless transition for resizing virtual machines from a development environment to a production environment. An administrative server receives an instruction from a customer to resize a virtual machine running on a cloud computing node, where the resized virtual machine requires physical resources (e.g., twenty physical processor cores) to be utilized in the production environment. Instead of the administrative server utilizing the same number of physical resources in the development environment that need to be utilized in the production environment, the administrative server utilizes a fewer number of physical resources by also utilizing virtual resources (e.g., twenty virtual processor cores and only two physical processor cores) so as to provide a development environment with the same resource capacity as the production environment but with fewer physical resources thereby more efficiently utilizing the physical resources on the cloud computing node. | 03-06-2014 |
20140068601 | SYSTEM AND METHOD FOR LIVE COMPUTER FORENSICS - Embodiments of a system and method for live computer forensics are generally described herein. The system can include a first hypervisor configured to halt a computer system, the computer system including a central processing unit, a drive, a volatile memory, and a non-volatile memory. The first hypervisor can be configured to collect data representative of the state of the computer system at the time the computer system was halted. The data representative of the state of the computer system can include the contents of the volatile and non-volatile memory at the time the computer system was halted, wherein at least a portion of the collected data is representative of the state of the central processing unit and the contents of the drive, at the time the computer system was halted. | 03-06-2014 |
20140068602 | Cloud-Based Middlebox Management System - A virtual network virtual machine may be implemented on a cloud computing facility to control communication among virtual machines executing applications and virtual machines executing middlebox functions. This virtual network virtual machine may provide for automatic scaling of middleboxes according to a heuristic algorithm that monitors the effectiveness of each middlebox on the network performance as application virtual machines are scaled. The virtual machine virtual network may also locate virtual machines in actual hardware to further optimize performance. | 03-06-2014 |
20140068603 | AUTOMATIC PROCESSOR HOTPLUG IN VIRTUALIZED COMPUTER SYSTEMS - A system and method for allocating additional virtual processors to virtual machines (referred to as “automatic processor hotplug”) are disclosed. In accordance with one embodiment, a guest operating system of a virtual machine detects when a measure of system load exceeds a threshold. In response, the guest operating system transmits a request to a hypervisor for an additional virtual processor for the virtual machine. | 03-06-2014 |
20140068604 | DISPLAY POWER MANAGEMENT IN DISTRIBUTED VIRTUALIZED SYSTEMS - A system and method for display power management in a virtualized environment are disclosed. In accordance with one embodiment, a hypervisor that is executed by a first computer system receives a notification that a host operating system of a second computer system has received a command to dim a video display of the second computer system, and forwards the notification to a guest operating system of a virtual machine hosted by the first computer system. The hypervisor receives from the guest operating system a first signal that indicates that the hypervisor is to notify the host operating system to refrain from executing the command. The hypervisor then transmits a second signal that notifies the host operating system to refrain from executing the command. | 03-06-2014 |
20140068605 | HYPERVISOR HANDLING OF PROCESSOR HOTPLUG REQUESTS - A system and method are disclosed for determining whether to grant requests for additional virtual processors for a virtual machine (referred to as “processor hotplug requests”). In accordance with one embodiment, a hypervisor receives a request from a guest operating system of a virtual machine, where the request is for an additional virtual processor for the virtual machine. The hypervisor then determines whether or not to grant the request based on a policy. | 03-06-2014 |
20140068606 | ACTIVITY BASED DEVICE REMOVAL MANAGEMENT - Methods and systems for managing a removal of a device from a guest managed by a hypervisor in virtualized computing environment. A hypervisor maintains in a memory a last accessed state associated with a virtual machine executing a guest. The last accessed state is cleared by the hypervisor when the virtual machine is reset and the last accessed state is set when the hypervisor receives a request from the guest to access a device. The hypervisor receives a request to remove the device from the guest of the virtual machine and remove the device from the guest when the last accessed state is clear. | 03-06-2014 |
20140068607 | MANAGING SAFE REMOVAL OF A PASSTHROUGH DEVICE IN A VIRTUALIZATION SYSTEM - Methods and systems for managing a removal of a passthrough device from a guest managed by a hypervisor in virtualized computing environment. A hypervisor receives a request from the guest for access to a passthrough device. The hypervisor sets, in a memory, a last accessed state associated with a virtual machine executing the guest. The hypervisor forwards the request to the passthrough device and configures the host CPU to send a subsequent access request directly to the passthrough device. In response to a virtual machine reset, the hypervisor clears the last accessed state and instructs the host CPU to send a post-reset access request to the hypervisor. | 03-06-2014 |
20140068608 | Dynamic Virtual Machine Consolidation - At a first physical computing machine executing a plurality of virtual machines and connected to a network, one or more virtual machine metrics for each virtual machine are calculated. Each virtual machine metric represents a workload of a resource of the first physical computing machine due to the execution of a corresponding virtual machine. Additionally, one or more corresponding physical machine metrics that represent a total workload of the corresponding resource of the first physical computing machine due to the execution of the plurality of virtual machines are also calculated. Based on the one or more physical machine metrics, a determination is made that at least one of the plurality of virtual machines should be migrated to one of a plurality of other physical computing machines connected to the network. A first virtual machine is selected for migration to a selected second physical computing machine. | 03-06-2014 |
20140068609 | Resource Allocation in a Virtualized Computing Environment - Machines, systems and methods for deciding whether a plurality of virtual machines (VMs) may be hosted on a computing system with resources to support at least one of the VMs, the method comprising determining maximum permissible probability of infringement (maxPermInfrProb(v)) for a VM(v), wherein “v” is a value from 1 to n, such that n is the number of VMs in a set G, as stipulated by the VMs' SLA, wherein “v” is a value from 1 to n, such that n is the number of VMs in a set G; partitioning the set G into a plurality of groups G | 03-06-2014 |
20140068610 | METHOD AND SYSTEM FOR ADJUSTING THE OPERATING MEMORY USED BY A JAVA VIRTUAL MACHINE DURING RUNTIME - A method comprising executing an application on a JAVA virtual machine, the JAVA virtual machine executing on a computing device, the application having allocated memory, monitoring, by the JAVA virtual machine, memory consumed by the application during execution, determining, based on the consumed memory, that the allocated memory is to be adjusted; and adjusting, by the JAVA virtual machine, the allocated memory during runtime without restarting the execution of the application. | 03-06-2014 |
20140068611 | Mechanism for Automatic Scaling of Application Resources in a Multi-Tenant Platform-as-a-Service (PaaS) Environment in a Cloud Computing System - A mechanism for automatic scaling of application resources in a multi-tenant Platform-as-a-Service (PaaS) environment in a cloud computing system is disclosed. A method includes monitoring, by a load balancer executing on a computing device, an overall load measurement of containers associated with an application executed on virtual machines (VMs) in a multi-tenant Platform-as-a-Service (PaaS) system, wherein the overall load measurement is a summation of individual current loads at the containers, comparing, by the load balancer, the overall load measurement to an overall load threshold for the containers, and when the overall load measurement exceeds the overall load threshold, causing resources to be added to execute functionality of the application in the VMs of the multi-tenant PaaS system. | 03-06-2014 |
20140068612 | FACILITATING EXECUTION OF A SELF-MODIFYING EXECUTABLE - Trusted execution of a self-modifying executable is facilitated. An attempt to access a data portion of a self-modifying executable during execution of the self-modifying executable is detected. The self-modifying executable includes the data portion, for storing data to be accessed during execution of the self-modifying executable, and an instruction portion including instructions for execution of the self-modifying executable. The attempt to access the data portion is retargeted to a separate portion of memory space that is separate from another portion of memory space in which the self-modifying executable is loaded for execution. Meaningful measurability of the integrity of the self-modifying executable is thereby provided. | 03-06-2014 |
20140068613 | NON-TRANSITORY COMPUTER-READABLE STORAGE MEDIUM, INFORMATION PROCESSING APPARATUS AND SCHEDULING METHOD - A storage unit stores load information indicating the load of an information processing apparatus by applying each of a plurality of update programs to each virtual machine. An operation unit obtains the load information from the storage unit, and determines, for each virtual machine, an order of applying the plurality update programs to the virtual machine within a predetermined time period on the basis of the load information and an upper load limit allowable for the information processing apparatus. | 03-06-2014 |
20140068614 | SECURE IDENTIFICATION OF EXECUTION CONTEXTS - A virtual-machine-based system that identifies an application or process in a virtual machine in order to locate resources associated with the identified application. Access to the located resources is then controlled based on a context of the identified application. Those applications without the necessary context will have a different view of the resource. | 03-06-2014 |
20140068615 | CORRECTING PACKET TIMESTAMPS IN VIRTUALIZED ENVIRONMENTS - A network capture element is embodied on a virtual machine, and a utility function is embodied on the actual device, preferably within the virtual machine manager. Both the utility function and the traffic capture element are configured to monitor communication events. To minimize the overhead imposed, the utility function is configured to merely store the time that the event occurred on the actual machine, corresponding to an identifier of the event. The network capture element, on the other hand, performs the time consuming tasks of filtering the communications, selectively storing some or all of the data content of the communications, characterizing the data content, and so on. Instead of storing the virtual time that the communication event occurred at the virtual machine, the network capture element uses the identifier of the communication event to retrieve the actual time that the communication event occurred on the actual machine. | 03-06-2014 |
20140075432 | Mechanism for Application Partitioning in a Multi-Tenant Platform-as-a-Service (PaaS) Environment in a Cloud Computing System - A mechanism for application partitioning in a multi-tenant PaaS environment in a cloud computing system is disclosed. A method of embodiments includes receiving, by a virtual machine (VM), a request to initialize a container on the VM, the container comprising a resource-constrained space of the VM to execute functionality of an application of a multi-tenant PaaS system. The method also includes assigning a user identification (UID) and non-volatile resources to the container, wherein the UID and non-volatile resources are part of a subset of UIDs and a subset of non-volatile resources allotted to the VM from a range of UIDs and a range of non-volatile resources associated with a district of the VM, and wherein other VMs in the district are not allotted the same subset of UIDs from the range of UIDs or the same subset of non-volatile resources from the range of non-volatile resources. | 03-13-2014 |
20140075433 | LOCATION-BASED COMPONENT DEPLOYMENT FOR COMPOSITE APPLICATIONS - A method and system for deploying a component of a composite application in a data center. An example method includes receiving access data from a traffic manager implementing global load balancing, where the access data is related to client accesses to a composite web application including multiple components hosted by virtual machines in a virtualization infrastructure. The method further includes determining, based on the access data, determining that one of the components of the composite web application receives client accesses that exceed a threshold, identifying a new geographic location for the client accesses that exceed the threshold, instructing the virtualization infrastructure to deploy a new virtual machine at the new geographic location, the deployed virtual machine implementing the determined component of the composite web application, and providing information pertaining to the deployed virtual machine to the traffic manager. | 03-13-2014 |
20140075434 | SYSTEM, METHOD AND PROGRAM PRODUCT FOR COST-AWARE SELECTION OF STORED VIRTUAL MACHINE IMAGES FOR SUBSEQUENT USE - A system, method and computer program product for allocating shared resources. Upon receiving requests for resources, the cost of bundling software in a virtual machine (VM) image is automatically generated. Software is selected by the cost for each bundle according to the time required to install it where required, offset by the time to uninstall it where not required. A number of VM images having the highest software bundle value (i.e., highest cost bundled) is selected and stored, e.g., in a machine image store. With subsequent requests for resources, VMs may be instantiated from one or more stored VM images and, further, stored images may be updated selectively updated with new images. | 03-13-2014 |
20140075435 | SYSTEM, METHOD AND PROGRAM PRODUCT FOR COST-AWARE SELECTION OF STORED VIRTUAL MACHINE IMAGES FOR SUBSEQUENT USE - A system, method and computer program product for allocating shared resources. Upon receiving requests for resources, the cost of bundling software in a virtual machine (VM) image is automatically generated. Software is selected by the cost for each bundle according to the time required to install it where required, offset by the time to uninstall it where not required. A number of VM images having the highest software bundle value (i.e., highest cost bundled) is selected and stored, e.g., in a machine image store. With subsequent requests for resources, VMs may be instantiated from one or more stored VM images and, further, stored images may be updated selectively updated with new images. | 03-13-2014 |
20140075436 | SYSTEM AND METHOD FOR ACCELERATING INPUT/OUTPUT ACCESS OPERATION ON A VIRTUAL MACHINE - A system and method for accelerating input/output (IO) access operation on a virtual machine, The method comprises providing a smart IO device that includes an unrestricted command queue (CQ) and a plurality of restricted CQs and allowing a guest domain to directly configure and control IO resources through a respective restricted CQ, the IO resources allocated to the guest domain. In preferred embodiments, the allocation of IO resources to each guest domain is performed by a privileged virtual switching element. In some embodiments, the smart IO device is a HCA and the privileged virtual switching element is a Hypervisor. | 03-13-2014 |
20140075437 | SYSTEM AND METHOD FOR PROVIDING A VIRTUAL ASSEMBLY BUILDER FOR USE WITH A CLOUD COMPUTING ENVIRONMENT - A system and method for providing a virtual assembly builder for use with a cloud computing environment. In accordance with an embodiment, the system can include a virtual assembly builder component which maintains a repository of virtual assembly archives, wherein each virtual assembly can include a metadata and one or more virtual machine templates that can be used to instantiate an instance of the assembly; and a virtual assembly builder deployer provided as a web service or other interface, which enables operations for uploading virtual assemblies to the repository, registering virtual assemblies with cloud components, and/or managing deployment instances defined by an assembly. | 03-13-2014 |
20140075438 | METHOD, SERVER, AND SYSTEM FOR STARTING AN APPLICATION - Embodiments of the present disclosure provide a method, a server, and a system for starting an application. The method includes: receiving identifier information sent by a terminal, where the identifier information includes information about a user identifier, an application identifier, and a terminal identifier; and selecting an appropriate virtual machine for the terminal from idle virtual machines according to the identifier information, so that after starting an application program corresponding to the application identifier, the virtual machine sets up a connection with the terminal. A unified virtual experience server is set in the embodiments of the present disclosure, so that all applications run in a virtual machine, and a client only needs to receive audio and video data in a process of running an application in a virtual machine at a remote end, thereby allowing the client to receive a result of an application program run on different operating systems. | 03-13-2014 |
20140075439 | VIRTUALIZATION MANAGEMENT METHOD AND RELATED APPARATUSES FOR MANAGING HARDWARE RESOURCES OF A COMMUNICATION DEVICE - A virtualization management method and related apparatuses for managing hardware resources of a communication device are disclosed. A virtualization management method for managing hardware resources of a communication device includes: acquiring a first virtual machine context that is issued by a first service board deployed in a communication device and that corresponds to a first interrupt, and issuing the first virtual machine context, or a second virtual machine context that is obtained based on the first virtual machine context and that corresponds to the first interrupt, so that a second service board deployed in the communication device, after acquiring the issued first virtual machine context or second virtual machine context, transfers the acquired first virtual machine context or second virtual machine context to a first virtual machine running on the intra-board Hypervisor in the second service board for processing, where the first virtual machine is the employer of the first hardware resource. | 03-13-2014 |
20140075440 | SYSTEMS AND METHODS FOR PERFORMING DATA MANAGEMENT OPERATIONS USING SNAPSHOTS - A system stores a snapshot and an associated data structure or index to storage media to create a secondary copy of a volume of data. In some cases, the associated index includes application specific data about a file system or other application that created the data to identify the location of the data. The associated index may include three entries, and may be used to facilitate the recovery of data via the snapshot. The snapshot may be used by ancillary applications to perform various functions, such as content indexing, data classification, deduplication, e-discovery, and other functions. | 03-13-2014 |
20140082612 | Dynamic Virtual Machine Resizing in a Cloud Computing Infrastructure - A method for providing dynamic resizing of at least one virtual machine in a cloud infrastructure includes steps of: obtaining a measure of resource usage for the virtual machine and/or application performance of an application running on the virtual machine; determining, as a function of prescribed rules relating to resource usage and the measure of resource usage and/or application performance, whether the virtual machine requires resizing; and resizing the virtual machine to dynamically change resource availability for the virtual machine so as to maintain resource usage and/or application performance within prescribed limits defined by the prescribed rules. | 03-20-2014 |
20140082613 | PROVISIONING A VIRTUAL MACHINE FROM ONE OR MORE VM IMAGES - An embodiment of the invention directed to a method for provisioning a specified virtual machine from one or more selected images, sent over the network, includes the step of decomposing each of the selected VM images to provide a group of components, each component having specified information associated with it. The method further includes using a predetermined selection criterion to select components from the group for assembly into a specified VM image, and using the selected components of the specified VM image to provision the specified virtual machine at one or more locations. | 03-20-2014 |
20140082614 | AUTOMATED PROFILING OF RESOURCE USAGE - Operating profiles for consumers of computing resources may be automatically determined based on an analysis of actual resource usage measurements and other operating metrics. Measurements may be taken while a consumer, such as a virtual machine instance, uses computing resources, such as those provided by a host. A profile may be dynamically determined based on those measurements. Profiles may be generalized such that groups of consumers with similar usage profiles are associated with a single profile. Assignment decisions may be made based on the profiles, and computing resources may be reallocated or oversubscribed if the profiles indicate that the consumers are unlikely to fully utilize the resources reserved for them. Oversubscribed resources may be monitored, and consumers may be transferred to different resource providers if contention for resources is too high. | 03-20-2014 |
20140082615 | PROVISIONING A VIRTUAL MACHINE FROM ONE OR MORE VM IMAGES - An embodiment of the invention directed to a method for provisioning a specified virtual machine from one or more selected images, sent over the network, includes the step of decomposing each of the selected VM images to provide a group of components, each component having specified information associated with it. The method further includes using a predetermined selection criterion to select components from the group for assembly into a specified VM image, and using the selected components of the specified VM image to provision the specified virtual machine at one or more locations. | 03-20-2014 |
20140082616 | INFORMATION PROCESSING METHOD, INFORMATION PROCESSING APPARATUS, RECORDING MEDIUM, AND SYSTEM - An information processing method including calculating, for migration of a first virtual machine between a migration-source computer coupled to a network and a migration-destination computer coupled to the network, a difference between a first resource allocated to the first virtual machine in the migration-source computer and a second resource to be allocated to a second virtual machine to be executed based on the virtual machine in the migration-destination computer, estimating a bandwidth for the network to be used by the second virtual machine based on the difference and a bandwidth for the network used by the first virtual machine in the migration-source computer. | 03-20-2014 |
20140082617 | FAULT TOLERANT SYSTEM AND METHOD FOR PERFORMING FAULT TOLERANT - A fault tolerant system includes a primary virtual machine that is formed on a primary machine in which a primary hypervisor runs, and is adapted to input virtual interrupt based on an external interrupt from the primary hypervisor to a primary guest OS, and a secondary virtual machine that is formed on a secondary machine in which a secondary hypervisor runs, and is adapted to input the virtual interrupt to a secondary guest OS on the basis of timing information on the virtual interrupt transmitted from the primary virtual machine. The primary virtual machine is adapted to collect operation performance information from the secondary virtual machine, and to configure an operation performance of the primary virtual machine and an operation performance of the secondary virtual machine so that the operation performance of the primary virtual machine becomes equal to the operation performance of the secondary virtual machine. | 03-20-2014 |
20140082618 | FAULT TOLERANT SYSTEM AND METHOD FOR PERFORMING FAULT TOLERANT - A fault tolerant system includes a primary virtual machine formed on a primary machine in which a primary hypervisor runs, and configured to input virtual interrupt based on an external interrupt from the primary hypervisor to a primary guest OS, and a secondary virtual machine formed on a secondary machine in which a secondary hypervisor runs, and configured to input virtual interrupt to a secondary guest OS on the basis of timing information on the virtual interrupt transmitted from the primary virtual machine. The primary virtual machine is configured to determine whether the virtual interrupt is generated, or not, upon receiving the external interrupt from the primary hypervisor, and only if it is determined that the virtual interrupt is generated, the primary virtual machine is configured to input the virtual interrupt to the primary guest OS, and to transmit timing information on the virtual interrupt to the secondary virtual machine. | 03-20-2014 |
20140082619 | FAULT TOLERANT SYSTEM AND METHOD FOR PERFORMING FAULT TOLERANT - A fault tolerant system includes a primary virtual machine that is formed on a primary machine in which a primary hypervisor runs, and is configured to input virtual interrupt based on an external interrupt from the primary hypervisor to a primary guest OS, and a secondary virtual machine that is formed on a secondary machine in which a secondary hypervisor runs, and is configured to input the virtual interrupt to a secondary guest OS on the basis of timing information on the virtual interrupt transmitted from the primary virtual machine. The primary virtual machine is configured to transmit the timing information on the virtual interrupt including the number of branch instructions executed by the primary guest OS before inputting the virtual interrupt, and including an execution suspension position when inputting the virtual interrupt to the secondary virtual machine. | 03-20-2014 |
20140082620 | SYSTEMS AND METHODS FOR TRIGGERING SCRIPTS BASED UPON AN ALERT WITHIN A VIRTUAL INFRASTRUCTURE - Embodiments of the present disclosure provide methods and systems for triggering scripts based upon an alert within a virtual infrastructure. Other embodiments may be described and claimed. | 03-20-2014 |
20140082621 | AUTOMATIC OPTIMIZATION FOR VIRTUAL SYSTEMS - Techniques are disclosed for controlling and managing virtual machines and other such virtual systems. VM execution approval is based on compliance with policies controlling various aspects of VM. The techniques can be employed to benefit all virtual environments, such as virtual machines, virtual appliances, and virtual applications. For ease of discussion herein, assume that a virtual machine (VM) represents each of these environments. In one particular embodiment, a systems management partition (SMP) is created inside the VM to provide a persistent and resilient storage for management information (e.g., logical and physical VM metadata). The SMP can also be used as a staging area for installing additional content or agentry on the VM when the VM is executed. Remote storage of management information can also be used. The VM management information can then be made available for pre-execution processing, including policy-based compliance testing. | 03-20-2014 |
20140089916 | CENTRALIZED, POLICY-DRIVEN MAINTENANCE OF STORAGE FOR VIRTUAL MACHINE DISKS (VMDKS) AND/OR PHYSICAL DISKS - In a method of operating a computing system, a disk image corresponding to a production managed machine is mounted on a service managed machine that performs operations distinct from those performed by the production managed machine in providing a computing service. The disk image is scanned at the service managed machine to determine a corrective action to be performed with respect to the disk image, and performance of the corrective action for the disk image of the production managed machine is initiated at the service managed machine. Related systems and computer program products are also discussed. | 03-27-2014 |
20140089917 | RESOURCE ALLOCATION FOR VIRTUAL MACHINES AND LOGICAL PARTITIONS - A computer determines that a utilization level of a resource has satisfied a threshold. The computer scales the allocation of the resource to the furthest of the current allocation of the resource plus a parameter and of a historical limit. The computer determines if the scaled allocation of the resource is outside the historical limit and if so, sets the historical limit equal to the scaled allocation of the resource. The computer determines whether the scaling of the allocation of the resource will result in an allocation oscillation. The computer determines if the scaled allocation of the resource is outside a boundary parameter and if so, sets the allocation of the resource equal to the boundary parameter. | 03-27-2014 |
20140089918 | METHOD AND SYSTEM FOR LOGGING INTO A VIRTUAL ENVIRONMENT EXECUTING ON A HOST - A method for logging into a guest virtual environment (VE) from a host VE. The method includes receiving a request to login into the guest VE from the host VE. In response to the request, creating a virtual terminal driver (VTD). The VTD comprises a host extender located in the host VE and a guest extender located in a sub-host VE. The host VE and sub-host VE are configured to communicate using a master terminal and a slave terminal. Providing the guest extender to the third VE as a virtual serial terminal (VST). Logging into the guest VE using the master terminal, the slave terminal, a virtual environment manager (VEM), and the VTD, where the VEM is managing the guest VE. | 03-27-2014 |
20140089919 | Virtual Machine Merging Method and System - A system, computer readable medium and method for merging a first virtual machine and a second virtual machine that runs on a same or different physical machine. The method includes a step of receiving instructions for merging processes of the first virtual machine with processes of the second virtual machine; a step of merging the first virtual machine with the second virtual machine onto a first physical machine; a step of merging an operating system of the first virtual machine with an operating system of the second virtual machine onto the first physical machine; and a step of maintaining active in the merged virtual machine each process that was active prior to merging the first and second virtual machines. | 03-27-2014 |
20140089920 | VIRTUAL MACHINE SYSTEM, VIRTUAL MACHINE SYSTEM CONTROL METHOD, AND VIRTUAL MACHINE SYSTEM CONTROL PROGRAM - A virtual machine system includes one or more virtual machines and a deactivator that deactivates the virtual machines. Each virtual machine includes an output unit that outputs a deactivation prohibiting signal indicating that the virtual machine is not permitted to stop operating while deactivation prohibited processing is being executed. The deactivation prohibited processing is processing that involves the device and during which the virtual machine is not permitted to stop operating. The deactivator deactivates a virtual machine that has not output the deactivation prohibiting signal, and does not deactivate a virtual machine that has output the deactivation prohibiting signal. | 03-27-2014 |
20140089921 | METHOD AND SYSTEM FOR MANAGING VIRTUAL MACHINE DISKS - Embodiments of the present invention relate to a method and system for managing a virtual machine disk. According to embodiments of the present invention, thin provisioning and thick provisioning are integrated to form a hybrid virtual machine disk management mechanism. Specifically, for a thin provisioned VM disk, if it is determined that the load level of the disk is relatively high, it may be decided to switch the VM disk to be thick provisioned. On the contrary, for a thick provisioned VM disk, if it is determined that a load level of the disk is relatively low, it may be decided to switch the VM disk to be thin provisioned so as to avoid resource waste. In this way, the efficiency and flexibility of the management of virtual machine storage disks may be improved. | 03-27-2014 |
20140089922 | MANAGING A VIRTUAL COMPUTER RESOURCE - Managing a virtual computer resource on at least one virtual machine. The managing of the virtual computer resource on the at least one virtual machine is by controlling execution of the virtual computer resource on the at least one virtual machine by a virtual machine instance, such as a firmware facility, of a trusted part of a computer system. The virtual machine instance is unique in the computer system. | 03-27-2014 |
20140089923 | CLUSTER-BASED OPERATING SYSTEM-AGNOSTIC VIRTUAL COMPUTING SYSTEM - According to a disclosed embodiment of the invention, an improved cluster-based collection of computers (nodes) is realized using conventional computer hardware. Software is provided that enables at least one virtual machine to be presented to guest operating systems, wherein each node participating with the virtual machine has its own emulator or virtual machine monitor. VM memory coherency and I/O coherency are provided by hooks, which result in the manipulation of internal processor structures. A private network provides communication among the nodes. | 03-27-2014 |
20140089924 | Sharing Reconfigurable Computing Devices Between Workloads - One or more physical RCDs (PRCDs) are shared between one or more workloads in one or more virtual computing environments. Example PRCD sharing operations may include: (1) providing a virtual RCD (VRCD) for one of the workloads, the VRCD being programmed with an IC design representing a hardware implementation of a software hotspot in the workload, (2) allocating one of the PRCDs to the workload by scheduling the programmed VRCD on the PRCD, (3) burning the PRCD with the IC design of the programmed VRCD so that the PRCD becomes a programmed PRCD that is capable of implementing the workload's hotspot in hardware, and (4) invoking the programmed VRCD instead of executing the hotspot as software in order to cause the programmed PRCD to implement the hotspot in hardware. | 03-27-2014 |
20140089925 | Methods and Systems for Integrated Storage and Data Management Using a Hypervisor - Methods and systems for integrated data management and block level storage management in a storage system having a controller with a multi-core processor. A hypervisor manages the multi-core processor such that at least one processor is used in a first virtual machine on which a block level storage management process is operable. The first virtual machine may also operate under the control of a real-time operating system. The hypervisor also defines a second virtual machine using one or more other processor cores and operates a data management application process optionally under control of a general purpose operating system. The optional general purpose operating system and the data management application process access storage devices by communicating through the hypervisor with the block level storage management process operable in the first virtual machine. | 03-27-2014 |
20140096130 | REDUCING RESPONSE TIME VARIANCE OF VIRTUAL PROCESSORS - A capability is provided for reducing response variance of virtual processors. A controller receives a processing request. The controller may propagate the processing request toward multiple virtual processors hosted on multiple hardware devices contemporaneously. The controller may propagate the processing request toward a first virtual processor hosted on a first hardware device and propagate the processing request toward a second virtual processor hosted on a second hardware device based on a determination that a timeout period expires before a processing response is received from the first virtual processor. The timeout period may be determined based on one or more response time statistics of the virtual processor and one or more response time statistics of a physical processor. | 04-03-2014 |
20140096131 | VIRTUAL MACHINE SERVICES - The present disclosure includes methods and systems for providing virtual machine services. A number of embodiments can include a user VM with a virtual workstation, a number of service modules that can provide a number of services without communicating with the user VM and/or the virtual workstation, a communication channel that allows the number of service modules to communicate with each other, a computing device, and a manager. A number of embodiments can also include a virtual machine monitor to enforce an isolation policy within the system. | 04-03-2014 |
20140096132 | FLEXIBLE ACCELERATION OF CODE EXECUTION - Technologies for performing flexible code acceleration on a computing device includes initializing an accelerator virtual device on the computing device. The computing device allocates memory-mapped input and output (I/O) for the accelerator virtual device and also allocates an accelerator virtual device context for a code to be accelerated. The computing device accesses a bytecode of the code to be accelerated and determines whether the bytecode is an operating system-dependent bytecode. If not, the computing device performs hardware acceleration of the bytecode via the memory-mapped I/O using an internal binary translation module. However, if the bytecode is operating system-dependent, the computing device performs software acceleration of the bytecode. | 04-03-2014 |
20140096133 | METHOD AND APPARATUS FOR AUTHENTICATED DISTRIBUTION OF VIRTUAL MACHINE IMAGES - A computer implemented method, a computer program product, and a computer distribute a virtual machine image. A request for a virtual machine image is received. Responsive to receiving the request or the virtual machine image, the authenticity of a virtual machine image catalog associated with the virtual machine image is identified. Responsive to identifying that the virtual machine image catalog is authentic, a first digital signature to be sent with the virtual machine image is determined. Responsive to determining the signature, the virtual machine image and the signature is sent. | 04-03-2014 |
20140096134 | SYSTEM AND METHOD FOR ENFORCEMENT OF SECURITY CONTROLS ON VIRTUAL MACHINES THROUGHOUT LIFE CYCLE STATE CHANGES - Systems and methods associated with virtual machine security are described herein. One example method includes instantiating a guest virtual machine in a virtual computing environment. The method also includes installing a life cycle agent on the guest virtual machine, assigning an identifying certificate, a set of policies, and an encryption key to the guest virtual machine, and providing the certificate, policies, and encryption key to the guest virtual machine. The certificate, policies, and encryption key may then be used by the guest virtual machine to authenticate itself within the virtual computing environment and to protect data stored on the guest virtual machine. | 04-03-2014 |
20140096135 | METHOD FOR AUTHENTICATED DISTRIBUTION OF VIRTUAL MACHINE IMAGES - A computer implemented method distributes a virtual machine image. A request for a virtual machine image is received. Responsive to receiving the request or the virtual machine image, the authenticity of a virtual machine image catalog associated with the virtual machine image is identified. Responsive to identifying that the virtual machine image catalog is authentic, a first digital signature to be sent with the virtual machine image is determined. Responsive to determining the signature, the virtual machine image and the signature is sent. | 04-03-2014 |
20140096136 | Creating a Virtual Machine and Cloud Server - The present disclosure relates to a method and an apparatus for creating a virtual machine as well as a cloud server. A method for creating a virtual machine comprises: in response to a request from a user for creating a virtual machine, loading an operating system for said virtual machine based on a choice made by said user; assembling at least one application for said virtual machine based on a choice made by said user regarding said at least one application, including: based on pre-stored and application-related information, copying files involved in said application to a predetermined location on said virtual machine and changing public resource configuration managed by said operating system. The present invention enables users to flexibly install desirable software at the time of applying for creating a virtual machine without spending too much time. | 04-03-2014 |
20140101655 | Enforcing Machine Deployment Zoning Rules in an Automatic Provisioning Environment - A mechanism is provided for enforcing machine deployment zoning rules in an automatic provisioning system. Responsive to receiving a machine specification from a user for deployment of a virtual machine in a computing environment, the automatic provisioning system applies machine deployment zone rules to the machine specification. Responsive to the machine specification not meeting the machine deployment zone rules, the automatic provisioning system generates a failure notification. The automatic provisioning system presents the failure notification to the user. | 04-10-2014 |
20140101656 | VIRTUAL FIREWALL MOBILITY - A cloud management device determines that a virtual machine should be migrated from a first host to a second host, the virtual machine being associated with a virtual service, such as a virtual firewall, in the first host. The cloud management device verifies if functionality corresponding to the virtual service is available in the second host. If the required functionality is not available, a new virtual service is instructed to be instantiated in the second host. State synchronization can be performed between the virtual services in the first and second hosts. The cloud management device instructs the virtual machine to be instantiated in the second host. | 04-10-2014 |
20140101657 | CONCURRENT HYPERVISOR REPLACEMENT - Replacing a source hypervisor by a target hypervisor in a system having a first level hypervisor managing multiple logical partitions to share a set of resources of a server. The source hypervisor enabling at least one source virtual machine to share resources that are assigned to a source logical partition of the multiple logical partitions where the source hypervisor runs, the target hypervisor enabling at least one target virtual machine to share resources that are assigned to a target logical partition of the multiple logical partitions where the target hypervisor runs, wherein memory segments of a physical memory of the server are assigned to the at least one source virtual machine. | 04-10-2014 |
20140101658 | OPTIMIZING VIRTUAL MACHINE SYNCHRONIZATION FOR APPLICATION SOFTWARE - Real-time application metrics of an application executed by a virtual machine are dynamically monitored by a controlling agent and analyzed to determine an optimal configuration of the virtual machine for executing the application. Based on the measured metrics, tunable parameters of the virtual machine may be adjusted to achieve desired application performance. | 04-10-2014 |
20140109086 | VIRTUAL DISK IMAGE MANAGER SUPPORTING PLUGGABLE STORAGE DOMAINS - A virtual disk image manager running on a computing device determines that an operation is to be performed on a virtual disk image. The virtual disk image manager then determines whether an underlying storage domain on which the virtual disk image is stored supports the operation. In response to determining that the storage domain supports the operation, the virtual disk image manager uses native capabilities of the storage domain to perform the operation. In response to determining that the storage domain does not support the operation, the virtual disk image manager performs the operation without the use of the storage domains native capabilities. | 04-17-2014 |
20140109087 | VIRTUAL MACHINE PROVISIONING USING REPLICATED CONTAINERS - A virtual machine manager that provisions of virtual machines on hosts that share a tenant store. Virtual machines can be provisioned using an arraying subsystem of the tenant store without having to actually physically copy all of the operating system files for each virtual machine. Instead, the arraying subsystem virtually copies the operating system files by generating virtual operating system containers that point to a master operating system container that actually contains operating system content in the tenant store. To increase the limit on the number of virtual operating system containers that can be virtually copied from a master operating system container, the master operating system container may itself by physically, rather than virtually copied. Then, virtual operating system containers may be virtually copied from any of the master operating system containers that contain the same operating system content. | 04-17-2014 |
20140109088 | AUGMENTED ALLOCATION OF VIRTUAL MACHINES FOR APPLICATION - The starting up of an application involving multiple virtual machines by overallocating virtual machines. In response to a request to allocate a certain number of virtual machines corresponding to the application, an augmented number of virtual machines is determined to be allocated in response to the request. The augmented number includes both the initially requested number of virtual machines in addition to a surplus number of virtual machines. The virtual machines are then initiated startup if they are not already started up. Before all of the virtual machines are started up, code is bound to the virtual machines. Thus, because more virtual machines were initiated startup than are required for the application, the code may be bound to some of the virtual machines in the application before all of the virtual machine have started up. | 04-17-2014 |
20140109089 | SYSTEM TO REBUILD DIFFERENCE VIRTUAL HARD DISK FOR UPDATING OPERATION SYSTEM AND METHOD THEREOF - A system to rebuild a difference virtual hard disk (VHD) for updating an operation system (OS) and a method thereof are provided. After a setting host updates a OS contained in a parent VHD, a service host uses the parent VHD as a base image to build a difference VHD. After the service host writes system setting data into the difference VHD, a virtual machine (VM) executed by the service host loads the updated OS. The updated OS executes the agent after startup so that the agent sets the operating environment according to the setting data. The system and the method need not to update OSes using the same base image respectively, and can achieve the effect of increasing the efficiency of updating the OS using the same base image, and decreasing network traffic when updating the OS. | 04-17-2014 |
20140109090 | OPTIMIZING PROCESSOR-MANAGED RESOURCES BASED ON THE BEHAVIOR OF A VIRTUAL MACHINE MONITOR - In one embodiment, a predefined behavior of a virtual machine monitor (VMM) with respect to one or more virtual machines (VMs) is identified, and processor-managed resources associated with the one or more VMs are utilized based on the predefined behavior of the VMM. | 04-17-2014 |
20140109091 | Device Virtualization - Methods and systems for performing device virtualization are described herein. Hardware devices may be virtualized for use by multiple operating system instances executing on a single device. The device may be virtualized by detecting input at the device, notifying a control domain of the input, the control domain determining a virtual machine (e.g., a guest domain) for which the input is intended, and passing the input from the control domain to the guest domain. There may be multiple guest domains on the device, each capable of receiving input from the hardware being virtualized. The virtualized hardware may include, e.g., a digitizer such as a touch digitizer capable of recognizing multiple concurrent inputs. Other hardware devices can also be virtualized. Virtualization may be performed using device emulation in the control domain, or using USB passthrough, among other techniques. | 04-17-2014 |
20140109092 | RUNNING ADD-ON COMPONENTS IN VIRTUAL ENVIRONMENTS - Systems and methods for running add-on components in virtual environments are described. An add-on component can be executed in a virtual environment by setting up a communication link between a component proxy in the host operating system and a server process running in the virtual environment. The server process executes the add-on component based on commands forwarded by the component proxy forwards the commands from the operating system. | 04-17-2014 |
20140109093 | METHOD AND APPARATUS FOR REMOTELY PROVISIONING SOFTWARE-BASED SECURITY COPROCESSORS - A virtual security coprocessor is created in a first processing system. The virtual security coprocessor is then transferred to a second processing system, for use by the second processing system. For instance, the second processing system may use the virtual security coprocessor to provide attestation for the second processing system. In an alternative embodiment, a virtual security coprocessor from a first processing system is received at a second processing system. After receiving the virtual security coprocessor from the first processing system, the second processing system uses the virtual security coprocessor. Other embodiments are described and claimed. | 04-17-2014 |
20140115575 | SYSTEMS AND METHODS FOR DETECTING SYSTEM EXCEPTIONS IN GUEST OPERATING SYSTEMS - Systems and methods for detecting system exceptions in guest operating systems are provided. A module is provided for each guest operating system and is capable of intercepting system exceptions within the guest operation system. The module communicates with a hypervisor to provide a current status of the guest operating system. In the event of a system exception, the module may collect system exception information, such as a memory dump. | 04-24-2014 |
20140115576 | Extensible Network Configuration Management - Techniques are disclosed for a management application running on a virtualization management platform and a module on a virtual machine host to communicate via an opaque pass-through channel for transporting data through the management platform and intermediate layer(s) of the virtual machine host between the management application and the module. In one embodiment, the data is identified by a key which is unique across management applications and includes a namespace registered by the management application on the management platform and registered by the module on the virtual machine host. In another embodiment, the namespace may indicate an association of the data with one or more entities such as a distributed virtual switch, port groups, ports, and virtual machine hosts. Configuration property settings for an entity may be propagated to its sub-entities based on inheritance. Further, the data may be persisted in database(s) of the management platform and/or the intermediate layer(s). | 04-24-2014 |
20140115577 | ESTIMATING DEMAND FOR NEWLY REGISTERED IMAGE TEMPLATES - Methods and arrangements for estimating demand for a newly registered virtual machine template. A newly registered virtual machine template is received, and prospective demand for the template is ascertained. Virtual machine instances are preprovisioned from the template. | 04-24-2014 |
20140115578 | PROVIDING A VIRTUAL SECURITY APPLIANCE ARCHITECTURE TO A VIRTUAL CLOUD INFRASTRUCTURE - A method in an embodiment includes detecting a change for a virtual machine in a virtual server of a virtual network infrastructure, determining whether a virtual security appliance is configured in the virtual server, and sending a request to create the virtual security appliance in the virtual server. The method further includes allowing the virtual machine to initiate when the virtual security appliance is created in the virtual machine. The virtual security appliance performs security inspections on network packets sent from the virtual machine. In more specific embodiments, the method further includes creating an intercept mechanism in the virtual server to intercept the network packets from the virtual machine. In further embodiments, one or more security policies identify one or more virtual security appliances to process the network packets from the virtual machine. | 04-24-2014 |
20140115579 | Datacenter storage system - A storage hypervisor having a software defined storage controller (SDSC) provides for a comprehensive set of storage control, virtualization and monitoring functions to decide the placement of data and manage functions such as availability, automated provisioning, data protection and performance acceleration. The SDSC running as a software driver on the server replaces the hardware storage controller function, virtualizes physical disks in a cluster into virtual building blocks and eliminates the need for a physical RAID layer, thus maximizing configuration flexibility for virtual disks. This configuration flexibility consequently enables the storage hypervisor to optimize the combination of storage resources, data protection levels and data services to efficiently achieve the performance, availability and cost objectives of individual applications. This invention enables complex SAN infrastructure to be eliminated without sacrificing performance, and provides more services than prior art SAN with fewer components, lower costs and higher performance. | 04-24-2014 |
20140115580 | Set Top Box Application in a Concurrent Dual Environment - A set top box or like device utilizing virtualization techniques to isolate secure device resources from an untrusted software framework incorporated in the device. In one implementation, a first virtual machine container is provided for secure execution of a traditional set top box application, while a second virtual machine container is utilized to host a software framework or untrusted portions of a software framework. A secure access client/server interface is provided to support interactions between the first and second virtual machine containers. The software framework may comprise, for example, an Android framework supported by an underlying Linux operating system environment and isolated in a Linux resource container. Virtual container constructs in various embodiments may employ varying levels of hardware sandboxing, including use of dedicated processing resources in multi-processor environments. In further embodiments, the software framework may be partitioned into trusted and untrusted portions that are executed in separate virtual containers. | 04-24-2014 |
20140115581 | AFFINITY OF VIRTUAL PROCESSOR DISPATCHING - In an embodiment, a request is received for a first partition to execute on a first virtual processor. If the first physical processor is available at a first node, the first virtual processor is dispatched to execute at the first physical processor at the first node that is the home node of the first virtual processor. If the first physical processor is not available, a determination is made whether the first physical processor is assigned to a second virtual processor and a home node of the second virtual processor is not the first node. If the first physical processor is assigned to a second virtual processor and the home node of the second virtual processor is not the first node, execution of the second virtual processor is stopped on the first physical processor and the first virtual processor is dispatched to the first physical processor. | 04-24-2014 |
20140115582 | METHODS AND SYSTEMS FOR CONVERTING A RELATED GROUP OF PHYSICAL MACHINES TO VIRTUAL MACHINES - A computer implemented method for processing virtualization of physical computers that are part of a group into virtual computers is provided. The method includes obtaining relationship data from the physical computers, where the relationship data identifies parameters used to communicate within the group. Then, the method analyzes utilization parameters for each of the physical computers of the group. A visual model for proposed virtualization of the group of physical computers is then generated. The visual model identifies hosting machines designated to define a virtual computer for each of the physical computers, where the visual model provides a graphical illustration of the group of physical computers once converted to virtual computers. The method enables adjustment of the proposed virtualization of the group of physical computers. Then, an execution sequence of virtualization operations to be carried out is generated, if execution of the proposed virtualization is triggered, and the execution sequence is saved to storage and accessed upon execution. | 04-24-2014 |
20140115583 | APPARATUS AND METHOD FOR MANAGING VIRTUAL MACHINE IN MOBILE COMMUNICATION SYSTEM - Methods and apparatus are provided for managing a Virtual Machine (VM) in a mobile communication system. Upon receiving a VM provisioning request message from a 3 | 04-24-2014 |
20140115584 | SCALABLE MULTI-TENANT NETWORK ARCHITECTURE FOR VIRTUALIZED DATACENTERS - A scalable, multi-tenant network architecture for a virtualized datacenter is provided. The network architecture includes a network having a plurality of servers connected to a plurality of switches. The plurality of servers hosts a plurality of virtual interfaces for a plurality of tenants. A configuration repository is connected to the network and each server in the plurality of servers has a network agent hosted therein. The network agent encapsulates packets for transmission across the network from a source virtual interface to a destination virtual interface in the plurality of virtual interfaces for a tenant in the plurality of tenants. The packets are encapsulated with information identifying and locating the destination virtual interface, and the information is interpreted by switches connected to the source virtual interface and the destination virtual interface. | 04-24-2014 |
20140115585 | STRING CACHE FILE FOR OPTIMIZING MEMORY USAGE IN A JAVA VIRTUAL MACHINE - A method, system and computer program product for optimizing memory usage associated with duplicate string objects in a Java virtual machine. The method comprises scanning a heap of the Java virtual machine at the end of the start-up process of the virtual machine to identify duplicate strings associated with the virtual machine, storing the identified strings in a string cache file, and determining whether a new string that needs to be created during start-up already exists in the string cache file. The duplicate strings are added to an interned strings table. A reference to a duplicate string is returned if a string to be created is already in the string cache file. | 04-24-2014 |
20140115586 | METHOD FOR DISPATCHING CENTRAL PROCESSING UNIT OF HOTSPOT DOMAIN VIRTUAL MACHINE AND VIRTUAL MACHINE SYSTEM - A method for dispatching CPUs of a hotspot domain VM and system includes determining a comprehensive utilization rate of the vCPUs of the hotspot domain VM according to counted use information of the vCPUs, determining that at least one online vCPU is to be added or to be reduced for the hotspot domain VM according to the comprehensive utilization rate of the vCPUs and the maximum number of the vCPUs. After an online vCPU is added, the method further includes adjusting the vCPUs of a common domain VM in the running queue of a physical CPU bound to the online vCPU, so that the online vCPU is dispatched on the physical CPU. After an online vCPU is reduced, the vCPU of the hotspot domain VM in the running queue of a physical CPU bound to the offline vCPU is adjusted, so that the vCPU of the common domain VM is dispatched on the physical CPU. | 04-24-2014 |
20140115587 | EXCEPTION HANDLING METHOD, APPARATUS, AND CLIENT - Embodiments of the present invention disclose an exception handling method, apparatus, and client. The method includes: receiving, by a virtual management server, an exception notification sent by a virtual machine that interacts with a first client, where the exception notification at least carries a user identifier and an application identifier; and storing, according to a stored exception handling mode corresponding to the user identifier and the application identifier, data of the virtual machine or application data of an application corresponding to the application identifier, and releasing resources of the virtual machine. According to the present invention, different exception handling methods can be customized for different applications and users according to requirements, the client can also store a usage state of a user at exception occurrence time or at the time nearest to the exception occurrence time, and the capacity and efficiency of an online application system are improved. | 04-24-2014 |
20140115588 | SYSTEMS AND METHODS FOR EXPOSING PROCESSOR TOPOLOGY FOR VIRTUAL MACHINES - The present invention is directed to making a guest operating system aware of the topology of the subset of host resources currently assigned to it. At virtual machine boot time a Static Resource Affinity Table (SRAT) will be used by the virtualizer to group guest physical memory and guest virtual processors into virtual nodes. Thereafter, in one embodiment, the host physical memory behind a virtual node can be changed by the virtualizer as necessary, and the virtualizer will provide physical processors appropriate for the virtual processors in that node. | 04-24-2014 |
20140123132 | OPTIMIZING LATENCIES IN CLOUD SYSTEMS BY INTELLIGENT COMPUTE NODE PLACEMENT - Various exemplary embodiments relate to a method and related network node including one or more of the following: obtaining a set of compute nodes, a set of data nodes, and a set of edges between compute nodes and data nodes; determining an assignment objective to be achieved in assigning compute nodes from the set of compute nodes to data nodes from the set of data nodes; applying an algorithm associated with the assignment objective to obtain a set of assignments based on a plurality of costs associated with the set of edges; and assigning a compute node of the set of compute nodes to a data node of the set of data nodes based on the set of assignments. | 05-01-2014 |
20140123133 | Mechanism for Partitioning of a Transmission Control Protocol (TCP) Window in a Virtual System - A mechanism for partitioning of a transmission control protocol (TCP) window in a virtual system is disclosed. A method includes estimating effective bandwidth of a communication link between a group of client devices at a first location and at least one host machine at a second location, wherein each client device of the groups of client devices maintains a communication session over the communication link with one of the at least one host machine, partitioning a transport control protocol (TCP) window of the communication link into portions based on quality of service (QoS) policy data of the communication sessions, where each portion is associated with one of the communication sessions, and sending, to each client device in the group of client devices, a parameter identifying the portion of the TCP window partitioned for the client device, the parameter sent to communication endpoints of each communication session. | 05-01-2014 |
20140123134 | VIRTUAL MODULE-BASED ULTRA-LAZY INSTALLATION FOR MODULAR SYSTEMS - A virtual runtime module that omits an internal functional implementation of an associated executable module and that includes a runtime-resolvable public interface of the associated executable module is obtained using a processor within a module-based system. The virtual runtime module within the module-based system is resolved, using the runtime-resolvable public interface of the virtual runtime module, to satisfy dependencies associated with the executable module within the module-based system. At least a portion of the internal functional implementation of the associated executable module within the module-based system is installed during runtime using the resolved virtual runtime module. | 05-01-2014 |
20140123135 | NETWORK OFFERING IN CLOUD COMPUTING ENVIRONMENT - A cloud system may create and support multiple network offerings for virtual machines in a cloud zone. Physical networks comprising sets of network elements, such as routers, gateways, firewalls, load balancers, and other network hardware, may be created and updated within a zone. Network offerings may be defined and associated, using tags or other techniques, with virtual machine networks, physical networks and/or network elements. Cloud end users may request specific network offerings when creating virtual machines, or may request to move existing virtual machines from one network offering to another. The cloud system may use the requested network offering to identify the virtual machine network, physical network, and/or network elements corresponding to the requested network offering. The cloud system may allocate a new virtual machine network and configure the network elements within the associated physical network to provide network services to the virtual machine. | 05-01-2014 |
20140123136 | METADATA-BASED VIRTUAL MACHINE CONFIGURATION - Methods, systems, and apparatus, including computer programs encoded on computer storage media, for associating one or more of a plurality of metadata collections with one or more respective identifiers, wherein each metadata collection includes one or more pairings of metadata attributes with metadata values, and wherein each identifier is one of a project identifier, a tag identifier or an instance identifier; identifying, based on identifier information associated with a virtual machine instance, one or more metadata values to be provided to the virtual machine instance, wherein the identifier information specifies one or more of a project identifier, a tag identifier and an instance identifier, and wherein each identified metadata value belongs to a metadata collection associated with an identifier that is specified in the identifier information; and providing, to the virtual machine instance, the identified one or more metadata values. | 05-01-2014 |
20140123137 | DETECTION OF FLASH EXPLOITS WITH AN ACTIONSCRIPT EMULATOR - Methods and systems for detecting Flash exploits are provided. According to one embodiment, an ActionScript emulator running on a computer system receives a Flash file to be tested. Responsive to a method implemented by the ActionScript emulator observing one or more predetermined conditions associated with a known Flash exploit, the ActionScript emulator reports existence of the known Flash exploit within the Flash file. | 05-01-2014 |
20140123138 | HYPERVISOR-BASED SERVER DUPLICATION SYSTEM AND METHOD AND STORAGE MEDIUM STORING SERVER DUPLICATION COMPUTER PROGRAM - Disclosed herein are a server duplication system and method and a storage medium storing a server duplication computer program. The server duplication system includes a primary server including a hypervisor including a hypervisor-based fault tolerance module and a first file system virtual machine (FS VM), and a first standby server including a hypervisor including a hypervisor-based fault tolerance module that exchanges data with a fault tolerance module provided on the hypervisor of the primary server and duplicates the primary server. The first FS VM provides a first file system that is shared by a user virtual machine (USER VM), and a buffer cache that is used in conjunction with the first file system is provided on virtual memory. The first FS VM is duplicated into the standby server using the hypervisor-based fault tolerance module of the primary server and the hypervisor-based fault tolerance module of the standby server. | 05-01-2014 |
20140123139 | SECURE SYSTEM TIME REPORTING - Technologies related to secure system time reporting are generally described. In some examples, responses to some system time requests may be manipulated to prevent leaking information that may be of interest for timing attacks, while responses to other system time requests need not be manipulated. In particular, responses to system time requests that are separated from a previous system time request by a predetermined minimum value, or less, may be manipulated. Responses to system time requests that are separated from a previous system time request by more than the predetermined minimum value need not be manipulated. Furthermore, secure system time reporting may be adaptively deployed to servers in a data center on an as-needed basis. | 05-01-2014 |
20140123140 | NETWORK VIRTUALIZATION SYSTEM, PHYSICAL NODE, AND VIRTUAL INTERFACE IDENTIFICATION METHOD IN VIRTUAL MACHINE - A domain management apparatus instructs physical nodes about the configuration of virtual nodes and virtual links of virtual networks. The physical nodes assign a virtual machine to a virtual node based on the definition of the virtual node contained in an instruction from the domain management apparatus. The physical nodes write a configuration associating virtual interfaces in the virtual node definition with virtual NICs on the virtual machine into a configuration file of the operating system to be started up on the virtual machine before the start-up of the virtual machine and, then, start up the virtual machine. Therefore, it is possible to recognize the correspondence relation between the virtual interface in the virtual node definition and the virtual NIC in the virtual machine without referring to the virtual network assignment result and without waiting for the start-up of the virtual machine. | 05-01-2014 |
20140123141 | COMPUTING DEVICE AND VIRTUAL MACHINE ACCESSING METHOD - In a virtual machine (VM) accessing method, a computing device obtains instantaneous information of a virtual machine in the computing device. The instantaneous information of the virtual machine is converted into a format supported by webpages. The computing device provides the converted instantaneous information of the virtual machine to a web server connected to the computing device, so that a client computer connected to the web server can access the virtual machine. | 05-01-2014 |
20140123142 | SYSTEM AND METHOD FOR PROVIDING DATA ANALYSIS SERVICE IN CLOUD ENVIRONMENT - The present invention relates to a system and a method for providing a data analysis service in a cloud environment which does not need to transmit data to an analysis section from a file storage section when providing an analysis service about big data. According to the present invention, it is not needed to specifically move files when analyzing big data, by using the storage section resource in a virtual machine in a cloud environment as storages, and accordingly, the analysis time can be considerably reduced. | 05-01-2014 |
20140130039 | SNMP/WMI INTEGRATION INTO A VIRTUALIZATION ENVIRONMENT FOR HANDLING GUEST-ORIENTED EVENTS WITH VIRTUALIZATION-ENVIRONMENT-RELATED ACTIONS - A method for integrating responses to asynchronous events is provided. A hypervisor of a host receives a request from a network manager to re-direct asynchronous events from a guest to an address of an event aggregation manager distinct from an address of the network manager. The hypervisor receives an asynchronous event having a destination address of the network manager from the guest. The hypervisor maps the destination address of the network manager to the address of the event aggregation manager. The hypervisor transmits the asynchronous event to the event aggregation manager. | 05-08-2014 |
20140130040 | SYSTEMS AND METHODS FOR MIGRATING VIRTUAL MACHINES - A system includes a first computing device including a virtual machine (VM), a second computing device, and a third computing device coupled to the first computing device and to the second computing device. The third computing device includes a management module configured to create a backup image of the VM while the VM is executing on the first computing device, create at least one delta file for use in storing changes to the VM that occur after the backup image is created, and copy the backup image to the second computing device while the VM is executing. The management module is also configured to suspend the VM on the first computing device after the backup image has been copied to the second computing device, and execute the VM on the second computing device using the backup image and the at least one delta file. | 05-08-2014 |
20140130041 | Mechanism for Distributed Multiple Monitor Display Split Using Multiple Client Devices in a Virtualization System - A mechanism for distributed multiple monitor display split using multiple client devices in a virtualization system is disclosed. A method of the invention includes determining, by a remote access protocol server executed by a computing device, that a monitor configuration of a primary client device is not compatible with a multiple monitor configuration of a virtual machine (VM) hosted by a hypervisor of the computing device, establishing a communication session with remote access protocol clients of multiple client devices of a logical client unit comprising the primary client device, wherein each of the multiple client devices comprises at least one monitor, and for each VM monitor of the multiple monitors configured for the VM, mapping, by the remote access protocol server, the VM monitor to a monitor of a client device in the logical client unit. | 05-08-2014 |
20140130042 | Mechanism for Single Virtual Machine Monitor Display Split Using Multiple Client Devices in a Virtualization System - A mechanism for a virtual machine (VM) monitor display split using multiple client devices in a virtualization system is disclosed. A method of the invention includes receiving, by a remote access protocol server, resolution data of a monitor of a virtual machine (VM), receiving resolution data for each monitor of multiple client devices forming a logical client unit, determining, based on the resolution data of the VM monitor and the resolution data of the monitors of the multiple client devices, client devices of the multiple client devices in the logical client unit to display the monitor of the VM, dividing, based on the resolutions of the determined client devices, the monitor of the VM into a number of portions that is equal to a number of the determined client devices, and mapping each of the portions of the monitor of the VM to a monitor of the determined client devices. | 05-08-2014 |
20140130043 | AUTOMATED AND OPTIMAL DEACTIVATION OF SERVICE TO ENABLE EFFECTIVE RESOURCE REUSABILITY - Automated deactivation of service to enable effective resource reusability in a computing system, may include receiving a request to destroy a virtual machine, the virtual machine running in a virtual data center comprising one or more software components allocated for servicing a customer. In response, a provisioning module may be notified to remove the virtual machine. Based on the number of resources determined to be left as available, deactivation of pending actions on the virtual machine may be performed at the time the virtual machine is being removed or as an automatic scheduled job to be performed at a scheduled time. | 05-08-2014 |
20140130044 | Method, Device, and System for Migrating Configuration Information During Live Migration of Virtual Machine - The present disclosure discloses a method for migrating configuration information during live migration of a virtual machine. After receiving a message of live migration that a virtual machine migrates from a source physical host to a target physical host sent by a virtual machine management server, an identifier of a source forwarding switch of the virtual machine and an identifier of a target forwarding switch of the virtual machine are acquired. Prestored configuration information is sent to the target forwarding switch corresponding to the identifier of the target forwarding switch, so that the target forwarding switch stores the configuration information. A configuration information deletion instruction is sent to the source forwarding switch corresponding to the identifier of the source forwarding switch, so that the source forwarding switch deletes the prestored configuration information. | 05-08-2014 |
20140130045 | INSTANTIATING VIRTUAL APPLIANCES - A method, article of manufacture, and apparatus for efficiently processing information. In some embodiments, this includes selecting a service to add to a storage array, determining a virtual appliance associated with the service, and instantiating the virtual appliance. In some embodiments, instantiating the virtual appliance includes instantiating an image of the virtual appliance. | 05-08-2014 |
20140130046 | COMMUNICATION APPARATUS AND CONFIGURATION METHOD - An information processing unit of a communication apparatus includes a non-volatile memory and a volatile memory. A control unit of the communication apparatus loads the boot image from the non-volatile memory to the volatile memory upon activation of the communication apparatus, activates the virtual machine template in the loaded boot image on the volatile memory, deactivates the activated virtual machine template after reconfiguring the activated virtual machine template to minimum required configuration to execute a virtual machine. Upon receipt of a request for addition of communication service, the control unit creates a replicate virtual machine template by replicating the deactivated virtual machine template, activates the replicate virtual machine template, reconfigures the activated replicate virtual machine template to configuration according to the request for addition of communication service, and executes the reconfigured replicate virtual machine template as a virtual machine to provide the communication service. | 05-08-2014 |
20140130047 | Method, Apparatus, and System for Processing Service Flow - A method, an apparatus, and a system for processing a service flow, which belong to the field of communications. Correspondence between a service application attribute and a service application identifier is preconfigured on an NSP board so that after a service flow carrying the service application attribute is received, the service application identifier of the service flow may be determined, which service application corresponding to a service flow may be known according to the service application identifier corresponding to the service flow, and when a plurality of service applications is deployed on an NSP at the same time, a service flow can be correctly sent to a virtual machine of a corresponding service application for service processing. | 05-08-2014 |
20140130048 | DYNAMIC SCALING OF MANAGEMENT INFRASTRUCTURE IN VIRTUAL ENVIRONMENTS - Methods, systems, and computer programs for performing management tasks in a virtual infrastructure are presented. The method includes detecting a decrease, below a predetermined threshold, in a number of tasks waiting to be processed by a plurality of virtual centers (VCs) executing as virtual machines (VMs) in a virtual infrastructure, wherein each of the plurality of VCs is a management VM for the managed objects of the virtual infrastructure. The method further includes, based on the detected decrease in the number of tasks waiting to be processed, selecting one or more VCs of the plurality of VCs to be removed, distributing managed objects handled by the selected one or more VCs to one or more non-selected VCs of the plurality of VCs, and removing the selected one or more VCs. | 05-08-2014 |
20140137104 | Cooperative Application Workload Scheduling for a Consolidated Virtual Environment - Application resource scheduler module is provided to achieve cooperative application workload scheduling for a consolidated virtual environment. The application resource scheduler aids an application workload scheduler that is part of a distributed computing application, such as Hadoop, to achieve a specified relative priority of the application workload virtual machines to other virtual machines in the virtual environment. The application resource scheduler assists in achieving cooperative workload scheduling by revising the amount of resources that the application workload scheduler sees as available and by setting resource controls for the virtual machines of the distributed computing application to influence the resources the virtual machines receive from the underlying consolidated virtual environment. | 05-15-2014 |
20140137105 | VIRTUAL MEMORY MANAGEMENT TO REDUCE POWER CONSUMPTION IN THE MEMORY - Reducing virtual memory power consumption during idle states in virtual memory systems comprising tracking the topology of the system memory by the system hypervisor and operating system running on any selected virtual machine hosted by the system hypervisor. The idle states in the system memory are dynamically monitored and then the power consumption states in the system memory are dynamically reduced through the interaction of the hypervisor and the operation system running on the selected virtual machine. | 05-15-2014 |
20140137106 | Runtime Based Application Security and Regulatory Compliance in Cloud Environment - A mechanism is provided in a data processing system for runtime based application security. The application runtime environment executing within a virtual machine on the data processing system receives notification of a change in execution environment for the virtual machine. Responsive to determining the virtual machine is being migrated to a virtualized environment based on the notification of a change in execution environment, the application runtime environment dynamically modifies execution of an application in the application runtime environment, wherein the application comprises a set of application modules. | 05-15-2014 |
20140137107 | Cooperatively Managing Enforcement of Energy Related Policies Between Virtual Machine and Application Runtime - A mechanism is provided in a data processing system for runtime based enforcement of energy policies collaboratively. The application runtime environment executing within a virtual machine on the data processing system receives notification of a change in energy policy for the virtual machine or the physical host it is running on. Responsive to determining the virtual machine is to be run under a power cap based on the notification of a change in energy policy, the application runtime environment dynamically modifies execution of an application in the application runtime environment or requests the execution environment for delaying enforcement of energy policies. The application comprises a set of application modules. | 05-15-2014 |
20140137108 | DYNAMIC PROCESSOR UNPLUG IN VIRTUALIZED COMPUTER SYSTEMS - A system and method for withdrawing virtual processors from virtual machines (VMs) are disclosed. In accordance with one embodiment, a hypervisor executed by a computer system detects when a measure of system load for a virtual machine falls below a threshold. In response, the hypervisor withdraws a virtual processor from the virtual machine. | 05-15-2014 |
20140137109 | VIRTUAL DEVICE CONTEXT (VDC) INTEGRATION FOR NETWORK SERVICES - Methods and apparatus are provided for virtual device context (VDC) integration for network services. VDC integration for network services generally includes mapping a virtual switch, physical ports on the network switch assigned to the virtual switch, a service node and a physical port on a service node to share a common VDC associated with a configuration of the virtual switch. In this manner, the VDC concept is extended to the service node and the network may be easily managed, with a network switch and associated service nodes configured through a single processing system on the network switch or service node. | 05-15-2014 |
20140137110 | CAPACITY RECLAMATION AND RESOURCE ADJUSTMENT - Embodiments of the invention are directed to a system, method, or computer program product for providing capacity reclamation of resources allocated to one or more virtual machines. The invention monitors resource usage of the one or more virtual machines over a predetermined period of time, compares resource usage to resource allocation; and, based on the comparison of the resource usage to the resource allocation, adjusts resource allocation based on the monitored resource usage. Comparing the resource usage may include comparing resource usage to a target upper threshold percentage of allocated resources, and if the resource usage exceeds the target upper threshold, increasing the allocated resources by a predetermined amount and comparing resource usage to a target lower threshold percentage of allocated resources, and if the resource usage is less than the target lower threshold, decreasing the allocated resources by a predetermined amount. | 05-15-2014 |
20140137111 | HOST NAMING APPLICATION PROGRAMMING INTERFACE - Embodiments of the invention are directed to a system, method, or computer program product for providing a unique naming framework for a plurality of virtual machines attached to a network. The invention may include receiving a service request for a platform build from a requester and receiving a plurality of build parameters associated with the platform build from the requester. Then, the invention may apply a set of naming rules to one or more attributes (that may be based on the received build parameters) associated with one or more virtual machines, assign a unique name to each individual virtual machine based on the set of naming rules and publish at least one of the assigned unique names over the network, thereby providing access to the virtual machine associated with the unique assigned name in response to an internet protocol network request or request to resolve a host name. | 05-15-2014 |
20140137112 | AUTOMATIC VIRTUAL MACHINE TERMINATION IN A CLOUD - Managing a cloud computing environment including a plurality of resources adapted to host at least one virtual machine includes, in a virtual machine, determining a period of inactivity of the operating system for a user of the virtual machine and comparing, using a processor, the period with a defined threshold. From the virtual machine, a virtual machine termination request is sent to the cloud computing environment responsive to the period exceeding the defined threshold. | 05-15-2014 |
20140137113 | VIRTUAL MACHINE TEMPLATE CREATION BASED ON DATA FEEDS - Data that includes information about an application is retrieved from a data feed. It is determined that the data from the data feed indicates that the application has been updated. In response to a determination that the data from the data feed indicates that the application has been updated, data descriptive of the application is extracted from the data from the data feed. One or more instructions for installing the application are determined based, at least in part, on the data descriptive of the application. In response to determination of the one or more instructions for installing the application, a first virtual machine skeleton that includes the one or more instructions for installing the application is generated. | 05-15-2014 |
20140137114 | VIRTUAL MACHINE TEMPLATE CREATION BASED ON DATA FEEDS - Data that includes information about an application is retrieved from a data feed. It is determined that the data from the data feed indicates that the application has been updated. In response to a determination that the data from the data feed indicates that the application has been updated, data descriptive of the application is extracted from the data from the data feed. One or more instructions for installing the application are determined based, at least in part, on the data descriptive of the application. In response to determination of the one or more instructions for installing the application, a first virtual machine skeleton that includes the one or more instructions for installing the application is generated. | 05-15-2014 |
20140137115 | Secure Communication Using a Trusted Virtual Machine - A client system, such as a computer or a smartphone, securely exchanges sensitive information with a remote service provider computer system such as a bank or an online retailer. The client system executes a commercially available operating system in an untrusted virtual machine (VM), which may be affected by malware. A hypervisor is configured to launch a trusted, malware-free VM from an authenticated image stored on computer-readable media used by the untrusted VM. The trusted VM executes a thin operating system with minimal functionality, to manage a secure communication channel with the remote server system, wherein sensitive communication is encrypted. Data from the trusted VM is forwarded via the hypervisor to a network interface driver of the untrusted VM for transmission to the remote service provider. The service provider may perform a remote attestation of the client system to determine whether it operates a trusted VM. | 05-15-2014 |
20140137116 | CLOUD-BASED BUILD SERVICE - Building binary packages for software products, particularly large-scale software products, is a highly computation intensive process. Thus, it is desirable to distribute the workload over a large number of computing nodes so as to have the build process complete in an optimal period of time. One environment providing compute resources that can be utilized for a highly available and dynamically scalable distributed build process is an elastic compute cloud. In such an environment, virtual machines can be instantiated and destroyed as the resource requirements of the build process dictate. This has the advantage that dedicated hardware is unneeded, and excess capacity on the hardware employed can be employed for other computation tasks when the build process is idle. Presented herein are systems, methods and computer storage media for distributing a highly available and scalable build service, suitable for use in an elastic compute environment or other distributed environment. | 05-15-2014 |
20140137117 | VIRTUALIZATION PLANNING SYSTEM - An interactive virtualization management system provides an assessment of proposed or existing virtualization schemes. A Virtual Technology Overhead Profile (VTOP) is created for each of a variety of configurations of host computer systems and virtualization technologies by measuring the overhead experienced under a variety of conditions. The multi-variate overhead profile corresponding to each target configuration being evaluated is used by the virtualization management system to determine the overhead that is to be expected on the target system, based on the particular set of conditions at the target system. Based on these overhead estimates, and the parameters of the jobs assigned to each virtual machine on each target system, the resultant overall performance of the target system for meeting the performance criteria of each of the jobs in each virtual machine is determined, and over-committed virtual machines and computer systems are identified. | 05-15-2014 |
20140137118 | Virtual Machine Asynchronous Patch Management - Drone virtual machines are leveraged to support asynchronous patch management by initiating a drone virtual machine in a maintenance environment to perform a patch management update, saving the updated virtual machine and then initiating the updated virtual machine in an operational environment accessible to clients. A drone is initiated in a maintenance environment for checking patch compliance in response to a request to initiate a virtual machine so that patch updates are performed before the virtual machine initiates in an operational environment accessible to operational clients. | 05-15-2014 |
20140143771 | DELIVERY OF EVENTS FROM A VIRTUAL MACHINE TO HOST CPU USING MEMORY MONITORING INSTRUCTIONS - A method and system for managing a virtual computing system including a virtual machine (VM) configured to send an event to a host CPU executing a memory monitoring instruction. The virtual machine is configured to receive from a hypervisor a notification identifying an address range writeable by a virtual central processing unit (VCPU) associated with the virtual machine to send an event to a host central processing unit (CPU). The virtual machine is further configured to receive an instruction to write to the identified address range for sending an event to the host CPU. The VCPU of the virtual machine may then write data identifying an event for execution by the host CPU to the identified address range, without causing an exit to the hypervisor. | 05-22-2014 |
20140143772 | VIRTUAL CLIENT MANAGEMENT SYSTEM AND VIRTUAL CLIENT MANAGEMENT METHOD - A virtual client management system includes a storage unit | 05-22-2014 |
20140143773 | METHOD AND SYSTEM FOR RUNNING A VIRTUAL APPLIANCE - A method, system, and/or computer program product runs a virtual appliance in a distributed computing system. One or more predefined parameters, indicative of respective operating characteristics of the virtual appliance while running, are monitored. Time dependent values of the one or more predefined parameters are collected during the monitoring time period. For each of the one or more predefined parameters, a metric value from the time-dependent values of the parameter is derived. The metric values are evaluated, based on the constraints. In response to a determination that at least one metric value is violating at least part of the constraints, a second set of resources is allocated to the virtual appliance and a second set of virtual machines are determined for deploying the virtual appliance, in order to satisfy the constraints. | 05-22-2014 |
20140143774 | ADAPTIVE DYNAMIC SELECTION AND APPLICATION OF MULTIPLE VIRTUALIZATION TECHNIQUES - Autonomous selection between multiple virtualization techniques implemented in a virtualization layer of a virtualized computer system. The virtual machine monitor implements multiple virtualization support processors that each provide for the comprehensive handling of potential virtualization exceptions. A virtual machine monitor resident virtualization selection control is operable to select between use of first and second virtualization support processors dependent on identifying a predetermined pattern of temporally local privilege dependent instructions within a portion of an instruction stream as encountered in the execution of a guest operating system. | 05-22-2014 |
20140143775 | VIRTUAL MACHINE IMAGE ANALYSIS - Techniques for analyzing virtual machine images are described. In one embodiment, a subset of settings is extracted from one or more virtual machine images, the virtual machine images store therein values of the settings. The settings are used by software executing in virtual machines of the virtual machine images, respectively. A target one of the virtual machine images is selected and target values of the settings are obtained from the target virtual machine image. Sample values of the settings are obtained from a plurality of virtual machine images. The subset formed by identifying similarities and differences of the values between the virtual machine images. | 05-22-2014 |
20140143776 | METHOD AND SYSTEM FOR IDENTIFYING VIRTUALIZED OPERATING SYSTEM THREATS IN A CLOUD COMPUTING ENVIRONMENT - Systems for monitoring a virtual machine in a cloud computing environment are disclosed. The systems include a baseline module residing on the virtual machine configured to retrieve baseline information from the virtual machine and create a plurality of baseline files and a trends module configured to retrieve a number of baseline files, comparatively analyze the number of baseline files and generate at least one trends report based on the comparative analysis of baseline files. | 05-22-2014 |
20140143777 | Resource Scheduling Method and Device - A resource scheduling method and apparatus. The method includes: determining at least one candidate destination physical machine and a physical machine on which a to-be-scheduled virtual machine is located; calculating a candidate communication cost required after the to-be-scheduled virtual machine is scheduled in a simulative way to each candidate destination physical machine; determining a destination physical machine among the at least one candidate destination physical machine according to the communication cost; and scheduling the to-be-scheduled virtual machine to the destination physical machine. In the solutions provided in the embodiments of the present invention, a destination physical machine is determined by calculating a candidate communication cost required after the to-be-scheduled virtual machine is scheduled in a simulative way to each candidate destination physical machine, and then resource scheduling is performed. | 05-22-2014 |
20140143778 | METHOD AND SYSTEM FOR PROVIDING STORAGE SERVICES - Method and system are provided for managing components of a storage operating environment having a plurality of virtual machines that can access a storage device managed by a storage system. The virtual machines are executed by a host platform that also executes a processor-executable host services module that interfaces with at least a processor-executable plug-in module for providing information regarding the virtual machines and assists in storage related services, for example, replicating the virtual machines. | 05-22-2014 |
20140149977 | Assigning a Virtual Processor Architecture for the Lifetime of a Software Application - A method, system and computer-usable medium are disclosed for managing virtual processor operations. A dynamic loader receives a request to initiate the creation of a new process, followed by a virtual processor being assigned to an isolated execution environment. The dynamic loader then initiates the creation of the new process by mapping kernel data associated with the virtual processor into the address space of the process. The dynamic loader completes the creation of the new process, and its execution is initiated within the isolated execution environment. | 05-29-2014 |
20140149978 | DYNAMIC COMMUNICATION BETWEEN SCRIPT AND EXECUTION LAYERS - A method of dynamically communicating a parameter during runtime may include providing a script to a command-line scripting module that uses the parameter, where the parameter is to be provided during runtime by a user and the script is configured to generate an exception including an identifier associated with the parameter. The method may also include generating byte code based on the script, executing the byte code on a virtual machine until the exception is generated, passing the exception to the command-line scripting module, causing, by the command-line scripting module, receiving a parameter value from the user through an input device, passing the parameter value to the virtual machine, and continuing execution of the byte code on the virtual machine. | 05-29-2014 |
20140149979 | VIRTUAL MACHINE WAKEUP USING A MEMORY MONITORING INSTRUCTION - A method and system for managing a virtual computing system including an event source configured to send an event to a destination virtual machine (VM) executing a memory monitoring instruction on a designated address range of a host memory. A hypervisor instructs a destination virtual central processing unit (VCPU) associated with the destination VM to execute the memory monitoring instruction to the designated address range of a memory. A physical CPU associated with the designated address range is configured not to perform an exit to the hypervisor in response to execution of the memory monitoring instruction by the destination VCPU. The hypervisor instructs an event source to write data to the designated address range to send an event for performance by the destination VM, wherein the destination VM wakes up and performs the identified event in response to the event source writing to the identified address range. | 05-29-2014 |
20140149980 | DIAGNOSTIC VIRTUAL MACHINE - A diagnostic virtual machine having access to resources of an infrastructure as a service cloud may be created. A user device may be provided access to the diagnostic virtual machine. In some embodiments, the diagnostic virtual machine may be configured to monitor a cluster of hypervisors, and the resources of the infrastructure as a service cloud which the diagnostic virtual machine has access to may include physical resources of the infrastructure as a service cloud that are associated with the cluster of hypervisors. | 05-29-2014 |
20140149981 | SHARING MEMORY BETWEEN VIRTUAL APPLIANCES - A computing device executing a virtual machine and a hypervisor that manages the virtual machine receives a data packet that is addressed to the virtual machine. The computing device writes the data packet to a buffer in a shared memory. The computing device maps the buffer to a memory of a virtual appliance that is hosted by the hypervisor to enable the virtual appliance to operate on the data packet without making a copy of the data packet. The computing device provides the data packet to the virtual machine after the virtual appliance has operated on the data packet. | 05-29-2014 |
20140149982 | SELF-MONITORED COMMANDS - A host controller receives a request to perform an action in a virtual computing system. The host controller, creates a command to execute operations associated with the request and creates a job to monitor a progress of the operations of the command. As the command is executing the operations, the host controller receives an indication of the progress of the command execution, wherein the operations report the progress to the job each time an operation is completed. | 05-29-2014 |
20140149983 | REPLACING VIRTUAL MACHINE DISKS - At least one target virtual disk descriptor that describes at least one virtual disk associated with an existing target virtual machine in a target virtualized environment is merged with at least one source virtual disk descriptor that describes at least one virtual disk associated with a source. The merging is carried out to obtain at least one merged virtual disk descriptor compatible with the target virtualized environment. The at least one virtual disk associated with the existing target virtual machine in the target virtualized environment is replaced with the at least one virtual disk associated with the source, in accordance with the at least one merged virtual disk descriptor. | 05-29-2014 |
20140149984 | INFORMATION PROCESSING APPARATUS, INFORMATION PROCESSING METHOD, AND COMPUTER READABLE MEDIUM - There is provided an information processing apparatus including circuitry configured to generate an instruction for running an application, and reserve a computer resource for running each of virtual machines corresponding to applications which are running instruction targets, in response to the generation of the running instruction. | 05-29-2014 |
20140149985 | CONTROL METHOD FOR I/O DEVICE AND VIRTUAL COMPUTER SYSTEM - A control method for an I/O device, the I/O device being shared by a hypervisor and a first guest OS, the hypervisor comprising a physical driver for using a physical function, the first guest OS comprising a virtual driver for using a virtual function, the control method comprising: a first step of acquiring, by the hypervisor, a state of the I/O device via the physical driver; a second step of monitoring, by the first guest OS, the hypervisor, thereby determining whether the hypervisor has been brought into a predetermined state or not; a third step of activating, by the first guest OS, a sub physical driver for operating the I/O device when the first guest OS determines that the hypervisor has been brought into the predetermined state; and a fourth step of carrying out, by the first guest OS, transmission/reception via a queue set in advance on the memory. | 05-29-2014 |
20140149986 | VIRTUAL MACHINE PLACEMENT - Methods, apparatus, and computer readable media with executable instructions stored thereon for virtual machine placement are provided. A user's demands on execution of a number of tasks, each task including a demand trace, can be defined as Service Level Agreement (SLA) information, including one or more Class of Service (CoS) levels defined by a Base Resource Entitlement (BRE) criteria and a Reserved Resource Entitlement (RRE) criteria ( | 05-29-2014 |
20140157257 | USER DATAGRAM PROTOCOL (UDP) PACKET MIGRATION IN A VIRTUAL MACHINE (VM) MIGRATION - Embodiments of the invention relate to receiving, by a first processor comprising a processing device, an indication that a migration of a virtual machine from the first processor to a second processor is to occur. The first processor transmits user datagram protocol (UDP) packets intended for the virtual machine to the second processor based on the indication. A signal is transmitted to the virtual machine to enter an offline state, wherein the offline states comprises a transfer of at least one of a central processing unit (CPU) state and a memory state, and wherein the virtual machine is configured to halt a processing of the UDP packets in response to receiving the signal. The virtual machine is reactivated once the migration of the virtual machine from the first processor to the second processor is complete. The virtual machine is instructed to resume the processing of the UDP packets. | 06-05-2014 |
20140157258 | HARDWARE CONTIGUOUS MEMORY REGION TRACKING - Embodiments of the invention relate to performing a scan of a memory region associated with a virtual machine. The scan is performed by a hardware mechanism in response to a call. A data structure that includes information about substrings identified during the scan and a number of replications for each substring is constructed by the hardware mechanism. The data structure is stored by the hardware mechanism at a location determined by the call. | 06-05-2014 |
20140157259 | COMMON CONTIGUOUS MEMORY REGION OPTIMIZED LONG DISTANCE VIRTUAL MACHINE MIGRATION - Embodiments relate to migrating a virtual machine (VM) between work groups. A first machine in a first work group generates a migration request that identifies one or more contiguous memory regions used by the VM for operation. The first machine transmits the migration request to a plurality of work groups. The first machine receives a score from each of the plurality of work groups indicating a number of contiguous memory regions identified in the migration request that are available at the respective work group. A second work group in the plurality of work groups is identified as a destination for the VM based on the scores and a distance of the second work group from the first machine. A migration of the VM from the first machine to a second machine in the second work group is caused based on the identification of the second work group as the destination. | 06-05-2014 |
20140157260 | VIRTUAL MACHINE IMAGE MIGRATION - Methods and systems for image migration. There are received, at an image reader, files of at least one image of at least one virtual machine from a first environment. Points of variability are identified within the files, with respect to preparation for functioning in a second environment. Each point of variability is associated with corresponding metadata, and each point of variability is stored with its corresponding metadata. The at least one image is reconfigured to function in the second environment, such reconfiguring comprising adjusting the points of variability via using the metadata. The reconfigured image is deployed to the second environment. | 06-05-2014 |
20140157261 | Ensuring Hardware Redundancy in a Virtualized Environment - An Availability Management Framework (AMF) configuration is generated, in which service units of a service group are allocated to node groups and the node groups are allocated to physical nodes. Each service unit is a unit of redundancy for services provided and protected by the service group. Each node group includes a group of virtual machines. Each node group is mapped to a different host group that includes one or more physical nodes. Collocation and separation rules are generated for the virtual machines to specify which virtual machines are collocated in a same node group and which virtual machines are separated by different node groups. Each service unit of the service group is mapped to a different node group to guarantee hardware separation in the AMF configuration for different service units of the service group and for the virtual machines allocated to the different service units. | 06-05-2014 |
20140157262 | MULTI-TIER PLATFORM-AS-A-SERVICE (PAAS) DEPLOYMENT REDUCED TO SINGLE-TIER ARCHITECTURE FOR DEVELOPMENT - A multi-tier platform-as-a-service (PaaS) deployment reduced to a single-tier architecture for development is disclosed. A method of the disclosure includes mapping multiple tiers of a PaaS system to a consolidated environment executable on a virtual machine (VM), wherein networking stacks of the VM maintain a separation between the multiple tiers in the consolidated environment, and providing the consolidated environment as a development instance of the PaaS system for execution on the VM, the consolidated environment facilitating testing of code changes to the PaaS system. | 06-05-2014 |
20140157263 | Restoring a Previous Version of a Virtual Machine Image - An approach is provided to apply a virtual machine (VM) image to a computer system. In the approach, implemented by an information handling system, a detection is made that a current VM image executing on the computer system is experiencing a problem. In response, prior VM images are analyzed, with each of the prior VM images being an image that was previously executed on the computer system. Based on the analysis, one of the prior VM images is selected and the selected image is used to replace the current VM image on the computer system. | 06-05-2014 |
20140157264 | Virtual Machine-Preserving Host Updates - Techniques are described for updating a host operating system on a server while maintaining virtual machines running on the server. An updated host operating system is copied to the server. The currently active host operating system freezes the virtual machines but leaves them resident in RAM. The allocations and state for each virtual machine is copied to RAM or local storage. The active host operating system is shut down. Instead of issuing a command to reboot the server after it finishes shutting down, the active host operating system transfers execution to a loader. The loader reads the kernel of the updated host operating system into RAM along with an allocation map for the virtual machines and instructions to resume the virtual machines. The loader transfers execution to the updated host operating system entry point, and the updated host operating system loads the states of the virtual machines and resumes them. | 06-05-2014 |
20140157265 | DATA FLOW AFFINITY FOR HETEROGENOUS VIRTUAL MACHINES - According to one aspect of the present disclosure a system and technique for data flow affinity for heterogeneous virtual machines includes a hypervisor operable to manage a virtualized environment comprising first and second logical partitions, the first logical partition having a maximum receive unit (MRU) different than a MRU of the second logical partition. The system also includes a virtual input/output server (VIOS) having a shared virtual adapter connected to the first and second logical partitions. The shared virtual adapter is configured to, responsive to receiving data destined for the first or second logical partitions, perform transmission control protocol (TCP) segmentation offload processing of the data utilizing the MRU of the first logical partition as the transmission unit for data destined for the first logical partition and utilizing the MRU of the second logical partition as the transmission unit for data destined for the second logical partition. | 06-05-2014 |
20140157266 | Restoring a Previous Version of a Virtual Machine Image - An approach is provided to apply a virtual machine (VM) image to a computer system. In the approach, implemented by an information handling system, a detection is made that a current VM image executing on the computer system is experiencing a problem. In response, prior VM images are analyzed, with each of the prior VM images being an image that was previously executed on the computer system. Based on the analysis, one of the prior VM images is selected and the selected image is used to replace the current VM image on the computer system. | 06-05-2014 |
20140157267 | DATA FLOW AFFINITY FOR HETEROGENOUS VIRTUAL MACHINES - According to one aspect of the present disclosure, a method and technique for data flow affinity for heterogeneous virtual machines is disclosed. The method includes: connecting first and second logical partitions to a shared virtual adapter, the first logical partition having a maximum receive unit (MRU) different than a MRU of the second logical partition; and responsive to receiving data by the shared virtual adapter destined for the first or second logical partitions, performing transmission control protocol (TCP) segmentation offload processing of the data by the shared virtual adapter utilizing the MRU of the first logical partition as the transmission unit for data destined for the first logical partition and utilizing the MRU of the second logical partition as the transmission unit for data destined for the second logical partition. | 06-05-2014 |
20140157268 | CONSENT-BASED VIRTUAL MACHINE MIGRATION - A system, method, and computer program product for controlling migration of a VM operable on a first site and a second site. The system includes an identify component for identifying the second site; a request component for sending a consent request message to a software component, wherein the consent request message comprises at least one of an identifier of the VM and an identifier of the second site; a calculate component, operable on the software component and responsive to receiving the consent request message, for determining consent for the second site; a send component, responsive to a positive determination, for sending a consent message; and a migrate component, responsive to receiving the consent message, for migrating the VM from the first site to the second site. | 06-05-2014 |
20140157269 | COMMON CONTIGUOUS MEMORY REGION OPTIMIZED LONG DISTANCE VIRTUAL MACHINE MIGRATION - Embodiments relate to migrating a virtual machine (VM) between work groups. A first machine in a first work group generates a migration request that identifies one or more contiguous memory regions used by the VM for operation. The first machine transmits the migration request to a plurality of work groups. The first machine receives a score from each of the plurality of work groups indicating a number of contiguous memory regions identified in the migration request that are available at the respective work group. A second work group in the plurality of work groups is identified as a destination for the VM based on the scores and a distance of the second work group from the first machine. A migration of the VM from the first machine to a second machine in the second work group is caused based on the identification of the second work group as the destination. | 06-05-2014 |
20140157270 | VIRTUAL MACHINE MANAGEMENT - A virtual machine management method and system is provided. The method includes receiving by a computing system, a list of virtual machines deployed within an enterprise. The computing system determines that a specified time period has elapsed during deployment and a list of resources and transactions associated with the virtual machines is retrieved. The computing system calculates a cost value and service value for deploying the virtual machines and a premium value associated with the services. The computing system determines if the premium value is within a policy for the enterprise. | 06-05-2014 |
20140157271 | USER DATAGRAM PROTOCOL (UDP) PACKET MIGRATION IN A VIRTUAL MACHINE (VM) MIGRATION - Embodiments of the invention relate to receiving, by a first processor comprising a processing device, an indication that a migration of a virtual machine from the first processor to a second processor is to occur. The first processor transmits user datagram protocol (UDP) packets intended for the virtual machine to the second processor based on the indication. A signal is transmitted to the virtual machine to enter an offline state, wherein the offline states comprises a transfer of at least one of a central processing unit (CPU) state and a memory state, and wherein the virtual machine is configured to halt a processing of the UDP packets in response to receiving the signal. The virtual machine is reactivated once the migration of the virtual machine from the first processor to the second processor is complete. The virtual machine is instructed to resume the processing of the UDP packets. | 06-05-2014 |
20140157272 | SYSTEM AND METHOD FOR PROVIDING HARDWARE VIRTUALIZATION IN A VIRTUAL MACHINE ENVIRONMENT - A system and method for providing hardware virtualization and resource management in a virtual machine environment. An application server environment is extended to provide an interface from the higher layers in the application server environment to the system's actual processing power, such that the allocation of processing can be made in a machine-independent way. A layer of software can be placed between the virtual machine and the hardware that improves the efficiency of the virtualized Java execution system. The system comprises two main components: a first, lower-level, execution environment that replaces the usual operating system; and a second, higher-level, resource broker that is responsible for handing out new virtual machines to the above layers, and for monitoring the current resource usage of the running virtual machines. | 06-05-2014 |
20140157273 | METHOD, DATA PROCESSING PROGRAM, AND COMPUTER PROGRAM PRODUCT TO COMPENSATE FOR COUPLING OVERHEAD IN A DISTRIBUTED COMPUTING SYSTEM, AND CORRESPONDING OVERHEAD CALCULATOR FOR A DISTRIBUTED COMPUTING SYSTEM AND CORRESPONDING COMPUTER SYSTEM - An improved method to compensate for coupling overhead in a distributed computing system offering a raw processing capacity, comprising an effective processing capacity ( | 06-05-2014 |
20140157274 | OFFERING NETWORK PERFORMANCE GUARANTEES IN MULTI-TENANT DATACENTERS - Methods of offering network performance guarantees in multi-tenant datacenters are described. In an embodiment, a request for resources received at a datacenter from a tenant comprises a number of virtual machines and a performance requirement, such as a bandwidth requirement, specified by the tenant. A network manager within the datacenter maps the request onto the datacenter topology and allocates virtual machines within the datacenter based on the available slots for virtual machines within the topology and such that the performance requirement is satisfied. Following allocation, stored residual capacity values for elements within the topology are updated according to the new allocation and this updated stored data is used in mapping subsequent requests onto the datacenter. The allocated virtual machines form part of a virtual network within the datacenter which is allocated in response to the request and two virtual network abstractions are described: virtual clusters and virtual oversubscribed clusters. | 06-05-2014 |
20140165054 | METHOD AND SYSTEM FOR ANALYZING ROOT CAUSES OF RELATING PERFORMANCE ISSUES AMONG VIRTUAL MACHINES TO PHYSICAL MACHINES - According to one exemplary embodiment, a method for analyzing root causes applies an application-level dependency discovery and anomaly detection to find application-level dependencies in one or more virtual machines (VMs), and generate an application-level topology with anomaly, and then transfers the application-level topology with anomaly to a VM-level dependency, and transfers the VM-level dependency to a physical machine level (PM-level) dependency via a physical and virtual resource mapping, and eventually generates a group of event sets. A prioritized event list is generated by prioritizing the group of event sets. | 06-12-2014 |
20140165055 | SHARED RESOURCE SEGMENTATION - Methods and systems for resource segmentation include dividing a time horizon to be partitioned into time slots based on a minimum partition size; determining resource usage for multiple virtual machines in each of the plurality of time slots; determining a set of partitioning schemes that includes every possible partitioning of the time slots into a fixed number of partitions; for each partitioning scheme in the set of partitioning schemes, determining a cost using a processor based on a duration of each partition and a resource usage metric; and selecting a partitioning scheme that has a lowest cost. | 06-12-2014 |
20140165056 | VIRTUAL MACHINE FAILOVER - Disclosed is a computer system ( | 06-12-2014 |
20140165057 | Operating A Storage Server On A Virtual Machine - A system and method of testing, during development, the operation of a clustered storage server system and its associated storage operating system. The system includes at least one host computer having a host operating system, and at least one virtual computer having a simulated storage operating system, at least one simulated disk, a simulated NVRAM, and a simulated flashcard within a guest operating system hosted by the host operating system. The simulated storage operating system represents an actual storage operating system. Facilities of the simulated storage operating system including the simulated disk, the simulated NVRAM, and the simulated flashcard are mapped onto corresponding facilities of the host operating system via virtualization components of the virtual computer so that the simulated storage operating system operates substantially the same as the actual storage operating system on low cost host hardware platforms. | 06-12-2014 |
20140165058 | SYSTEM RESOURCE MANAGEMENT METHOD FOR VIRTUAL SYSTEM - Specifically, a service menu is set for each user ID to determine an information distribution range/distribution amount according to the service menu. Finally, “vendor lock-in” of an infrastructure (hardware, software) is a typical alternative to be adopted to provide high-quality service at low cost. Pieces of information obtained for each product are temporarily collected, are categorized at the same level into pieces of information for respective purposes (screens), and then are provided for users. This achieves proper capacity planning. | 06-12-2014 |
20140165059 | HARDWARE CONTIGUOUS MEMORY REGION TRACKING - Embodiments of the invention relate to performing a scan of a memory region associated with a virtual machine. The scan is performed by a hardware mechanism in response to a call. A data structure that includes information about substrings identified during the scan and a number of replications for each substring is constructed by the hardware mechanism. The data structure is stored by the hardware mechanism at a location determined by the call. | 06-12-2014 |
20140165060 | METHODS AND APPARATUS TO RECLAIM RESOURCES IN VIRTUAL COMPUTING ENVIRONMENTS - Methods and apparatus to reclaim resources in virtual computing environments are described. An example method includes determining, with a processor, if a characteristic of a virtual machine meets a threshold indicating that the virtual machine is inactive, in response to determining that the characteristic meets the threshold, determining, with the processor, if a notification period has expired, and if the notification period has expired, de-provisioning, with the processor, the virtual machine to return computing resources assigned to the virtual machine to a cloud. | 06-12-2014 |
20140165061 | STATISTICAL PACKING OF RESOURCE REQUIREMENTS IN DATA CENTERS - A computer-implemented method of managing resources in a virtual machine environment can include determining a specification of provisioning success corresponding to each of a plurality of jobs in the virtual machine environment, forming a prioritized listing of the plurality of jobs and, responsive to the specification of provisioning success and the prioritized listing, providing a resource specification for each of the plurality of jobs. The providing can include determining a first prediction of resource needs corresponding to each of a first subset of the plurality of jobs and determining a second prediction of resource needs corresponding to a second subset of the plurality of jobs. | 06-12-2014 |
20140165062 | Method and Apparatus for Providing Virtual Machine Information to a Network Interface - A hypervisor preferably provides VM identification, priority and LUN/LBA range information to the HBA when a VM is created. Alternatively, the HBA can determine that a LUN/LBA range is new and request VM identity, priority and LUN/LBA range from the hypervisor. The HBA creates a table containing the VM identification, priority and LUN/LBA range. The HBA then detects operations directed to the LUN/LBA range and does a lookup to determine VM identification and priority. VM identification and priority are then mapped into a field in a frame using a unique identifier. The unique identifier can either be placed using reserved bits on the existing Fibre Channel (FC) header or can use bits in an additional header, such as a modified IFR header. | 06-12-2014 |
20140165063 | MIGRATING VIRTUAL MACHINES - Example methods, apparatus and articles of manufacture to migrate virtual machines are disclosed. A disclosed example method includes identifying via a processor a first virtual machine to be migrated from a first host to a second host to improve a performance of the first virtual machine, determining that a frequency threshold associated with the first virtual machine will not be exceeded if the first virtual machine is migrated, determining a reliability rating for the first virtual machine, and migrating the first virtual machine to the second host based on the frequency threshold and the reliability rating. | 06-12-2014 |
20140173591 | DIFFERENTIATED SERVICE LEVELS IN VIRTUALIZED COMPUTING - In one implementation, a host provides virtualized computing to one or more customer networks. The virtualized computing may include hardware virtualization quantified in the resources of the virtual machines, services virtualization quantified in the quantity or types of services performed on host, or processing virtualization quantified by process occurrences. When the host receives a request for computing virtualization from a user device, the host derives an authentication value and accesses a virtualization service level from a memory. The host is configured to deliver the computing virtualization to the user device according the virtualization service level. | 06-19-2014 |
20140173592 | INVERSION-OF-CONTROL COMPONENT SERVICE MODELS FOR VIRTUAL ENVIRONMENTS - In the field of computing, many scenarios involve the execution of an application within a virtual environment of a device (e.g., web applications executing within a web browser). Interactions between applications and device components are often enabled through hardware abstractions or component application programming interfaces (API), but such interactions may provide more limited and/or inconsistent access to component capabilities for virtually executing applications than for native applications. Instead, the device may provide hardware interaction as a service to the virtual environment utilizing a callback model, wherein applications within the virtual environment initiate component request specifying a callback, and the device initiates the component requests with the components and invokes associated callbacks upon completion of a component request. This model may enable the applications to interact with the full capability set of the components, and may reduce blocked execution of the application within the virtual application in furtherance of application performance. | 06-19-2014 |
20140173593 | SYSTEMS AND METHODS FOR FINDING SOLUTIONS IN DISTRIBUTED LOAD BALANCING - pa Systems and methods for finding solutions exhaustively in distributed load balancing are provided. A plurality of virtual machines (VMs) is in communication with a virtual machine management server (VMMS). The VMMS is configured to generate a matrix that represents a mapping of a plurality of virtual machines (VMs) to a plurality of hosts and to calculate a first imbalance metric of the matrix. The VMMS is also configured to identify a plurality of candidate migrations the VMs. The VMMS searches through the solution space efficiently and can perform an exhaustive search to find the optimal solution. For each candidate migration, the VMMS is configured to alter the matrix to represent the candidate migration and to calculate a candidate imbalance metric based on the altered matrix. The VMMS is also configured to determine which candidate migration to perform based at least in part on the candidate imbalance metric for each candidate migration and the first imbalance metric. | 06-19-2014 |
20140173594 | Scalable Services Deployment - Embodiments provide an abstraction on top of virtual machine allocation APIs to expose scalable services. The services are higher level components that expose a particular set of functionalities. A deployment manager handles matching and managing virtual machine allocations in order to meet the customer demands for the managed services. A deployment service exposes a “service” as a unit of resource allocation in a distributed computing environment or cloud computing service. Client components interact with the deployment service to request new service instances to meet customer demand. | 06-19-2014 |
20140173595 | HYBRID VIRTUAL MACHINE CONFIGURATION MANAGEMENT - A system and technique for hybrid virtual machine configuration management includes a processor and executable logic to: assign to a first set of virtual resources associated with a virtual machine a first priority, the first set associated with entitled resources for the virtual machine; assign to a second set of virtual resources associated with the virtual machine a second priority lower than the first priority, wherein the first and seconds sets when combined exceed the entitled resources for the virtual machine; map the first set to a first physical resource of a pool of shared physical resources, the pool of shared physical resources allocatable to the first and second sets, wherein the first physical resource comprises a desired affinity level to a second physical resource allocated to the virtual machine; and preferentially allocate the first physical resource to the first set of virtual resources. | 06-19-2014 |
20140173596 | MEDIA PROCESSOR AND RESOURCE MANAGEMENT PLATFORM - Embodiments are directed to providing an extensible platform that allows users to select from and implement different types of media processors and to providing a management portal that allows users to manage their media processors. In one scenario, a computer system receives from a user a workflow with various tasks that are to be processed using a user-specified media processor. The computer system schedules computer system resources including a virtual machine on which the user-specified media processor is to be installed. The user-specified media processor includes a corresponding media processor installer. The computer system instantiates the scheduled virtual machine on the computer system, initiates the media processor installer to install the user-specified media processor on the instantiated virtual machine, and implements the installed media processor on the instantiated virtual machine to process the workflow tasks. | 06-19-2014 |
20140173597 | HYBRID VIRTUAL MACHINE CONFIGURATION MANAGEMENT - According to one aspect of the present disclosure, a method and technique for hybrid virtual machine configuration management is disclosed. The method includes: assigning to a first set of virtual resources associated with entitled resources of a virtual machine a first priority; assigning to a second set of virtual resources associated with the virtual machine a second priority lower than the first priority, wherein the first and seconds sets when combined exceed the entitled resources for the virtual machine; mapping the first set of virtual resources to a first physical resource of a pool of shared physical resources allocatable to the first and second sets of virtual resources, wherein the first physical resource comprises a desired affinity level to a second physical resource allocated to the virtual machine; and preferentially allocating the first physical resource to the first set of virtual resources. | 06-19-2014 |
20140173598 | VIRTUAL MACHINE MIGRATION - Attesting a virtual machine that is migrating from a first environment to a second environment includes in response to initiation of migration of the virtual machine from the first environment to the second environment, accessing one or more stored trust values generated during the trusted boot of the virtual machine in the first environment, determining if the accessed trust values define a security setting sufficient for the second environment, and if the accessed trust values do not define a security setting sufficient for the second environment, performing a predetermined action in relation to the migration of the virtual machine to the second environment. | 06-19-2014 |
20140173599 | SENDING TASKS BETWEEN VIRTUAL MACHINES BASED ON EXPIRATION TIMES - In an embodiment, if an estimated time to perform a task by a first virtual machine is less than or equal to an expiration time of the first virtual machine minus the current time, the task is performed by the first virtual machine. If the estimated time to perform the task by the first virtual machine is greater than the expiration time of the first virtual machine minus the current time, a selected virtual machine is selected from among a plurality of virtual machines with a smallest estimated time to perform the task and a request to perform the task is sent to the selected virtual machine. | 06-19-2014 |
20140173600 | DYNAMIC DEVICE VIRTUALIZATION - A system and method for providing dynamic device virtualization is herein disclosed. According to one embodiment, the computer-implemented method includes providing a hypervisor and one or more guest virtual machines (VMs). Each guest VM is disposed to run a guest user process and the hypervisor is split into a device hypervisor and a compute hypervisor. The computer-implemented method further includes providing an interface between the device hypervisor and the compute hypervisor. The compute hypervisor manages an efficient use of CPU and memory of a host and the device hypervisor manages a device connected to the host by exploiting hardware acceleration of the device. | 06-19-2014 |
20140173601 | SYSTEM FOR ENERGY SAVING IN COMPANY DATA CENTERS - Assigning of application-hosting virtual machines to a plurality of servers inclusive of active and inactive servers in a data or calculation center is described. | 06-19-2014 |
20140181804 | METHOD AND APPARATUS FOR OFFLOADING STORAGE WORKLOAD - An aspect of the invention is directed to a storage management computer for managing offloading of storage workload between a storage controller of a storage system and one or more host computers. The storage management computer comprises: a memory; and a controller operable to request a virtual machine management computer to register the storage controller as a host computer, and to send, to the virtual machine management computer, storage processes information of storage processes in the storage system which can be offloaded as virtual machines in order for the virtual machine management computer to register the storage processes as virtual machines. | 06-26-2014 |
20140181805 | SYSTEM AND METHOD FOR ESTABLISHING RULES FOR FILTERING INSIGNIFICANT EVENTS FOR ANALYSIS OF SOFTWARE PROGRAM - Systems and methods for generating a set of event filtering rules for filtering events being produced in response to emulation of a program. A plurality of sample programs is constructed based on a plurality of known program development tools. Emulated execution of the plurality of sample programs is carried out in an isolated virtual machine environment and events occurring in the virtual machine environment as a result of the emulated execution of the plurality of sample programs are recorded in an event log. A set of rules is formulated for distinguishing events from among the event log that are determined to be insignificant with respect to malware detection processing to be performed. | 06-26-2014 |
20140181806 | MANAGING A DATA STRUCTURE FOR ALLOCATING GRAPHICS PROCESSING UNIT RESOURCES TO VIRTUAL MACHINES - Methods, techniques, and systems for dynamically allocating graphics processing units among virtual machines are provided. Example embodiments provide a dynamic GPU allocation system (“DGAS”), which enables the efficient allocation of physical GPU resources to one or more virtual machines. In one embodiment, the DGAS comprises a GPU allocation list for use in allocating the physical GPU resources comprising one or more virtual machine entries each containing a designation of a virtual machine, an indication of a GPU benefit factor associated with the designated virtual machine, and an indication of processing bandwidth requirements associated with the designated virtual machine. The entries are ranked based at least upon the GPU benefit factor associated with each designated virtual machine. Available GPU resources are allocated to some subset of these ranked virtual machines as physical GPU capacity is matched with the requirements of the subset. | 06-26-2014 |
20140181807 | RUNTIME PROFILE DETERMINATIONS OF VIRTUAL MACHINES FOR GRAPHICS PROCESSING UNIT (GPU) ALLOCATION - Methods, techniques, and systems for dynamically allocating graphics processing units among virtual machines are provided. Example embodiments provide a dynamic GPU allocation system (“DGAS”), which enables the efficient allocation of physical GPU resources to one or more virtual machines. In one embodiment, the DGAS determines runtime profiles of a plurality of virtual machines. The runtime profiles are determined by determining a runtime assessment of workload indicative of a potential benefit that the virtual machine can receive from using a GPU resource. The DGAS then causes the processing bandwidth of the physical GPU resources to be allocated to some of the plurality of virtual machines based upon the dynamically determined runtime assessments of workload. | 06-26-2014 |
20140181808 | CONFIGURATION SPACE WITH PSEUDO DEVICE IDENTIFIER - Techniques for loading device drivers are provided. A configuration space may contain a device identifier. The configuration space may be modified by replacing the device identifier with a pseudo device identifier. In one aspect the pseudo device identifier may be passed to a virtual machine. In another aspect, the pseudo device identifier may be used to load a driver. | 06-26-2014 |
20140181809 | CREATING MULTIPLE RULES FOR A DEVICE TO ALLOW CONCURRENT ACCESS TO THE DEVICE BY DIFFERENT VIRTUAL MACHINES - An exemplary system may allow concurrent access to a device by different virtual machines. In one embodiment, the system receives a request to add a virtual machine (VM) of a plurality of virtual machines to a host, the request identifying a device that is shared by the plurality of virtual machines. The system creates a VM-specific rule for the device based on the VM. The system stores the VM-specific rule for the device in association with the VM. | 06-26-2014 |
20140181810 | AUTOMATIC DISCOVERY OF EXTERNALLY ADDED DEVICES - An exemplary system may automatically discovery externally added devices. In one embodiment, the system obtains initial device information for a virtual machine running on a host computing system. The system determines whether an external event occurred on the host computing system. A management computing system associated with the host computing system does not receive notification of the external event. Upon determining that the external event occurred on the host computing system, the system obtains updated device information for the virtual machine running on the host computing system. | 06-26-2014 |
20140181811 | HYPERVISOR MODIFICATION OF ADVANCED CONFIGURATION AND POWER INTERFACE (ACPI) TABLES - A system and method for system table modification in a virtualized computer system are disclosed. In accordance with one embodiment, a hypervisor that is executed by a computer system detects a hardware configuration change to the computer system (e.g., addition of a hardware device to the computer system, removal of a hardware device from the computer system, a change in configuration of a hardware device of the computer system, etc.). In response, the hypervisor modifies a system table that is accessible by a guest operating system executing within a virtual machine. | 06-26-2014 |
20140181812 | ARCHIVING VIRTUAL MACHINES IN A DATA STORAGE SYSTEM - The data storage system according to certain aspects can manage the archiving of virtual machines to (and restoring of virtual machines from) secondary storage. The system can determine whether to archive virtual machines based on usage data or information. The usage information may include storage usage, CPU usage, memory usage, network usage, events defined by a virtual machine software or application, etc. The system may archive virtual machines that are determined to have a low level of utilization. For example, a virtual machine can be archived when its usage level falls below a threshold level. The system may create a virtual machine placeholder for an archived virtual machine, which may be a “light” or minimal version of the virtual machine that acts as if it is the actual virtual machine. By using a virtual machine placeholder, a virtual machine may appear to be active and selectable by the user. | 06-26-2014 |
20140181813 | ARCHIVING VIRTUAL MACHINES IN A DATA STORAGE SYSTEM - The data storage system according to certain aspects can manage the archiving of virtual machines to (and restoring of virtual machines from) secondary storage. The system can determine whether to archive virtual machines based on usage data or information. The usage information may include storage usage, CPU usage, memory usage, network usage, events defined by a virtual machine software or application, etc. The system may archive virtual machines that are determined to have a low level of utilization. For example, a virtual machine can be archived when its usage level falls below a threshold level. The system may create a virtual machine placeholder for an archived virtual machine, which may be a “light” or minimal version of the virtual machine that acts as if it is the actual virtual machine. By using a virtual machine placeholder, a virtual machine may appear to be active and selectable by the user. | 06-26-2014 |
20140181814 | VIRTUAL MACHINE SCHEDULING SYSTEM AND METHOD - A remote computer monitors virtual machines in cloud servers of a data center. The remote computer sends a monitoring program to cloud servers. The remote computer obtains parameters of the cloud server by the monitoring program. The remote computer calculates an interval for starting virtual machines in the cloud server according to parameters of the cloud server. The remote computer starts the virtual machines in the cloud server at the calculated interval. | 06-26-2014 |
20140181815 | COMPUTING DEVICE AND METHOD FOR INSTALLING COMPUTER PROGRAMS IN VIRTUAL MACHINES - In a method for installing computer programs in virtual machines of a computing device, one or more virtual hard disk drives are created in a storage device connected to the computing device. Each of the virtual hard disk drives includes one or more computer programs. A target virtual machine and a target computer program are determined. A virtual hard disk drive that includes the target computer program is determined. The determined virtual hard disk drive is mounted to the target virtual machine. | 06-26-2014 |
20140181816 | METHODS AND APPARATUS TO MANAGE VIRTUAL MACHINES - Methods and apparatus to manage virtual machines are described. An example method includes presenting a list of available basic blueprints, storing a multi-machine blueprint referencing a first basic blueprint for a first virtual machine from the list and a second basic blueprint for a second virtual machine from the list, and in response to a request to provision the multi-machine blueprint, the request including an identification of a first number of instances to be provisioned for the first virtual machine and a second number of instances to be provisioned for the second virtual machine, provisioning the first number of instances of the first virtual machine and the second number of instances of the second virtual machine. | 06-26-2014 |
20140181817 | METHODS AND APPARATUS TO MANAGE EXECUTION OF VIRTUAL MACHINE WORKFLOWS - Methods and apparatus to manage execution of virtual machine workflows are described. An example method includes determining that an execution manager that has requested a first workflow for execution is tagged with a skill, selecting, from a queue, a virtual machine workflow that is tagged with the skill and that matches the requested first workflow; and transmitting the virtual machine workflow to the execution manager for execution. | 06-26-2014 |
20140181818 | OPTIMIZATION OF PACKET PROCESSING BY DELAYING A PROCESSOR FROM ENTERING AN IDLE STATE - Some embodiments facilitate high performance packet-processing by enabling one or more processors that perform packet-processing to determine whether to enter an idle state or similar state. As network packets usually arrive or are transmitted in batches, the processors of some embodiments determine that more packets may be coming down a multi-stage pipeline upon receiving a first packet for processing. As a result, the processors may stay awake for a duration of time in anticipation of an incoming packet. Some embodiments keep track of the last packet that entered the first stage of the pipeline and compare that with a packet that the processor just processed in a pipeline stage to determine whether there may be more packets coming that need processing. In some embodiments, a processor may also look at a queue length of a queue associated with an upstream stage to determine whether more packets may be coming. | 06-26-2014 |
20140189682 | Automatically Managing the Storage of a Virtual Machine - Mechanisms are provided for automatically expanding a virtual storage of a virtual machine. The virtual machine monitors a usage of the virtual storage of the virtual machine. The virtual machine determines, based on the monitoring of the usage of the virtual storage, whether to expand the virtual storage of the virtual machine. In response to the virtual machine determining to expand the virtual storage of the virtual machine, a virtual machine manager executes one or more operations to expand the virtual storage. The monitoring and determining may be performed by a virtual storage management agent executing within the virtual machine and which may send an expansion request to an authorization engine to request expansion of the virtual storage. | 07-03-2014 |
20140189683 | PROCESSORS, METHODS, AND SYSTEMS TO ENFORCE BLACKLISTED PAGING STRUCTURE INDICATION VALUES - A method of an aspect includes receiving an indication of an attempt by a virtual machine to modify a paging structure identification storage location to have a given value. It is determined that the given value matches at least one of a set of one or more blacklist values. The attempt by the virtual machine to modify the paging structure identification storage location to have the given value is trapped to a virtual machine monitor. Other methods, apparatus, and systems are also disclosed. | 07-03-2014 |
20140189684 | VIRTUAL MACHINE SCHEDULING BASED ON USER-DEFINED RULES - A processing device receives a rule for execution by a rules engine and provides the rule to the rules engine. The processing device receives a request to provision a virtual machine (VM) having VM attributes. The processing device provides metrics of a plurality of physical hosts to the rules engine and the VM attributes to the rules engine. The processing device then receives. from the rules engine, an identification of one or more prioritized physical hosts of the plurality of physical hosts. The processing device provisions the VM on one of the one or more prioritized physical hosts. | 07-03-2014 |
20140189685 | SYSTEMS AND METHODS FOR REPURPOSING VIRTUAL MACHINES - Software, firmware, and systems repurpose existing virtual machines. After a virtual machine is created, the system stores data associated with the virtual machine to permit its later repurposing. Repurposing data includes data associated with the virtual machine when the virtual machine is in a generic state from which it may be configured for use by two or more users/applications. When the system receives a request to create a new virtual machine, rather than create a brand new virtual machine, the system repurposes an existing virtual machine. The system identifies a virtual machine to repurpose, deletes data associated with the identified virtual machine, and loads a saved copy of repurposing data. The system may then load user data or otherwise customize the database and virtual machine. | 07-03-2014 |
20140189686 | ELASTIC OFFLOAD OF PREBUILT TRAFFIC MANAGEMENT SYSTEM COMPONENT VIRTUAL MACHINES - Embodiments are directed towards employing a traffic management system (TMS) that is enabled to deploy component virtual machines (CVM) to the cloud to perform tasks of the TMS. In some embodiments, a TMS may be employed with one or more CVMs. In at least one embodiment, the TMS may maintain an image of each CVM. Each CVM may be configured to perform one or more tasks, to operate in specific cloud infrastructures, or the like. The TMS may deploy one or more CVMs locally and/or to one or more public and/or private clouds. In some embodiments, deployment of the CVMs may be based on a type of task to be performed, anticipated resource utilization, customer policies, or the like. The deployment of the CVMs may be dynamically updated based on monitored usage patterns, task completions, customer policies, or the like. | 07-03-2014 |
20140189687 | System and Method to Create a Number of Breakpoints in a Virtual Machine Via Virtual Machine Trapping Events - A system and method for dynamic software analysis operable to describe program behavior via instrumentation of virtualization events. | 07-03-2014 |
20140189688 | COMPUTING DEVICE AND COMPUTING METHOD - In a computing method executed by a computing device, one or more computing environments are created for each virtual machine installed in the computing device. Data are received from a client computer. A mathematical operation to process the data and a computing environment corresponding to the certain mathematical operation are determined. A virtual machine that has the determined computing environment is also determined. The determined virtual machine is directed to enter the determined computing environment and perform the mathematical operation on the data. A computing result is obtained from the determined virtual machine and outputted to the client computer. | 07-03-2014 |
20140189689 | APPARATUS FOR CONTROLLING INFORMATION PROCESSING SYSTEM, COMPUTER-READABLE RECORDING MEDIUM STORING PROGRAM THEREOF, AND METHOD THEREOF - An apparatus obtains response information including a result of processing executed by a virtual machine operates on the first device, calculates the first value that is used to evaluate a response time from transmission of the request information to reception of the response information, calculates a ratio of processing capability of the first device to processing capability of the second device, calculates, based on the first value and the ratio, a second value that is used to evaluate a processing time until a response for a request is received when the virtual machine operates on the second device, determines the second device to be a migration destination candidate of the virtual machine when the second value is smaller than a threshold value, migrates the virtual machine that operates on the first device to the migration destination candidate to turn off the power of the first device. | 07-03-2014 |
20140189690 | DYNAMIC DEVICE VIRTUALIZATION - A system and method for providing dynamic device virtualization is herein disclosed. According to one embodiment, the computer-implemented method includes observing a behavior of a native device driver of a device attached to a host computer, dynamically creating a virtual device driver by observing the behavior of the native device driver on a host operating system (OS) of the host computer, and providing the virtual device driver to a guest OS running on a virtualization host. | 07-03-2014 |
20140189691 | INSTALLATION SYSTEM AND METHOD - A remote computer is connected to a plurality of cloud servers of a data center. The remote computer obtains parameters of each cloud server and determines available cloud servers. The remote computer calculates an installation coefficient of each available cloud server according to the parameters of the available cloud server. The remote computer installs the virtual machine in the available cloud server according to a maximum installation coefficient. | 07-03-2014 |
20140189692 | WIRELESS NETWORK, IMPLEMENTATION METHOD THEREOF, AND TERMINAL - The present invention provides a wireless network, an implementation method thereof, and a terminal. The wireless network includes a terminal, a mobile communication network, and a network cloud, where the terminal is connected to the network cloud through the mobile communication network; a virtual machine corresponding to the terminal is provided in the network cloud; a tenant corresponding to the virtual machine is provided on the terminal; the virtual machine is configured to run an application and/or process a file as a proxy of the terminal, and upon reception of an operation command sent by the tenant, transmit display screen image data that is of the application and/or file and corresponds to the operation command to the tenant through a wireless air interface. | 07-03-2014 |
20140196027 | LOW-RISK SERVER CONSOLIDATION - A method for virtual machine (VM) consolidation includes providing a plurality of resource usage levels for a set of VMs to be consolidated including a first resource usage level and a last resource usage level. An optimization problem is formulated to minimize an objective function such that any of one or more VMs of a set of VMs to be allocated to a target server may be assigned to the first resource level and remaining VMs of the set may be assigned to the last resource level while not exceeding a resource capacity of the target server. The set of VMs are allocated to a number of servers is accordance with the formulating to consolidate the set of VMs. | 07-10-2014 |
20140196028 | METHOD AND SYSTEM FOR SHARING TEMPLATES AND MULTI-INSTANCE CLOUD DEPLOYABLE APPLICATIONS - A server computer system identifies a set of image templates for building a cloud server image and a compatible deployable template for launching the cloud server image in a template repository. The server computer system associates the set of image templates with the compatible deployable template in the template repository. Upon receiving a user selection, the server computer system obtains the set of image templates and the compatible deployable temple. | 07-10-2014 |
20140196029 | SCRIPTING LANGUAGE EXECUTOR SERVICE FOR APPLICATIONS - A method and system for executing scripts on virtual machines is provided. The system includes an executor service that accepts scripts, parameters, authentication information from another process that needs to invoke a script on a target virtual machine (or group of virtual machines). The executor service remains running even after a script has completed execution. The executor service accepts any number of scripts and places them in a command queue. Agents running on the target virtual machine(s) check-in with the executor service to receive scripts from the command queue. Also, the executor service may directly invoke a script using a persistent connection to a virtual machine management center. | 07-10-2014 |
20140196030 | HIERARCHICAL THRESHOLDS-BASED VIRTUAL MACHINE CONFIGURATION - In response to a performance parameter of a virtual machine (VM) exceeding a threshold, an operation to be performed relative to the VM is identified. A resource requirement of performing the operation is determined using a resource requirement thresholds hierarchy. The resource requirement thresholds hierarchy is usable for computing the resource requirement of the operation when the operation is performed at a specified level in a hierarchy within a virtualized environment where the VM is executing. A violation value resulting from performing the operation is determined using a violation value thresholds hierarchy. If the resource requirement and the violation value do not exceed a resource requirement threshold and a violation value threshold respectively, a first configuration of the VM is revised to a second configuration of the VM. The second configuration includes a result of performing the operation relative to the VM at the specified level in the hierarchy. | 07-10-2014 |
20140196031 | PREPROVISIONING USING MUTATED TEMPLATES - Illustrative embodiments include a method for preprovisioning using a mutated template. A subset of templates is selected from a set of templates that can be provisioned to a data processing system, a template in the set of templates including data to create a virtual machine on the data processing system. The mutated template is constructed using the subset of templates. A manifest is constructed such that a template in the subset of templates can be reconstructed from the mutated template using the manifest. Instead of the subset of templates, the mutated template is preprovisioned to the data processing system. | 07-10-2014 |
20140196032 | USING PREPROVISIONED MUTATED TEMPLATES - Illustrative embodiments include a method for creating a virtual machine using a preprovisioned mutated template. A template to use for creating the virtual machine is identified, a template including data usable to create the virtual machine on a data processing system. A block of data is selected in the mutated template for reconstructing the template from the mutated template. The block of data is included in the mutated template at a location specified in a manifest associated with the mutated template. A data structure of the template is populated with the block of data such that the block of data occupies a predetermined position in the template, thereby reconstructing the template from the mutated template. The virtual machine is created on the data processing system using the template. | 07-10-2014 |
20140196033 | SYSTEM AND METHOD FOR IMPROVING MEMORY USAGE IN VIRTUAL MACHINES - A method (and system) for managing memory among virtual machines in a system having a plurality of virtual machines, includes providing at least one memory optimization mechanism which can reduce memory usage of a virtual machine at a cost of increasing CPU usage. Information on memory usage and CPU usage of each virtual machine is periodically collected. In response to detecting that a first virtual machine exhibits a high level of memory use, at least one second virtual machine with extra CPU capacity is identified. The at least one memory optimization mechanism is applied to the at least one second virtual machine, to reduce memory used by the at least one second virtual machine, thereby providing a portion of freed memory. The portion of freed memory is then allocated to the first virtual machine. | 07-10-2014 |
20140196034 | VIRTUAL MACHINE CONTROL APPARATUS AND VIRTUAL MACHINE CONTROL METHOD - A virtual machine control apparatus | 07-10-2014 |
20140196035 | MANAGEMENT SYSTEM, RECORDING MEDIUM AND METHOD FOR MANAGING VIRTUAL MACHINES - An information processing system includes circuitry configured to identify a plurality of systems that each access at least one of a plurality of virtual machines executed by a server, generate, for each system, a value indicating whether performance of the system satisfies an agreement for the system if a virtual machine accessed by the system is stopped, determine a virtual machine to be stopped among the plurality of virtual machines based on the values. | 07-10-2014 |
20140196036 | TRACING OPERATIONS IN A CLOUD SYSTEM - An apparatus and a related method to track operations on a cloud system are provided. A processor may execute at least one virtual machine that emulates an independent computer apparatus. A module may receive a first record generated by the at least one virtual machine. The first record may comprise at least one attribute associated with an operation occurring in a virtual machine. The module may also generate a second record having attributes corresponding to some of the attributes in the first record. | 07-10-2014 |
20140196037 | GANG MIGRATION OF VIRTUAL MACHINES USING CLUSTER-WIDE DEDUPLICATION - Datacenter clusters often employ live virtual machine (VM) migration to efficiently utilize cluster-wide resources. Gang migration refers to the simultaneous live migration of multiple VMs from one set of physical machines to another in response to events such as load spikes and imminent failures. Gang migration generates a large volume of network traffic and can overload the core network links and switches in a data center. The present technology reduces the network overhead of gang migration using global deduplication (GMGD). GMGD identifies and eliminates the retransmission of duplicate memory pages among VMs running on multiple physical machines in the cluster. A prototype GMGD reduces the network traffic on core links by up to 51% and the total migration time of VMs by up to 39% when compared to the default migration technique in QEMU/KVM, with reduced adverse performance impact on network-bound applications. | 07-10-2014 |
20140196038 | VIRTUAL MACHINE MANAGEMENT IN A DATA STORAGE SYSTEM - Virtual machine (VM) proliferation may be reduced through the use of Virtual Server Agents (VSAs) assigned to a group of VM hosts that may determine the availability of a VM to perform a task. Tasks may be assigned to existing VMs instead of creating a new VM to perform the task. Furthermore, a VSA coordinator may determine a grouping of VMs or VM hosts based on one or more factors associated with the VMs or the VM hosts, such as VM type or geographical location of the VM hosts. The VSA coordinator may also assign one or more VSAs to facilitate managing the group of VM hosts. In some embodiments, the VSA coordinators may facilitate load balancing of VSAs during operation, such as during a backup operation, a restore operation, or any other operation between a primary storage system and a secondary storage system. | 07-10-2014 |
20140196039 | VIRTUAL MACHINE CATEGORIZATION SYSTEM AND METHOD - Virtual machine (VM) proliferation may be reduced through the use of Virtual Server Agents (VSAs) assigned to a group of VM hosts that may determine the availability of a VM to perform a task. Tasks may be assigned to existing VMs instead of creating a new VM to perform the task. Furthermore, a VSA coordinator may determine a grouping of VMs or VM hosts based on one or more factors associated with the VMs or the VM hosts, such as VM type or geographical location of the VM hosts. The VSA coordinator may also assign one or more VSAs to facilitate managing the group of VM hosts. In some embodiments, the VSA coordinators may facilitate load balancing of VSAs during operation, such as during a backup operation, a restore operation, or any other operation between a primary storage system and a secondary storage system. | 07-10-2014 |
20140196040 | VIRTUAL MACHINE CRASH FILE GENERATION TECHNIQUES - Techniques for creating crash data in a virtualized environment are disclosed. In an embodiment of the present disclosure the techniques can be used when a guest operating system within a virtual machine may not have a sufficient mechanism for generating crash data. | 07-10-2014 |
20140196041 | VIRTUAL MACHINE MANAGEMENT DEVICE, AND VIRTUAL MACHINE MOVE CONTROL METHOD - A virtual machine management device includes an acquiring unit, a specifying unit, and a move processing unit. The acquiring unit acquires an amount of communication data that is exchanged between multiple virtual machines running in multiple server devices and that is used for communication with each other. The specifying unit specifies, on the basis of the communication distance between each of the server devices, a server device that has a shorter communication distance from a server device, which executes one of a pair of the virtual machines whose amount of the communication data is equal to or greater than a predetermined amount, than a communication distance between the server devices in which the pair of the virtual machines are running. The move processing unit moves the other one of the pair of the virtual machines to the specified server device. | 07-10-2014 |
20140196042 | SERVER DEVICE, LOG TRANSFERRING METHOD, AND LOG TRANSFERRING SYSTEM - A server device includes a virtualization control unit, a storing unit, and a transferring unit. The virtualization control unit operates a virtual machine that is a virtualized computer to control a migration of the virtual machine with another server device. The storing unit stores therein a log, in an associated manner with the virtual machine, that is created by the virtual machine. When the virtual machine is migrated to the other server device, the transferring unit transfers, to the other server device, the log of the virtual machine targeted for a migration stored in the storing unit. | 07-10-2014 |
20140201732 | Detection of Unauthorized Use of Virtual Resources - In one implementation, an original physical profile file and a configuration baseline are stored for a virtual machine. The physical profile file includes physical characteristics of a physical device running the virtual machine. The configuration baseline includes configuration settings or attributes of the instance of the virtual machine. A network device detects current value for at least one physical characteristic and compares the current value to the original physical profile file. When the current values deviate enough from the original physical profile file to exceed a threshold amount of deviation that is permissible, the network device determines that the virtual machine has been moved to another physical device. In response, the network device monitors current configuration settings or attributes with respect to the configuration baseline in order to detect an unauthorized usage of the virtual machine. | 07-17-2014 |
20140201733 | SCALABLE NETWORK OVERLAY VIRTUALIZATION USING CONVENTIONAL VIRTUAL SWITCHES - In one embodiment, a system includes a server running a virtualization platform, the virtualization platform including logic adapted for creating one or more virtual machines (VMs) and logic adapted for managing a virtual switch (vSwitch), a controller in communication with the server, the controller including logic adapted for assigning a media access control (MAC) address and a virtual local area network (VLAN) identifier (ID) to each of the one or more VMs, wherein a specific tenant to which the one or more VMs belongs is indicated using a tenant ID derived from the VLAN ID, the MAC address, or a combination thereof. Other systems, methods, and computer program products are also described according to more embodiments. | 07-17-2014 |
20140201734 | COMPARTMENTALIZATION OF THE USER NETWORK INTERFACE TO A DEVICE - A device has physical network interface port through which a user can monitor and configure the device. A backend process and a virtual machine (VM) execute on a host operating system (OS). A front end user interface process executes on the VM, and is therefore compartmentalized in the VM. There is no front end user interface executing on the host OS outside the VM. The only management access channel into the device is via a first communication path through the physical network interface port, to the VM, up the VM's stack, and to the front end process. If the backend process is to be instructed to take an action, then the front end process forwards an application layer instruction to the backend process via a second communication path. The instruction passes down the VM stack, across a virtual secure network link, up the host stack, and to the backend process. | 07-17-2014 |
20140201735 | MASTER AUTOMATION SERVICE - A method and system is provided for managing virtual machine instances (VMs) and other computing and network infrastructure within a data center. A user designates a computing device or resource (whether physical or virtual) as a master automation object (e.g., a virtual machine instance may be designated as a virtual template for command replication). The user then establishes an association between the master object and a set of physical and/or virtual computing resources that should replicate a set of designated commands sent to the master object. An automation service then intercepts/observes commands sent to the master object and sends the intercepted/observed commands to each computing device linked to the master object. | 07-17-2014 |
20140201736 | Mechanism For Managing Storage Connections In A Distributed Virtualization Environment - A host machine executing a connection agent receives a configuration identifying a set of connections to a plurality of storage servers. The host machine later receives a command to run a virtual machine. The host machine determines, based on the configuration, a particular connection of the set of connections to a particular storage server of the plurality of storage servers, the particular connection enabling access to data associated with the virtual machine that is stored by the particular storage server. The host machine then establishes the particular connection to the particular storage server without first receiving a command to establish the particular connection. | 07-17-2014 |
20140201737 | SEAMLESS VIRTUAL MACHINE RECALL IN A DATA STORAGE SYSTEM - The data storage system according to certain aspects can manage the archiving of virtual machines to (and restoring of virtual machines from) secondary storage. The system may archive virtual machines (VMs) that are determined to have a low level of utilization. The system may create a virtual machine placeholder for an archived VM, which may be a “light” or minimal version of the VM that acts like the actual VM. By using a VM placeholder, a VM may appear to be active and selectable by the user. When the user selects the VM, the VM placeholder can interact with the user in similar manner as the VM. Accessing the VM placeholder may trigger restore of the archived VM from secondary storage. The restore of the archived VM may be “seamless” to the user since the VM remains available while it is being restored. | 07-17-2014 |
20140201738 | SWITCH AND METHOD FOR GUARANTEEING QUALITY OF SERVICE OF MULTI-TENANT CLOUD SERVICE AND SYSTEM HAVING THE SAME SWITCH - Provided are a method, apparatus, and system for providing a multi-tenant cloud service, which can guarantee quality of service (QOS) in units of flows and virtual machines (VMs). A dynamic virtual flow switch includes a switch flow agent configured to receive and store virtual machine QOS information about each of a plurality of virtual machines operating in a plurality of computer servers and flow QOS information about a flow generated by the virtual machine from a virtual flow controller and a flow processing unit configured to receive the flow generated by the virtual machine and determine a QOS priority of the flow based on the stored virtual machine QOS information of the virtual machine and flow QOS information of the flow. | 07-17-2014 |
20140201739 | VIRTUAL MACHINE BRANCHING AND PARALLEL EXECUTION - A state branching system is described herein that allows parallel execution of complex state transitions while leveraging time invested to setup a starting state. By allowing branching at the virtual machine level, the state branching system allows setup of a particular condition or state in a virtual machine, then copying and branching to parallel instances of the virtual machine to explore different possible subsequent states. Upon detecting a large state change with unknown outcome, the state branching system instructs the hypervisor to copy the executing virtual machine into one or more separate virtual machines. The system then allows divergent branching between the two or more virtual machines to explore different states from a similar starting point. Once the executions have reached the next state, the system coordinates to determine which copies will continue execution. Thus, the state branching system allows faster exploration of complex state changes. | 07-17-2014 |
20140201740 | SOFTWARE DELIVERY FOR VIRTUAL MACHINES - One embodiment entails delivering a software payload to guest software in a virtual machine so that the software payload is part of a file system accessible by the guest software, wherein the delivery is such that the software payload is part of a file system accessible by the guest software. The delivering utilizes a virtual device of the virtual machine, but does not involve either a virtual network interface card (NIC) or any virtual host bus adapter (VHBA) of the virtual machine. The delivering further includes establishing a data path from the software payload to the virtual machine and a guest agent of the virtual machine. During processing of the software payload, status from the guest agent is monitored. After reception of the status indicating completion of the processing of the software payload, the data path is deactivated. | 07-17-2014 |
20140201741 | WORKLOAD INTERFERENCE ESTIMATION AND PERFORMANCE OPTIMIZATION - Architecture that facilitates the estimation of interference among workloads (e.g., virtual machines) due to sharing of a shared resource (e.g., a shared cache of a computer processor), and optimization of a desired performance objective such as power or energy use in the presence of the interference. Estimation is to the extent of interference by characterizing the nature of shared resource usage and its effect on performance. Performance optimization is accomplished using metrics based on the above estimation, or alternatively, an explicit measurement of the interference effects. Methods are employed to estimate interference on the workload's performance with changes in availability of the shared resource or with combinations of other workloads sharing the same resource and allocating workloads to one or more physical computers or resources to workloads such that a desired performance objective is optimized. The methods can include allocating workloads on demand. | 07-17-2014 |
20140201742 | SYSTEM AND METHOD FOR REDUNDANT ARRAY COPY REMOVAL IN A POINTER-FREE LANGUAGE - A system and method for redundant array copy removal in a virtual machine (VM), or other runtime environment, and particularly for use in a system that includes a Java Virtual Machine (JVM). In pointer free languages array copy operations are common and time consuming. Embodiments of the present invention enable the compiler to detect situations where the compiled code can safely use the source array as the destination array without performing any copy operation. By avoiding array copy operations, the performance of the application is improved. | 07-17-2014 |
20140201743 | VIRTUALIZED DEVICE CONTROL IN COMPUTER SYSTEMS - Virtual device control in a computer system is described. Examples include: obtaining a device configuration policy from firmware in the computer system, the device configuration policy defining global access permissions to at least one embedded device in the computer system applied at boot time. Obtaining a virtual device configuration policy established for at least one of a selected user or a selected virtual machine (VM), the virtual device configuration policy defining additional access permissions to the at least one embedded device. Establishing a virtual hardware definition for an instance of the selected VM executing on the computer system based on the global access permissions and the additional access permissions. | 07-17-2014 |
20140208314 | Automatically Propagating Updates in a Data Center - Techniques, systems, and articles of manufacture for automatically propagating updates in a data center. A method includes dividing multiple virtual machines in a data center into one or more equivalence classes, wherein each equivalence class is based on a signature corresponding to an offline, online and/or manual update, automatically creating an offline and/or online virtual machine manifest for a first virtual machine of the multiple virtual machines based on one or more file system changes during the offline, online and/or manual update for the first virtual machine, wherein said manifest is applicable to each additional virtual machine in the same equivalence class as the first virtual machine, and applying the offline and/or online virtual machine manifest for the first virtual machine to the remaining multiple virtual machines in the same equivalence class to automatically update the remaining multiple virtual machines in the same equivalence class. | 07-24-2014 |
20140208315 | LIVE VIRTUAL MACHINE MIGRATION QUALITY OF SERVICE - A system and method for providing quality of service during live migration includes determining one or more quality of service (QoS) specifications for one or more virtual machines (VMs) to be live migrated. Based on the one or more QoS specifications, a QoS is applied to a live migration of the one or more VMs by controlling resources including at least one of live migration network characteristics and VM execution parameters. | 07-24-2014 |
20140208316 | Dynamic Configuration of Virtual Appliances - A solution is proposed for deploying a virtual appliance onto a data processing system. A corresponding method comprises instantiating the virtual appliance with a basic configuration onto the data processing system, determining a value of each one of to set of environmental features of a virtual data processing environment associated with the virtual appliance, and downloading a set of local software programs corresponding to the values of at least part of the environmental features onto the virtual appliance. | 07-24-2014 |
20140208317 | MULTI-TENANT SYSTEM AND CONTROL METHOD OF MULTI-TENANT SYSTEM - A management device allocates a first identifier that identifies, from among tenants included in a multi-tenant system, a tenant that uses virtual machines running on the multi-tenant system. The management device performs the following process, for each terminating device, from among tenants in the multi-tenant system, on virtual machines that are running on a second network in which terminating devices are installed. Specifically, the management device allocates a second identifier that identifies a tenant that uses virtual machines running on the multi-tenant system. Furthermore, the management device creates, for each terminating device, conversion information in which the first identifier that is allocated to each of the virtual machines is associated with the second identifier. Then, the management device sets each terminating device such that a packet is encapsulated in accordance with the created conversion information. | 07-24-2014 |
20140208318 | Method and Apparatus for Adjusting I/O Channel on Virtual Platform - A method and an apparatus for adjusting an input/output (I/O) channel on a virtual platform, and the method for adjusting an I/O channel includes: counting, by a host, current average I/O throughput of multiple virtual machines (VMs) operating on the host; increasing or decreasing, by the host, working threads for processing the VMs between front devices and back devices of the multiple VMs according to the current average I/O throughput; and adjusting, by the host, a mapping relationship between queues in the front device, queues in the back devices of the multiple VMs, and working threads for processing the VMs. According to the present invention, when the I/O throughput decreases, idle I/O channel resources are released, thereby avoiding a waste of the I/O channel resources; when the I/O throughput increases, the I/O channel resources are increased, resulting in an improved data transmission capability of the I/O channels. | 07-24-2014 |
20140208319 | SYSTEM FOR PROVIDING VIRTUAL MACHINES - There is provided a system for providing virtual machines capable of enabling a user to efficiently use the virtual machines. The system for providing virtual machines executes a virtual router virtualizing means that virtualizes 1st to n-th virtual routers ( | 07-24-2014 |
20140208320 | CREATING A VIRTUAL RESOURCE PACKAGE - A method, apparatus, and/or computer program product creates a virtual resource package. Metadata related to a machine is captured, where the machine is a physical machine and/or a virtual machine. A constraint definition describing a configuration constraint of the machine is generated based on the captured metadata. A disk image file of the at least one machine, the captured metadata and the generated constraint definition are packaged to generate the virtual resource package. | 07-24-2014 |
20140208321 | AVOIDING PHYSICAL FRAGMENTATION IN A VIRTUALIZED STORAGE ENVIRONMENT - A virtualized storage stack includes logical layers above the physical storage layer. Each logical layer allocates data blocks, and the data block allocation is propagated down to the physical storage layer. To facilitate contiguous storage, each layer of the virtualized storage stack maintains additional metadata associated with data blocks. For each data block, the metadata indicates whether the data block is free, provisioned and includes a tag that indicates when the data block was first written. Data blocks that were first written as part of the same write request share the same tag, and are mostly guaranteed to be physically co-located. Block allocations that reuse data blocks having the same tag are preferred. Such preference increases the likelihood of the blocks being contiguous in the physical storage as these blocks were allocated as part of the same first write. | 07-24-2014 |
20140208322 | COMPUTER SYSTEM AND VIRTUAL MACHINE ARRANGING METHOD - A computer system includes plural servers in which virtual machines are arranged; plural power supply apparatuses that supply electric power to the servers; and a control apparatus that controls arrangement of the virtual machines in the servers. The control apparatus solves an integer programming problem whose objective function is total power consumption by the servers and by the power supply apparatuses, the total power consumption being described as a function of the arrangement of the virtual machines; and arranges the virtual machines based on a solution of the integer programming problem. | 07-24-2014 |
20140215458 | SYSTEMS AND METHODS FOR MIRRORING VIRTUAL FUNCTIONS IN A CHASSIS CONFIGURED TO RECEIVE A PLURALITY OF MODULAR INFORMATION HANDLING SYSTEMS AND A PLURALITY OF MODULAR INFORMATION HANDLING RESOURCES - A method may include, in a chassis configured to receive a plurality of modular information handling systems and a plurality of modular information handling resources, exposing a first virtual function instantiated on a management processor disposed in the chassis to a switch interfaced between a modular information handling system and the management processor. The method may also include communicating, by the management processor, an input/output request from the modular information handling system received by the first virtual function to at least one of a second virtual function instantiated on a first storage controller communicatively coupled to the management processor and a third virtual function instantiated on a second storage controller communicatively coupled to the management processor. The method may further include receiving, by the management processor, an acknowledgment of completion of the input/output request from at least one of the second virtual function and the third virtual function. | 07-31-2014 |
20140215459 | VIRTUAL MACHINE MEMORY MIGRATION BY STORAGE - Systems and methods for live migration are provided. A hypervisor receives a request to migrate a virtual machine from a source host machine to a destination host machine, and maps memory of the virtual machine on the source host machine to a storage device accessible by the source host machine and by the destination host machine. | 07-31-2014 |
20140215460 | OPTIMIZATION OF VIRTUAL MACHINE SIZING AND CONSOLIDATION - The sizing of virtual machines is optimized based on projected performance metrics. All virtual machine configuration resources are normalized by a processing device. The normalized resources for the virtual machine configurations are then stored in a catalogue. An application is then profiled to obtain resource demand estimates for each virtual machine configuration and a base performance is calculated for the application. The base performance is used to predict performance estimates on all virtual machine configurations in the catalogue. Accordingly, a virtual machine configuration having a lowest response time is selected. | 07-31-2014 |
20140215461 | LOW-LATENCY FAULT-TOLERANT VIRTUAL MACHINES - A system and method are disclosed for managing a plurality of virtual machines (VMs) in a fault-tolerant and low-latency manner. In accordance with one example, a computer system executes a first VM and a second VM, and creates a first live snapshot of the first VM and a second live snapshot of the second VM. The computer system detects, after the creating of the first live snapshot and the second live snapshot, a failure that affects the executing of the first VM, and in response destroys the first VM and the second VM, creates a third VM from the first live snapshot and a fourth VM from the second live snapshot, and initiates execution of the third VM and the fourth VM. An output transmitted by the first VM to the second VM during the creating of the first live snapshot and the second live snapshot is not blocked. | 07-31-2014 |
20140215462 | COMPUTER SYSTEM AND GRAPHICS PROCESSING METHOD THEREOF - A computer system and a graphics processing method thereof are provided. The computer system includes at least one physical machine (PM) and a graphics processing cluster. The at least one PM includes at least one virtual machine (VM) and a virtual machine manager (VMM). The graphics processing cluster includes a plurality of graphics processing servers. Each of the graphics processing servers includes a plurality of graphics processing units (GPUs). A main graphics processing server out of the graphics processing servers receives a graphics processing request provided by the VMM and the VM for assigning the graphics processing request to a minor graphics processing server out of the graphics processing servers. The minor graphics processing server provides a graphics processing result according to the graphics processing request and transmits the graphics processing result to the VM though the VMM. | 07-31-2014 |
20140215463 | SYSTEMS AND METHODS FOR HANDLING VIRTUAL MACHINE PACKETS - Systems and methods for handling virtual machine packets are provided. In some aspects, a method includes receiving, by a network interface controller, an indicator of a packet from a virtual machine. The indicator bypasses a virtual switch coupled to the virtual machine. The method also includes determining, in response to receiving the indicator, whether the packet is designated for accelerated processing. The method also includes providing the indicator to the virtual switch for processing if the packet is determined not to be designated for accelerated processing. The method also includes processing, by the network interface controller, the packet for transmission if the packet is determined to be designated for accelerated processing. | 07-31-2014 |
20140215464 | OPTIMIZATION OF VIRTUAL MACHINE SIZING AND CONSOLIDATION - The sizing of virtual machines is optimized based on projected performance metrics. All virtual machine configuration resources are normalized by a processing device. The normalized resources for the virtual machine configurations are then stored in a catalogue. An application is then profiled to obtain resource demand estimates for each virtual machine configuration and a base performance is calculated for the application. The base performance is used to predict performance estimates on all virtual machine configurations in the catalogue. Accordingly, a virtual machine configuration having a lowest response time is selected. | 07-31-2014 |
20140215465 | TRAFFIC AND/OR WORKLOAD PROCESSING - In this embodiment, techniques are provided that may permit operations performed by hardware and software to process one or more (e.g., network traffic-related) workloads to be coordinated, at least in part. Such coordination may permit this embodiment to operate in accordance with one or more advantageous usage models, and/or to achieve advantages such as, accelerated network traffic processing, while permitting and/or facilitating a multi-tenant (e.g., software-defined) network environment to be provided, for example, via enforcement of one or more associated policies. Many modifications are possible without departing from this embodiment. | 07-31-2014 |
20140215466 | METHOD AND SYSTEM FOR DETERMINING REQUIREMENTS FOR INTERFACE BETWEEN VIRTUAL NETWORK ELEMENTS AND NETWORK HYPERVISOR FOR SEAMLESS (DISTRIBUTED) VIRTUAL NETWORK RESOURCES MANAGEMENT - A method for determining and then abstracting the requirements for the interface between virtual network entity or element (VNE) and Network Hypervisor (NHV) is described. The abstraction helps creation of an open and interoperable VNE-NHV environment because suitable interworking Apps for interface can now be easily invoked on the basis of instantaneous demands from the services. Automation of configuration together with assignment of VNEs mitigates the impact of limitation of resources in any network. Once an NHV is created and the VNEs—irrespective of their domains—are attached to it, the VNEs can be utilized by the services seamlessly. | 07-31-2014 |
20140215467 | Method and Virtualization Controller for Managing a Computer Resource With at Least Two Virtual Machines - A method and virtualization controller for use of a computer resource by a plurality of virtual machines, the virtualization controller being configured to virtualize the resource, wherein for sole access to the resource by a first of the virtual machines, direct access to the resource by the first virtual machine is provided, a virtual device is assigned to the second virtual machine for access to the resource, wherein, in the event of a request to access the resource from the second virtual machine, the direct access to the resource by the first virtual machine is terminated, control of the resource is completely assumed by the virtualization controller, a first virtual device is assigned to the first virtual machine for further access to the resource, and the second virtual machine accesses the resource using the second virtual device. | 07-31-2014 |
20140223427 | System, Method and Apparatus for Determining Virtual Machine Performance - A method for a computing apparatus for evaluating a virtualized application is provided. Accordingly, performance characteristics for a virtual machine as well as predetermined criteria based on resource requirements for a proposed virtualized application can be received through the network interface of the computing apparatus. The method also allows for determining, at the computing apparatus, whether the predetermined criteria are satisfied based on the performance characteristics and transmitting instructions to cause the proposed virtualized application to be set up within the virtual machine if the predetermined criteria are satisfied. Once the virtualized application is set up, it can be monitored and if the performance characteristics do not satisfy the virtualized application's performance requirements, the virtualized application can be caused to be moved to a different virtual machine. Performance characteristics of a virtual machine can also be determined on the basis of a parking database. | 08-07-2014 |
20140223428 | MANAGING VIRTUAL CLUSTERING ENVIRONMENTS ACCORDING TO REQUIREMENTS - Managing virtual machines includes determining an operating parameter of a device during operation of the device as part of a cluster of devices while the device hosts a virtual machine and comparing, using a processor, a requirement for the virtual machine with the operating parameter. A view of the virtual machine operating within the device of the cluster can be displayed. A result of the comparison can be indicated through application of a visualization technique to an identifier representing the virtual machine within the view. | 08-07-2014 |
20140223429 | SYSTEMS, METHODS AND COMPUTER PROGRAM PRODUCTS FOR BOOTSTRAPPING A TYPE 1 VIRTUAL MACHINE MONITOR AFTER OPERATING SYSTEM LAUNCH - Systems, methods, and computer program products that provide for the use of a type 2 VMM to de-link or isolate underlying processor hardware from an operating system. This may allow the launching of a task that requires direct access to processor hardware, where such access requires the absence of an operating system. Such a task may take the form of a type 1 VMM, such as an information security or integrity VMM, e.g., an anti-malware VMM. | 08-07-2014 |
20140223430 | METHOD AND APPARATUS FOR MOVING A SOFTWARE OBJECT - According to one example of the present invention, there is provided a method of moving one of a plurality of software objects deployed among a plurality of object destinations in a computing system. The method comprises identifying a deployed software object to be moved to a new object destination, identifying a candidate object destination, identifying other software objects deployed on the candidate object destination, identifying a constraint associated with software object to be moved, identifying a constraint associated with software objects deployed on the candidate object destination, determining whether the identified constraints are compatible, and authorizing the move of the software object to be moved where it is determined that the constraints are compatible. | 08-07-2014 |
20140223431 | SYSTEM FOR PROVIDING VIRTUAL MACHINES - There is provided a system for providing virtual machines which enables users to effectively use the virtual machines without any waste. | 08-07-2014 |
20140223432 | Display Of Host Operating System User Interface Elements Within A Guest Operating System Of A Virtual Machine - Example embodiments relate to the display of user interface elements of a host operating system within a virtual machine guest operating system. In example embodiments, the host OS transmits information relating to a user interface element displayed within the host OS to the guest OS. The guest OS may then output a corresponding user interface element, receive input from a user interacting with the corresponding element within the guest OS, and return information describing the user input from the guest OS to the host OS. | 08-07-2014 |
20140223433 | HASHING STORAGE IMAGES OF A VIRTUAL MACHINE - Virtual machine images are transferred from a source storage location to a target storage location over a network. In one embodiment, a host at the source storage location computes signature values of a plurality of disk blocks that contain a plurality of virtual machine images. Each computed signature value corresponds to one of the disk blocks. A subset of the disk blocks, all of which have different signature values, is then transferred from the source storage location to the target storage location. Only one copy of duplicate disk blocks is transferred. | 08-07-2014 |
20140223434 | Methods and Apparatus to Provision Cloud Computing Network Elements - Methods and apparatus to provision cloud computing network elements are disclosed. A disclosed example method includes receiving a selection of a cloud networking template from a client, wherein the cloud networking template includes a data center connector type and a wide area network connector type, configuring a virtual machine on a host server based on the cloud networking template, configuring a data center connector based on the data center connector type, configuring a wide area network connector based on the wide area network connector type, and coupling the wide area network connector to the data center connector and coupling the data center connector to the virtual machine within the host server to enable the client to access the virtual machine. | 08-07-2014 |
20140223435 | Virtual Machine Migration - Methods and devices for migrating a virtual machine from a source server to a destination server. Information identifying a multicast group of the virtual machine on the source server is received. Before the virtual machine migrates to the destination server, a destination interface of a destination network device connected to the destination server is added to the identified multicast group such that the virtual machine continues to receive multicast traffic of the multicast group after the migration. | 08-07-2014 |
20140237468 | Token-Based Adaptive Task Management for Virtual Machines - Embodiments perform adaptive throttling of tasks into a virtual datacenter having dynamically changing resources. Tasks are processed concurrently in batches. The rate of change in throughput at different batch sizes is calculated. With each iteration, the batch size is increased or decreased based on the rate of change to achieve a maximum throughput for given resources and load on the virtual datacenter. | 08-21-2014 |
20140237469 | FIRMWARE METADATA AND MIGRATION IN VIRTUALIZED SYSTEMS - A system and methods are disclosed for employing firmware metadata and migrating firmware in virtualized environments. In accordance with one example, a hypervisor that is executed by a computer system obtains an address of a firmware program stored in a non-volatile memory of the computer system. The hypervisor also obtains metadata that specifies a set of capabilities of the firmware program, where the metadata is not accessible to any virtual machine hosted by the computer system. | 08-21-2014 |
20140237470 | Virtual Machine-to-Image Affinity on a Physical Server - Systems and articles of manufacture for improving virtual machine-to-image affinity on a physical server include identifying physical machines in a network as candidate source physical machines, wherein each candidate source physical machine stores a first virtual machine image and a set of additional virtual machine images, identifying physical machines in the network as candidate target physical machines, wherein each candidate target physical machine stores one of the additional virtual machine images, and selecting a virtual machine image from the set of additional virtual machine images and selecting a physical machine from the candidate target physical machines such that migrating the selected virtual machine image from a candidate source physical machine to the selected target physical machine results in a maximized image affinity per virtual machine in comparison to each image migration scenarios for the set of additional virtual machine images. | 08-21-2014 |
20140237471 | Boot and Browse Apparatus Coupled to Backup Archive and Method of Operation - A system enables booting a virtual machine and browsing files from a de-duplicated backup server by initializing a virtual machine process, and setting up NFS services connecting the NFS service to a fake disk. The fake disk is actualized by a backup server and an overlay store. Writing into the fake disk is supported by an overlay store. Reading from the fake disk is supported by file reads from the backup server or from the overlay store. | 08-21-2014 |
20140237472 | RESOURCE OPTIMIZATION RECOMMENDATIONS - A resource optimization manager monitors resource metrics of a set of virtual machine instance types and determines a set of applications associated with the virtual machine instance types and associates the resource metrics to the set of applications. Thereafter, the resource optimization manager can generate clusters of applications that share one or more similar attributes and store resource optimizations for the clustered applications. The resource optimization manager can obtain a designation of a target application run on a virtual machine instance or otherwise obtain a definition of an application. The resource optimization manager can then associate the target application with one or more of the clustered applications based on a comparison of similarities between the clustered applications and the target applications. | 08-21-2014 |
20140237473 | VIRTUALIZATION OF STORAGE BUFFERS USED BY ASYNCHRONOUS PROCESSES - The amount of host real storage provided to a large guest storage buffer is controlled. This control is transparent to the guest that owns the buffer and is executing an asynchronous process to update the buffer. The control uses one or more indicators to determine when additional host real storage is to be provided. | 08-21-2014 |
20140245291 | SHARING DEVICES ASSIGNED TO VIRTUAL MACHINES USING RUNTIME EXCLUSION - An example system and method of sharing a device assigned to a plurality of virtual machines includes identifying a first virtual machine in which a device is active. When a condition is satisfied, control of the device is transferred from the first virtual machine to a second virtual machine. Transferring control of the device includes sending a first communication to cause the first virtual machine to relinquish control of the device based on an indication that power will be removed from the device and further to cause the virtual machine to save first state information maintained by the first virtual machine to a first memory. The first state information is associated with the device. Transferring control of the device also includes saving second state information maintained by a host machine to a second memory. The second state information is associated with the first virtual machine and device. | 08-28-2014 |
20140245292 | Automated Application Reconfiguration - Techniques, systems, and articles of manufacture for automated application reconfiguration. A method includes identifying, for each of one or more configuration files in a pre-configured source virtual machine, a corresponding configuration file in a target virtual machine, identifying one or more mis-configured and/or missing points of variability in the one or more identified configuration files in the target virtual machine based on information derived from the one or more configuration files in the source virtual machine, and reconfiguring the target virtual machine by replacing each identified mis-configured point of variability with a given value based on the information derived from the one or more configuration files in the source virtual machine, and adding each identified missing point of variability at a given location with a given value and a given format based on the information derived from the one or more configuration files in the source virtual machine. | 08-28-2014 |
20140245293 | Migration of Virtual Machines with Shared Memory - A system and method of migration of a VM sharing a memory region with another VM includes identifying, by an identification module, a plurality of VMs running on a source host machine, where the plurality of VMs includes first and second VMs that share a first shared memory region coupled to the source host machine; identifying, by a target module, a host machine as a target for the second VM; allocating, by an allocation module, a second shared memory region coupled to the target host machine for the second VM; stopping, by a migration module, execution of the second VM on the source host machine; and migrating, by the migration module, the second VM to the target host machine. | 08-28-2014 |
20140245294 | VIRTUAL MACHINE SUSPENSION - A method and system for suspending and resuming a virtual machine. The method and system include a hypervisor to provide a guest operating system of a virtual machine with an instruction for the virtual machine to enter a sleep mode. The hypervisor receives, from the guest operating system, a confirmation that the virtual machine is in the sleep mode. Following receipt of confirmation that the virtual machine is in sleep mode, the hypervisor suspends the virtual machine. | 08-28-2014 |
20140245295 | Providing Dynamic Topology Information in Virtualized Computing Environments - Systems and methods for providing dynamic processor topology information to a virtual machine hosted by a multi-processor computer system supporting non-uniform memory access (NUMA). An example method may comprise assigning a unique identifier to a virtual processor, determining that the virtual processor has been moved from a first physical processor to a second physical processor, determining a memory access latency value for the second physical processor, and updating an element of a data structure storing memory access latency information with the memory access latency value of the second physical processor, the element identified by the unique identifier of the virtual processor. | 08-28-2014 |
20140245296 | SYSTEM AND METHOD FOR VIRTUALIZATION AWARE SERVER MAINTENANCE MODE - In accordance with the present disclosure, a system and method are herein disclosed for providing a virtualization aware server maintenance mode. In one embodiment, an event is triggered in when a system action request is received by an information handling system. The event is processed and the hypervisor is placed in maintenance mode. The virtualization manager is notified that the mode of the hypervisor has changed and the virtualization manager stores the information associated with the mode status change. The virtualization manager may also notify other remote access consoles or virtualization managers of the mode status change of the hypervisor. A maintenance mode lock may be acquired when the hypervisor is placed in maintenance mode and released after the system action has been processed. | 08-28-2014 |
20140245297 | MANAGING ALLOCATION OF HARDWARE RESOURCES IN A VIRTUALIZED ENVIRONMENT - Hardware resources in a virtualized environment are managed. Via at least one processor external to a physical host that hosts a plurality of virtual machines, resource statistics are received pertaining to hardware resources of the physical host that are allocated to each of the plurality of virtual machines. External to the physical host and in real time, the resource statistics are analyzed to determine whether allocation of the hardware resources to each of the plurality of virtual machines is optimized. When the allocation of the hardware resources to at least one of the virtual machines is not optimized, in real time, at least one resource allocation message is communicated to the physical host, the resource allocation message indicating at least one hardware resource that is to be allocated to, or de-allocated from, the at least one virtual machine. | 08-28-2014 |
20140245298 | Adaptive Task Scheduling of Hadoop in a Virtualized Environment - A control module is introduced to communicate with an application workload scheduler of a distributed computing application, such as a Job Tracker node of a Hadoop cluster, and with the virtualized computing environment underlying the application. The control module periodically queries for resource consumption data, such as CPU utilization, and uses the data to calculate how MapReduce task slots should be allocated on each task node of the Hadoop cluster. The control module passes the task slot allocation to the application workload scheduler, which honors the allocation by adjusting task assignments to task nodes accordingly. The task nodes may also activate and deactivate task slots according to the changed slot allocation. As a result, the distributed computing application is able to scale up and down when other workloads sharing the virtualized computing environment change. | 08-28-2014 |
20140245299 | Managing Storage Commands According to Input-Output Priorities and Dependencies - Input/output operations (IOs) are issued to a storage system using request queues that are each maintained for a resource targeted by the IOs. When an IO is requested, the target resource for the IO is first identified. If a request queue is maintained for the target resource, the IO is added to the request queue and the IO is issued to the storage system as the target resource becomes available. The availability of the target resource may be determined through periodic checks or by monitoring completions of IOs issued out of the request queue. | 08-28-2014 |
20140245300 | Dynamically Balanced Credit for Virtual Functions in Single Root Input/Output Virtualization - A system to allow reallocation of credit among virtual machines associated with separate operating systems includes drivers in each virtual machine to independently track credit usage and a host board adapter configured to report a false maximum to each operating system and track credit usage. The host board adapter allocates credits and reports the allocated credits to virtual functions accessed by the virtual machines. A hypervisor reallocates credits by reporting the new allocation to the host board adapter and consequently to each virtual function and each associated virtual machine. Each operating system maintains resources defined by the false maximum and never knows about the reallocation. | 08-28-2014 |
20140245301 | FILE CONVERTING METHOD FOR COMPUTER SYSTEM - A file converting method for a computer system includes utilizing software for converting a full-virtualized file into a para-virtualized file when the computer system receives a click signal; and activating a virtual machine, and uploading the para-virtualized file to a cloud server. | 08-28-2014 |
20140245302 | Synchronizing Multicast Groups - Embodiments of the invention relate to synchronizing multicast groups of a virtual machine during migration of the virtual machine. A first list and a second list, indicating multicast groups corresponding to the first port and second port respectively, are obtained in response to detection of migration of the virtual machine from a first port to a second port of a same switch. The second list is updated so that the second list contains the multicast groups in the first list. An undesired multicast group is aged. Multicast groups of a virtual machine may be synchronized in the process of migration of the virtual machine, thereby reducing or removing the time during which the virtual machine multicast service is disrupted. | 08-28-2014 |
20140245303 | RDMA (REMOTE DIRECT MEMORY ACCESS) DATA TRANSFER IN A VIRTUAL ENVIRONMENT - In an embodiment, a method is provided. In an embodiment, the method provides determining that a message has been placed in a send buffer; and transferring the message to an application on a second virtual machine by bypassing use of an operating system to process the message by directly placing the message in an application memory space from which the application can retrieve the message. | 08-28-2014 |
20140245304 | IMPLICIT CO-SCHEDULING OF CPUS - Techniques for implicit coscheduling of CPUs to improve corun performance of scheduled contexts are described. One technique minimizes skew by implementing corun migrations, and another technique minimizes skew by implementing a corun bonus mechanism. Skew between schedulable contexts may be calculated based on guest progress, where guest progress represents time spent executing guest operating system and guest application code. A non-linear skew catch-up algorithm is described that adjusts the progress of a context when the progress falls far behind its sibling contexts. | 08-28-2014 |
20140250436 | TRANSACTION-BASED SERVICE CONTROL SYSTEM AND CONTROL METHOD - The present invention relates to a transaction-based service control system and control method. The present invention provides the resource provision management domain and the resource provision management component to manage resources provision respectively, wherein the resource provision management component is used to manage the virtual machine provision, and resource provision management domain is used to manage provision of the set of resources. The said domain and component management method further combines distributed transaction processing method, the state machine mechanism, as well as resource scheduling and transaction routing policy, to control the status of the whole process for service request from the beginning to the end of the execution. Thus the service performance is effectively improved, and the quality of service is ensured. | 09-04-2014 |
20140250437 | VIRTUALIZATION SYSTEM WITH A REMOTE PROXY IN A VIRTUALIZATION LAYER DOMAIN - A remote proxy in a virtualization layer domain establishes a plurality of logical channels via a network connection. The virtualization layer domain is part of a host system in which a set of virtual machines of a virtual machine domain run on a virtualization layer of the virtualization layer domain. The remote proxy receives first information from a first logical channel in plurality of logical channels and second information from a second logical channel in plurality of logical channels through the network connection. Then, the remote proxy determines that the virtual machine domain should process the first information and forwards the first information from the virtualization layer domain to a virtual machine in the set of virtual machines in the virtual machine domain. Also, the remote proxy determines that the virtualization layer domain should process the second information and forwards the second information to the virtualization layer for processing. | 09-04-2014 |
20140259011 | VIRTUAL COMPUTER SYSTEM AND MANAGEMENT METHOD THEREOF - A virtual computer system including multiple virtual machines operating on a hypervisor, includes a trace information collecting section in the hypervisor configured to collect trace information including an operational state of a process on a first virtual machine of the multiple virtual machines from a context saving area corresponding to the first virtual machine, the process being executed when an interrupt is generated; multiple symbol map information collecting sections in the virtual machines, respectively, configured to collect symbol map information for identifying a process operating on each of the virtual machines from a management area included in each of the virtual machines to send the collected symbol map information to the hypervisor; and an analysis processing section in the hypervisor configured to associate the trace information with the symbol map information for each of the processes. | 09-11-2014 |
20140259012 | VIRTUAL MACHINE MOBILITY WITH EVOLVED PACKET CORE - A system and method for controlling mobility of a subscriber-specific Virtual Machine (VM) instance from one VM to another VM using a network node (such as an Evolved Packet Gateway (EPG)) in an Evolved Packet Core (EPC) in a mobile communication network. The EPG may control the VM mobility for each subscriber in the context of cloud-based services or virtualized applications. The EPG may use GPRS Tunneling Protocol (GTP) tunnels rooted at the EPG to Data Center (DC) VMs to govern intra-DC and inter-DC mobility of VMs and also to tie in the mobility triggers to service provider's policies. Each VM session for the mobile subscribers is anchored in the EPG, which then assumes the control of VM mobility for each subscriber through the new GTP interface with the VMs. The EPC-based control of VM mobility can provide optimization of cloud services accessed by a subscriber over a mobile connection. | 09-11-2014 |
20140259013 | VIRTUALIZATION ACROSS PHYSICAL PARTITIONS OF A MULTI-CORE PROCESSOR (MCP) - Among other things, the disclosure is applied to a generic microprocessor architecture with a set (e.g., one or more) of controlling/main processing elements (e.g., MPEs) and a set of groups of sub-processing elements (e.g., SPEs). Under this arrangement, MPEs and SPEs are organized in a way that a smaller number MPEs control the behavior of a group of SPEs using program code embodied as a set of virtualized control threads. The apparatus includes a MCP coupled to a power supply coupled with cores to provide a supply voltage to each core (or core group) and controlling-digital elements and multiple instances of sub-processing elements. In accordance with these features, virtualized control threads can traverse the physical boundaries of the MCP to control SPE(s) (e.g., logical partitions having one or more SPEs) in a different physical partition (e.g., different from the physical partition from which the virtualized control threads originated. | 09-11-2014 |
20140259014 | VIRTUAL SERVER PROCESSING CONTROL METHOD, SYSTEM, AND VIRTUAL SERVER PROCESSING CONTROL MANAGEMENT SERVER - Each of hypervisors operates on one of physical servers, and a virtual server operates in accordance with a file image on one of data stores. A management server, when being instructed to execute a task that is configured by a series of steps for a hypervisor on the physical server, gives an instruction for changing the execution place of a step to a temporary execution place and executing the task in a case where there is no restriction on the execution place of the step and instructs a default hypervisor to execute the task in a case where there is a restriction on the execution place of the step. | 09-11-2014 |
20140259015 | COMPUTER, VIRTUAL MACHINE DEPLOYMENT METHOD AND PROGRAM - A virtual machine deployment determination unit that manages a plurality of physical machines as machines in which a virtual machine is to be deployed acquires setting information including a type of software that is running on the virtual machine and an operating policy of the software, refers to deployment condition determination information which prescribes a deployment condition for selecting the physical machine to become the deployment destination of the virtual machine is associated with the operating policy of the software and stored therein based on the acquired setting information, and determines the physical machine to become the deployment destination of the virtual machine by selecting the physical machine among the plurality of physical machines based on the referral result. | 09-11-2014 |
20140282498 | SYSTEMS AND METHODS TO OFFLOAD HARDWARE SUPPORT USING A HYPERVISOR SUBPARTITION - In an exemplary embodiment of this disclosure, a system may include a first hypervisor, a second hypervisor, and a shared memory set. The first hypervisor and the second hypervisor run over a single logical partition. The shared memory set is accessible by both the first hypervisor and the second hypervisor, and the first hypervisor is configured to communicate with the second hypervisor by writing to the shared memory. The second hypervisor may provide support for hardware that is not supported by the first hypervisor, which hardware may be accessed by the first hypervisor through communications facilitated by use of the shared memory. | 09-18-2014 |
20140282499 | ENCAPSULATING A VIRTUAL SERVER IN A HYPERVISOR SUBPARTITION - Embodiments relate to a method for encapsulating a virtual server in a hypervisor subpartition of a single logical partition to provide additional hardware support and advanced virtualization functions. An aspect includes running a first hypervisor and one or more additional hypervisors over a single logical partition. A request to manage a virtual server is received at the first hypervisor. The request is then analyzed to determine what resources are necessary to manage the virtual server. Based on the analyzed request, one of the first hypervisor or the one or more additional hypervisors is selected to complete the request. | 09-18-2014 |
20140282500 | INSTALLATION OF VIRTUAL SERVICE PROCESSOR TO COMPUTER SYSTEM HAVING EXISTING OPERATING SYSTEM - System and method for installation of a virtual service processor (VSP) are disclosed. The system include a computer that has a processor, a physical drive having a first partition and a master boot record (MBR) with initial settings indicating the first partition as an active bootable partition, and a non-transitory computer storage medium having computer-executable instructions. The instructions cause the processor to (a) load and execute a hypervisor from the computer storage medium, the hypervisor having a privileged domain and an unprivileged domain; (b) initiate a VSP in the privileged domain, the VSP being configured to manage at least one health, operation, or performance related aspect of the computer system; (c) configure the unprivileged domain to allow an operating system to run therein, the operating system (OS) being executable directly on the computer system; and (d) load and execute the OS in the unprivileged domain. | 09-18-2014 |
20140282501 | Algorithm and Apparatus To Deploy Virtual Machine Monitor on Demand - In the various aspects, virtualization techniques may be used to improve performance and reduce the amount of power consumed by selectively enabling a hypervisor operating on a computing device during sandbox sessions. In the various aspects, a high-level operating system may allocate memory such that its intermediate physical addresses are equal to the physical addresses. When the hypervisor is disabled, the hypervisor may suspend second stage translations from intermediate physical addresses to physical addresses. During a sandbox session, the hypervisor may be enabled and resume performing second stage translations. | 09-18-2014 |
20140282502 | LAYERED VIRTUAL MACHINE INTEGRITY MONITORING - Various embodiments are generally directed to the provision and use of various hardware and software components of a computing device to monitor the state of layered virtual machine (VM) monitoring software components. An apparatus includes a first processor element; and logic to receive an indication that a first timer has reached an end of a first period of time, monitor execution of a VMM (virtual machine monitor) watcher by a second processor element, determine whether the second processor element completes execution of the VMM watcher to verify integrity of a VMM before a second timer reaches an end of a second period of time, and transmit an indication of the determination to a computing device. Other embodiments are described and claimed. | 09-18-2014 |
20140282503 | WEIGHT-BASED COLLOCATION MANAGEMENT - According to an example, an application performance measurement for an application for a current time interval, a performance specification for the application, and a resource consumption metric for a resource of a plurality of resources that are to process the application for the current time interval may be accessed. In addition, the application performance measurement, the performance specification, and the resource consumption metric may be used to determine a resource specification for a next time interval for the resource of the plurality of resources. Moreover, the resource specification may be used to determine, by a processor, a resource weight for the resource of the plurality of resources for the next time interval. | 09-18-2014 |
20140282504 | METHOD AND SYSTEM FOR SPECIFYING THE LAYOUT OF COMPUTER SYSTEM RESOURCES - A method for specifying the layout of computing system resources includes receiving a request for a virtual machine, the request comprising a processor requirement and a memory requirement, gathering resource groupings, each resource grouping comprising a latency penalty between a processor and at least a memory. The method further includes calculating a proportionality for each resource grouping, wherein the proportionality comprises a minimum of: an amount of the processor requirement the resource grouping can satisfy and an amount of the memory requirement the resource grouping can satisfy, sorting the resource groupings based on at least the proportionality for each resource grouping to create an ordered list and binding, based on the ordered list, at least one resource group to the virtual machine, wherein the at least one resource group satisfies the processor requirement and the memory requirement. | 09-18-2014 |
20140282505 | SYSTEMS AND METHODS FOR DEPLOYING AN APPLICATION AND AN AGENT ON A CUSTOMER SERVER IN A SELECTED NETWORK - Information indicating a location of a disk image of a virtual machine hosted on a server is received. The virtual machine is deactivated. The server is instructed to mount the disk image. A static route pointing to a selected network is added to a static routing table on a file system associated with the virtual machine. The server is instructed to dismount the disk image. The virtual machine is activated. | 09-18-2014 |
20140282506 | ENCAPSULATION OF AN APPLICATION FOR VIRTUALIZATION - Embodiments relate to a computer system comprising a service layer controller. The computer system comprises a ring interface unit configured to provide access to a host system that enables access to a plurality of virtual machines (VMs). The computer system comprises a hardware application configured to be encapsulated by the service layer controller such that the hardware application communicates to the host system via interfaces controlled by the ring interface unit and service layer controller. | 09-18-2014 |
20140282507 | SYSTEMS AND METHODS OF USING A HYPERVISOR WITH GUEST OPERATING SYSTEMS AND VIRTUAL PROCESSORS - An apparatus includes a processor and a guest operating system. In response to receiving a request to create a task, the guest operating system requests a hypervisor to create a virtual processor to execute the requested task. The virtual processor is schedulable on the processor. | 09-18-2014 |
20140282508 | SYSTEMS AND METHODS OF EXECUTING MULTIPLE HYPERVISORS - An apparatus includes a primary hypervisor that is executable on a first set of processors and a secondary hypervisor that is executable on a second set of processors. The primary hypervisor may define settings of a resource and the secondary hypervisor may use the resource based on the settings defined by the primary hypervisor. For example, the primary hypervisor may program memory address translation mappings for the secondary hypervisor. The primary hypervisor and the secondary hypervisor may include their own schedulers. | 09-18-2014 |
20140282509 | MANAGING AN INDEPENDENT VIRTUAL DISK - A computer-implemented method for managing an independent virtual disk. The method includes creating an independent virtual disk; in response to the creating the independent virtual disk, creating a first virtual machine; and attaching an independent virtual disk to the first virtual machine; and managing the independent virtual disk by controlling the first virtual machine that is attached to the independent virtual disk. | 09-18-2014 |
20140282510 | SERVICE BRIDGES - Methods, systems, and apparatus, including computer programs encoded on a computer storage medium, for service bridges. In one aspect, a method includes a host operating system performs operations comprising: receiving, using one or more service bridges that execute in the host operating system, a plurality of requests from the one or more virtual machines, wherein each service bridge is associated with a different virtual machine of the one or more virtual machines, and wherein each request is a request to interface with one or more external services; modifying, using a respective service bridge, each request to be processed by the one or more external services; and providing each modified request from the respective service bridge to the one or more external services, where the respective service bridge communicates with the one or more external services over a network. | 09-18-2014 |
20140282511 | PRESERVING AN INDEPENDENT VIRTUAL DISK - A computer-implemented method for preserving an independent virtual disk. The method, includes attaching an independent virtual disk to a first virtual machine, and preserving said independent virtual disk when the independent virtual disk is detached from the first virtual machine. | 09-18-2014 |
20140282512 | ZONE MANAGEMENT OF COMPUTE-CENTRIC OBJECT STORES - Zone management of compute-based object stores is provided herein. An exemplary method may include assigning a virtual operating system container from the reserve zone pool to a task group, the task group including a set of tasks for a phase of a first request, and executing the set of tasks within the assigned virtual operating system container. | 09-18-2014 |
20140282513 | INSTRUCTION SET ARCHITECTURE FOR COMPUTE-BASED OBJECT STORES - Instruction set architectures for compute-centric object stores. An exemplary method may include receiving a request from a user, the request identifying parameters of a compute operation that is to be executed against one or more objects in a distributed object store, generating a set of tasks from the request that comprise instructions for a daemon, locating the one or more objects within the distributed object store, the one or more objects being stored on a physical node. The method includes providing the set of tasks to a daemon, the daemon controlling execution of the compute operation by a virtual operating system container based upon the set of tasks, and storing an output of the virtual operating system container in the distributed object store. | 09-18-2014 |
20140282514 | VIRTUALIZATION SUPPORT FOR STORAGE DEVICES - Techniques are disclosed relating to enabling virtual machines to access data on a physical recording medium. In one embodiment, a computing system provides a logical address space for a storage device to an allocation agent that is executable to allocate the logical address space to a plurality of virtual machines having access to the storage device. In such an embodiment, the logical address space is larger than a physical address space of the storage device. The computing system may then process a storage request from one of the plurality of virtual machines. In some embodiments, the allocation agent is a hypervisor executing on the computing system. In some embodiments, the computing system tracks utilizations of the storage device by the plurality of virtual machines, and based on the utilizations, enforces a quality of service level associated with one or more of the plurality of virtual machines. | 09-18-2014 |
20140282515 | REFRESHING MEMORY TOPOLOGY IN VIRTUAL MACHINE OPERATING SYSTEMS - According to one aspect of the present disclosure a system and technique for refreshing memory topology in virtual machine operating systems is disclosed. The system includes a processor and logic executable by the processor to: responsive to receiving, by an operating system of a virtual machine, a notification of an affinity change relative to workload memory resources, poll a hypervisor for updated memory affinity data; determine, for each logical memory block of the workload memory resources, whether an affinity string for the respective logical memory block has changed; responsive to determining that the affinity string for the respective logical memory block has changed, identify a data structure of the logical memory block maintained by the operating system; and update affinity information in the data structure based on the change to the affinity string of the logical memory block. | 09-18-2014 |
20140282516 | PROVIDING EXECUTION ACCESS TO FILES NOT INSTALLED IN A VIRTUALIZED SPACE - Provided are techniques for providing a virtual machine (VM) workload partition (WPAR) with an versioned operating system (OS) that is different than a native OS associated with a logical partition (LPAR) corresponding to the WPAR, wherein the versioned OS is an earlier version of the native OS; detecting an executable file associated with the versioned OS that has been designated to be overlaid with a corresponding executable from the native OS; generating a link to the corresponding executable; and installing the link in the WPAR rather than the executable file. | 09-18-2014 |
20140282517 | APPLYING AND REMOVING APPROPRIATE FILE OVERLAYS DURING LIVE APPLICATION MOBILITY - Provided are techniques for moving, in conjunction with live application mobility, a virtual machine (VM) workload partition (WPAR) on a first logical partition (LPAR) running on a first operating system (OS) to second a LPAR running a second OS, wherein the first OS is a different version than the second OS; the moving comprising, in response to a determination that that the second OS is a newer version of the first OS: determining a set of overlays associated with the WPAR corresponding to the second OS; removing from the WPAR a set of overlays associated with the WPAR corresponding to the first OS; and applying to the WPAR the set of overlays corresponding to the second OS. | 09-18-2014 |
20140282518 | ENFORCING POLICY-BASED COMPLIANCE OF VIRTUAL MACHINE IMAGE CONFIGURATIONS - Techniques are disclosed for data risk management in accessing an Infrastructure as a Service (IaaS) cloud network. More specifically, embodiments of the invention evaluate virtual machine images launched in cloud-based environments for compliance with a policy. After intercepting a virtual machine image launch request, an intermediary policy management engine determines whether the request conforms to a policy defined by a policy manager, e.g., an enterprise's information security officer. The policy may be based on user identities, virtual machine image attributes, data classifications, or other criteria. Upon determining whether the request conforms to policy, the policy management engine allows the request, blocks the request, or triggers a management approval workflow. | 09-18-2014 |
20140282519 | MANAGING A SERVER TEMPLATE - A non-transitory computer-readable storage medium may comprise instructions for managing a server template stored thereon. When executed by at least one processor, the instructions may be configured to cause at least one computing system to at least convert the server template to a corresponding virtual machine, manage the corresponding virtual machine, and convert the corresponding virtual machine back into a template format. | 09-18-2014 |
20140282520 | PROVISIONING VIRTUAL MACHINES ON A PHYSICAL INFRASTRUCTURE - Example methods and systems provide for the provisioning of virtual machines on a physical infrastructure based on actual past resource usage of a plurality of virtual machines currently deployed on the physical infrastructure. Upon receiving a request for a new virtual machine based on specified resource requirements, actual usage data that indicate past resource usage of the plurality of current virtual machines are accessed, and provisioning parameters for the new virtual machine are calculated based at least in part on the actual usage data and the specified resource requirements. | 09-18-2014 |
20140282521 | EXPANSION OF SERVICES FOR A VIRTUAL DATA CENTER GUEST - One or more services for enhancing guest utilization of a virtual machine and other VDC resources may be provided at the intermediary manager. In an embodiment, the intermediary manager intercepts a hypercall from a guest operating system that is separate from the intermediary manager. The intermediary manager determines that a particular intermediary service is associated with the hypercall and causes execution of service instructions associated with the particular intermediary service. The intermediary manager and guest operating systems may operate within a virtual machine hosted by a host machine and managed by a hypervisor. Embodiments may be useful in any of a virtualized enterprise computer system; a virtual machine infrastructure in a private data center; computing, storage or networking resources in a private cloud; computing, storage or networking resources of cloud service provider; and a hybrid cloud computing environment. | 09-18-2014 |
20140282522 | ACTIVITY INITIATED VIRTUAL MACHINE MIGRATION - Briefly, embodiments of methods or systems for activity initiated virtual machine migration are disclosed. | 09-18-2014 |
20140282523 | SCALABLE POLICY MANAGEMENT IN AN EDGE VIRTUAL BRIDGING (EVB) ENVIRONMENT - Embodiments of the invention relate to scalable policy management in an edge virtual bridging (EVB) environment. One embodiment includes a system including a physical end station including a hypervisor, wherein the physical end station creates at least one virtual machine (VM). A virtual station interface (VSI) database is coupled to a VM manager server. The VSI database stores policy information comprising one or more rules for different VM types and access rules. A policy management module is coupled to a switch adjacent to the physical end station. The policy management module generates a first table using at least a portion of the policy information, generates a second table with a portion of VM information received from the hypervisor for the VM, and uses the first table and the second table to retrieve and apply rules for the VM. | 09-18-2014 |
20140282524 | SCALABLE POLICY ASSIGNMENT IN AN EDGE VIRTUAL BRIDGING (EVB) ENVIRONMENT - Embodiments of the invention relate to scalable policy assignment in an edge virtual bridging (EVB) environment. One embodiment includes a system including a physical end station includes a hypervisor. The physical end station creates at least one virtual machine (VM). A virtual station interface (VSI) database (DB) is coupled to a VM manager server. The VSI DB stores policy information and bandwidth filter information. A policy assignment module is coupled to a switch adjacent to the physical end station. The policy assignment module generates a VSI DB table with at least a portion of the VSI DB information from the VSI DB and a policy discriminator (PD) value for each VSI type ID. | 09-18-2014 |
20140282525 | CREATING, PROVISIONING AND MANAGING VIRTUAL DATA CENTERS - A cloud services brokerage platform system includes a virtual data center (VDC) and an architecture management interface. The virtual data center (VDC) includes a plurality of resource groups. Each one of the resource groups includes one or more VDC resources. Each one of the VDC resources is associated with a respective set of resource group specification parameters. The architecture management interface enables an architectural layout of the one or more VDC resources to be displayed. The architectural layout includes a visual depiction of the one or more VDC resources of each one of the resource groups. An arrangement of the visual depiction is dependent upon the respective set of resource group specification parameters. | 09-18-2014 |
20140282526 | MANAGING AND CONTROLLING A DISTRIBUTED NETWORK SERVICE PLATFORM - A distributed network service platform comprises: a logical data plane configured to process packets that are received by a plurality of physical devices, transmitted by the plurality of physical devices, or both, the logical data plane being physically distributed on the plurality of physical devices; and a logical control plane configured to manage and control the logical data plane, the logical control plane comprising one or more physical control planes operating on one or more physical devices. | 09-18-2014 |
20140282527 | Applying or Removing Appropriate File Overlays During Live Application Mobility - Provided are techniques for moving, in conjunction with live application mobility, a virtual machine (VM) workload partition (WPAR) on a first logical partition (LPAR) running on a first operating system (OS) to second a LPAR running a second OS, wherein the first OS is a different version than the second OS; the moving comprising, in response to a determination that the second OS is a newer version of the first OS: determining a set of overlays associated with the WPAR corresponding to the second OS; removing from the WPAR a set of overlays associated with the WPAR corresponding to the first OS; and applying to the WPAR the set of overlays corresponding to the second OS. | 09-18-2014 |
20140282528 | Virtualization Congestion Control Framework - Novel tools and techniques for implementing a virtualization congestion control framework. In one aspect, an orchestrator might be provided within a virtual machine environment context in order to provide two-way communications between the virtual machine (“VM”) and one or more applications running on one or more virtual machines in the VM environment in order to control congestion in hardware resource usage, perhaps using a congestion API. In some embodiments, the two-way communications might include communications from the VM to the applications including maximum hardware resources and current resources, and might further include communications from the applications to the VM including pre-congestion notifications and low-utilization notifications. According to some embodiments, a buffer utilization feedback may be provided between the VM and the applications, said buffer utilization feedback allowing the applications to control pushback mechanisms, said pushback mechanisms including mechanisms for pushing back on or decreasing hardware resource usage. | 09-18-2014 |
20140282529 | Virtualization Congestion Control Framework - Novel tools and techniques are provided for implementing a virtualization congestion control framework. In one aspect, a method might include a hypervisor assigning application resources of a virtual machine (“VM”), which operates on a host computing system, with maximum allowable settings to each software application to be executed on the VM. The hypervisor or an orchestrator might determine a running mode of the host computing system, and might execute the software application(s) using running mode attributes of the determined running mode. The hypervisor or the orchestrator might monitor application resource utilization, and, based on a determination that application resource utilization has changed, might modify allocation of application resources to each of the software application(s). In some cases, the hypervisor or the orchestrator might monitor for mass congestion indicators, and, based on a determination that a mass congestion indicator is present, might modify the running mode of the host computing system. | 09-18-2014 |
20140282530 | REFRESHING MEMORY TOPOLOGY IN VIRTUAL MACHINE OPERATING SYSTEMS - According to one aspect of the present disclosure, a method and technique for refreshing memory topology in virtual machine operating systems is disclosed. The method includes: responsive to receiving, by an operating system of a virtual machine, a notification of an affinity change relative to workload memory resources, polling a hypervisor for updated memory affinity data; determining, for each logical memory block of the workload memory resources, whether an affinity string for the respective logical memory block has changed; responsive to determining that the affinity string for the respective logical memory block has changed, identifying a data structure of the logical memory block maintained by the operating system; and updating affinity information in the data structure based on the change to the affinity string of the logical memory block. | 09-18-2014 |
20140282531 | SCALABLE POLICY MANAGEMENT IN AN EDGE VIRTUAL BRIDGING (EVB) ENVIRONMENT - Embodiments of the invention relate to scalable policy management in an edge virtual bridging (EVB) environment. One embodiment includes fetching information from a virtual station interface (VSI) database. A first table is generated with at least a portion of the information from the VSI database. A message is received including virtual machine (VM) information for a created VM. A second table is generated including at least a portion of the VM information. A VM identification (ID) is retrieved based on VM type from the first table. Rules associated with the retrieved VM ID are retrieved from the second table. The associated rules for the VM are applied. | 09-18-2014 |
20140282532 | SCALABLE POLICY ASSIGNMENT IN AN EDGE VIRTUAL BRIDGING (EVB) ENVIRONMENT - Embodiments of the invention relate to scalable policy assignment in an edge virtual bridging (EVB) environment. One embodiment includes fetching virtual machine (VM) information for one or more VMs from a virtual station interface (VSI) database (DB). The VM information includes a VSI type identification (ID) associated with each VM. A policy discriminator (PD) value is associated for each VSI type ID. A VSI DB table is generated with at least a portion of the VM information from the VSI DB and the PD for each VSI type ID. A message is received including virtual machine (VM) information for a created VM. One or more rules and bandwidth filter information associated with a VSI type ID are retrieved from the VSI DB table. The associated rules and filter information are applied based on the PD. | 09-18-2014 |
20140282533 | VIRTUAL COMPUTER SYSTEM - When changing the speed of the progression of a logical time in a paravirtualized OS, a hypervisor updates reference time and a reference counter value which is the value of a counter when the reference time is updated, to be used for time calculation by the paravirtualized OS, in accordance with the changed speed of the progression of time, to have new reference time and a new reference counter value. After that, the paravirtualized OS calculates the present time based on the new reference time and the new reference counter value. This can serve to maintain the continuity of time in the paravirtualized OS through before and after a change in the speed of the progression of time if made in the progression of time. | 09-18-2014 |
20140282534 | VIRTUAL ENVIRONMENT HAVING HARVARD ARCHITECTURE - Methods, systems, and apparatus, including computer programs encoded on computer storage media, relating to software execution. One of the methods includes executing, on a computer including a single memory for storing data and instructions, a virtual environment including a data memory and an instruction memory, the instruction memory configured to be unreadable by instructions stored in the instruction memory; receiving, at the virtual environment, a software module comprising multiple instructions; and performing validation of the software module including: identifying, in the software module one or more calls to the single memory; and verifying that the one or more calls to the single memory are in the data memory. | 09-18-2014 |
20140282535 | Unknown - The present invention describes a distributed operating system that allows any local operating system to run more than one cloud-hosted virtual machine. The described system uses three different server clusters: one for storing, one for general processing and other for image processing. The processed image is sent to the user over the network, all the user needs is a screen to display the final image and an input terminal as a touch screen or a mouse and keyboard. | 09-18-2014 |
20140282536 | METHOD, SYSTEM AND COMPUTER READABLE MEDIUM FOR PROVISIONING CLOUD RESOURCES - A non-transitory computer-readable storage medium has tangibly embodied thereon and accessible therefrom instructions interpretable by at least one data processing device. The instructions are configured for causing the at least one data processing device to perform a method for provisioning cloud resources. The method comprises creating an instantiation of a cloud service resource; associating the cloud service resource with each one of a virtual data center, a cloud resource application, a cloud resource application environment, and a cloud resource architectural layer; and provisioning the cloud service resource with at least one instance of a virtual machine. | 09-18-2014 |
20140282537 | VIRTUAL MACHINE IMAGE DISK USAGE - The invention relates to a method for managing virtual machine image disk usage comprising a disk image emulator for a virtual machine provided by a hypervisor, comprising the steps of providing at least a first disk image comprising a sequence of data blocks for accumulating write operations to the first disk image, providing at least a second disk image comprising a sequence of data blocks for permanently storing disk image data, and providing a disk cleaning process for transferring disk image data from the first disk image to the second disk image and deleting unused data blocks in the first and/or the second disk image. | 09-18-2014 |
20140282538 | MINIMIZING SCSI LIMITATIONS FOR VIRTUAL MACHINES - Examples disclosed herein provide systems, methods, and software for minimizing Small Computer System Interface (SCSI) limitations on virtual machines are disclosed herein. In one example, a method of operating a volume combining system to combine volumes for a virtual machine includes identifying two or more volumes to be attached to the virtual machine. The method further provides combining the two or more volumes into a single volume, and attaching the single volume to the virtual machine. | 09-18-2014 |
20140282539 | WRAPPED NESTED VIRTUALIZATION - A number of embodiments can include a Layer 0 (L0) VMM configured to provide a first number of services and a Layer 1 (L1) virtual machine (VM) that is running on the L0 VMM. A number of embodiments can also include a L1 VMM that is running on the L1 VM. A number of embodiments can include configuring the L1 VMM to provide a second number of services to a target VM, second number of services being different than the first number of services. A number of embodiments can also include configuring the target VM to execute a user application. | 09-18-2014 |
20140282540 | PERFORMANT HOST SELECTION FOR VIRTUALIZATION CENTERS - A host for a virtual machine is selected by first electronically receiving (i) a virtual-machine allocation request for resources in a cluster of servers upon which a plurality of virtual machines are executing and (ii) performance data related to the execution of the plurality of virtual machines. The effect of executing a new virtual machine associated with the request on each server using on the gathered performance data is simulated, and a server is selected based on a result of the simulation; the new virtual machine is caused to execute on the selected server. | 09-18-2014 |
20140282541 | FEEDBACK SYSTEM FOR OPTIMIZING THE ALLOCATION OF RESOURCES IN A DATA CENTER - To improve resource utilization and reduce the virtual machine sprawl in a data center, resource utilization is predicted based on previously measured utilizations, and then, using the predicted utilizations, optimizing the allocation of the computing resources among the virtual machines in the data center. In operation, measurements related to resource utilization by different virtual machines executing in a data center are collected at regular intervals. At each interval, an optimization system predicts virtual machine resource utilizations based on previously collected measurements and previously-generated virtual machine modelers. Based on the utilization predictions as well as the physical topology of the data center, the optimization system identifies different optimizations to the virtual machine topology for the next interval. | 09-18-2014 |
20140282542 | Hypervisor Storage Intercept Method - Two levels of address masquerading are employed to make a virtual appliance a transparent gateway between a hypervisor and a storage controller. This approach allows a virtual appliance to be inserted or removed from the IP storage path of a hypervisor without disrupting communications. One embodiment of the invention enables a virtual appliance to intercept, manipulate, reprioritize, or otherwise affect IP (Internet Protocol) storage protocols sent or received between a hypervisor and storage controller(s). | 09-18-2014 |
20140282543 | SECURE ZONE ON A VIRUTAL MACHINE FOR DIGITAL COMMUNICATIONS - An apparatus implementing a secure zone on one or more virtual machines may be provided. In one aspect, the apparatus may comprise a screen and a computer processor. The computer processor may be configured to initialize a hypervisor, establish a first virtual machine under the control of the hypervisor and execute code for a secure zone thereon, and establish a second virtual machine under the control of the hypervisor and execute code for a non-secure zone thereon. The code for the secure zone may be configured to initiate executing a task, and to assume control over an output to the screen while the apparatus is operating in a secure mode and to transfer control over the output to the non-secure zone while the apparatus is operating in a non-secure mode. The hypervisor may be configured to grant requests from the secure zone to assume and transfer control over the output. | 09-18-2014 |
20140282544 | Apparatus, Method, And System To Dynamically Deploy Wireless Infrastructure - CRYSTAL “Cognitive radio you share, trust and access locally” (CRYSTAL) is a virtualized cognitive access point that may provide for combining multiple wireless access applications on a single hardware platform. Radio technologies such as LTE, WiMax, GSM, and the like can be supported. CRYSTAL platforms can be aggregated and managed as a cloud, which provides a model for access point sharing, control, and management. CRYSTAL may be used for scenarios such as neighborhood spectrum management. CRYSTAL security features allow for home/residential as well as private infrastructure implementations. | 09-18-2014 |
20140282545 | SYSTEM AND METHOD FOR GENERIC PRODUCT WIRING IN A VIRTUAL ASSEMBLY BUILDER ENVIRONMENT - Described herein is a system and method for generic product wiring in a cloud environment. In accordance with an embodiment, a virtual assembly builder can be used to virtualize installed components in a reference environment, and then deploy those components into another destination environment. A user can capture the configuration and binaries of software components into software appliance artifacts, which can be grouped and their relationships defined as software assembly artifacts. In accordance with an embodiment, a generic product introspector plugin allows users to specify at introspection, during creation of a virtual assembly, one or more metadata properties to be exposed for editing and configuration by scripts, during a subsequent rehydration of the virtual assembly. The properties exposed for editing and configuration by scripts can be used during instantiation of an instance of the assembly to define one or more inputs and outputs for the instance. | 09-18-2014 |
20140282546 | METHODS, SYSTEMS AND APPARATUS FOR SUPPORTING WIDE AND EFFICIENT FRONT-END OPERATION WITH GUEST-ARCHITECTURE EMULATION - Methods for supporting wide and efficient front-end operation with guest architecture emulation are disclosed. As a part of a method for supporting wide and efficient front-end operation, upon receiving a request to fetch a first far taken branch instruction, a cache line that includes the first far taken branch instruction, a next cache line and a cache line located at the target of the first far taken branch instruction is read. Based on information that is accessed from a data table, the cache line and either the next cache line or the cache line located at the target is fetched in a single cycle. | 09-18-2014 |
20140282547 | EXTENDING FUNCTIONALITY OF LEGACY SERVICES IN COMPUTING SYSTEM ENVIRONMENT - Methods and apparatus involve extending functionality of legacy services. A legacy application has functionality designed for use on an original computing device. In a modern environment, virtual machines (VMs) operate as independent guests on processors and memory by way of scheduling control from a virtualization layer (e.g., hypervisor). At least one VM is provisioned to modify standard entry points of the original legacy application for new accessing of various system functions of the hardware platform. Representative functions include network access, processors, and storage. Policy decision points variously located are further employed to ensure compliance with computing policies. Multiple platforms and computing clouds are contemplated as are VMs in support roles and dedicated software appliances. In this manner, continued use of legacy services in modern situations allows participation in more capable environments and application capabilities heretofore unimagined. Other embodiments contemplate computing systems and computer program products, to name a few. | 09-18-2014 |
20140282548 | SYSTEM AND METHOD TO RECONFIGURE A VIRTUAL MACHINE IMAGE SUITABLE FOR CLOUD DEPLOYMENT - A system and method for reconfiguring a virtual server image suitable for cloud deployment. In accordance with an embodiment, the system comprises providing a virtual server image, which can be executed on one or a plurality of hypervisors, and which contains a bootable part of a virtual machine, a non-bootable part of the virtual machine, a software application code for a software application, and a software application data for the software application. Information in a virtual server image patch can be used to reconfigure the contents of the virtual server image from its original content to a reconfigured content, to create a reconfigured virtual server image. In a particular embodiment, the virtual machine can be a Java Virtual Machine. | 09-18-2014 |
20140282549 | SERVICE VIRTUAL MACHINE - Technology is disclosed for processing in a computer program a request received by a service virtual machine (SVM). The technology can receive a request in either a first form or a second form, wherein the first form includes a target textual identifier, a reply-to textual identifier, and a parameter, and the second form includes a target textual identifier and a parameter, but not a reply-to textual identifier; identify, based on the received target textual identifier, a procedure; invoke the identified procedure and providing a value of the received parameter to the invoked procedure; in an event the received request is in the first form: receive a result from the invoked procedure; form a reply-to request in the second form, the second form including as a target textual identifier the reply-to textual identifier in the received request, and as a parameter the result received from the invoked procedure, further wherein the second form does not include a reply-to textual identifier; and send, to the SVM, the formed reply-to request. | 09-18-2014 |
20140289725 | THREADS IN OPERATING SYSTEMS WITHOUT KERNEL THREAD SUPPORT - Techniques are provided to avow concurrent operation of threads in an operating system that does not support kernel threads. A first process may create a first thread. The first thread may create a second process. The second process is granted access to a portion of the address space of the first thread. Synchronization information is passed between the first thread and the second process over a communications channel. | 09-25-2014 |
20140289726 | FUNCTION EXIT INSTRUMENTATION FOR TAIL-CALL OPTIMIZED CODE - Function exits are instrumented in tail-call optimized code in which calls to target functions and return instructions are replaced by jump instructions. A probe engine identifies a tail-call jump and instruments the jumps to raise an exception. In response to an exception raised at the tail-call jump, an exception handler loads various registers and transferring control to a trampoline, which calls the jump target. After the target function returns, an exit probe is fired when the trampoline itself returns. | 09-25-2014 |
20140289727 | COMPUTING DEVICE AND METHOD FOR EXPANDING HARDWARE FUNCTIONS OF THE COMPUTING DEVICE - In a method for expanding hardware functions of a computing device, a virtual component is created in the computing device. The virtual component corresponds to a physical component desired by the computing device. The computing device detects an electronic device equipped with the physical component. A communication channel between the virtual component and the electronic device is established. Via the communication channel, a data processing request and data requested to be processed by the computing device are transferred from the computing device to the electronic device. The computing device receives a processing result of the data from the electronic device, and stores the processing result in a storage system. | 09-25-2014 |
20140289728 | APPARATUS, SYSTEM, METHOD, AND STORAGE MEDIUM - An apparatus includes a memory, and a processor coupled to the memory and configured to execute a process, the process including predicting a first time for transferring a packet as a predicted first time, where the predicting the first time is a prediction for transferring the packet from a second transfer circuit coupled to a second computer to a first communication circuit that transmits the packet to a network if a virtual machine is executed in the second computer, the virtual machine being executed in a first computer coupling to a first transfer circuit and generating the packet to be transmitted from a first transfer circuit to the first communication circuit through the second transfer circuit, and determining whether the virtual machine is to be executed by the second computer based on the predicted first time. | 09-25-2014 |
20140289729 | FACILITATING PROCESSING WITHIN COMPUTING ENVIRONMENTS SUPPORTING PAGEABLE GUESTS - Processing within a computing environment that supports pageable guests is facilitated. Processing is facilitated in many ways, including, but not limited to, associating guest and host state information with guest blocks of storage; maintaining the state information in control blocks in host memory; enabling the changing of states; and using the state information in management decisions. In one particular example, the guest state includes an indication of usefulness and importance of memory contents to the guest, and the host state reflects the ease of access to memory contents. The host and guest state information is used in managing memory of the host and/or guests. | 09-25-2014 |
20140289730 | METHODS AND APPARATUS FOR USING TAGS TO CONTROL AND MANAGE ASSETS - By implementing various types of tags, easy management and control of assets in a business system is enabled. These assets may be virtual machines, hardware assets, personnel assets, etc. System tags are determined and associated with an asset automatically. Virtual tags and dynamic tags are tags that do not contain the information sought—rather, these tags contain instructions about how to determine the tag value associated with an asset. Management tags are user-entered tags indicating information about an asset based on the knowledge of an individual. These tags can be combined using Boolean algebraic operators, resulting in a tag-based algebra system, which enables a Tag-Based Query Language for searching a universe of assets based on the associated tags. Additionally, tag-based algebra enables access control based on tags associated with a user, assets in a universe of assets, and enables policies to be enforced in a universe of assets. | 09-25-2014 |
20140298325 | SECURE AND RELIABLE MECHANISM TO PROVIDE A SINGLE OBJECT INSTANCE IN A CLUSTERED SYSTEM - An example system for transmitting data between applications may include an access module that accesses a data object associated with a first application running on a first node. The access module may access the data object without using a class library. The system also includes a communication module that transmits via a network to a second node, data associated with the data object. The communication module may transmit the data for use by a second application running on the second node, and the data object may be accessible by at most one application at a time. | 10-02-2014 |
20140298326 | ASYNCHRONOUS UNMAP OF THINLY PROVISIONED STORAGE FOR VIRTUAL MACHINES - In a computer system having virtual machines running therein, a hypervisor that supports execution of the virtual machines allocates blocks of storage to the virtual machines from a thinly provisioned logical block device. When the hypervisor deletes a file or receives commands to delete a file, the hypervisor moves the file into a delete directory. An unmap thread running in the background issues unmap commands to the storage device to release one or more blocks of the logical block device that are allocated to the files in the delete directory, so that the unmap operation can be executed asynchronously with respect to the file delete event. | 10-02-2014 |
20140298327 | System and Method for Automating Virtual Network Provisioning - A method includes receiving a deployment template at a host system, partitioning a networking device of the host system with a virtual network partition based upon the deployment template, receiving attribute data that includes a first attribute associated with the first virtual network partition, loading the networking device with the attribute data, launching a virtual machine manager on the host system, reading the attribute from the networking device, and assigning a virtual network interface of a virtual switch associated with the virtual machine manager to the virtual network partition in response to reading the attribute. | 10-02-2014 |
20140298328 | METHOD FOR ACTIVATING PROCESSOR CORES WITHIN A COMPUTER SYSTEM - A method for activating processor cores within a computer system is disclosed. Initially, a value representing a number of processor cores to be enabled within the computer system is received. The computer system includes multiple processors, and each of the processors includes multiple processor cores. Next, a scale variable value representing a specific type of tasks to be optimized during an execution of the tasks within the computer system is received. From a pool of available processor cores within the computer system, a subset of processor cores can be selected for activation. The subset of processor cores is activated in order to achieve system optimization during an execution of the tasks. | 10-02-2014 |
20140298329 | SYSTEM, METHOD, AND COMPUTER-READABLE MEDIUM - A system includes circuitry configured to receive a request to diagnose a first virtual machine coupled to a first network, the first virtual machine being identified in the first network based on a first address and identified in a second network based on a second address; transmit, to a to a router coupled between the first network and the second network, a request that an association be made between a third address and the first address based on the first request; and diagnose the first virtual machine via the router based on the third address, the third address being translated into the first address by the router based on the association. | 10-02-2014 |
20140298330 | INFORMATION PROCESSING DEVICE, TRANSMISSION CONTROL METHOD, AND COMPUTER-READABLE RECORDING MEDIUM - A physical server includes a storage unit which stores address information of at least one virtual machine which is run on a same physical machine. The physical server determines whether a destination of a packet is stored in the storage unit when the virtual machine transmits the packet. The physical server transmits the packet to the destination by using a virtual device connected to a virtual switch which relays a communication between the virtual machines when it is determined that the destination is stored in the storage unit. The physical server transmits the packet to the destination by using a pass-through device which is connected to a physical device transmitting a packet to another physical machine when it is determined that the destination is not stored in the storage unit. | 10-02-2014 |
20140298331 | VIRTUAL MACHINE CONTROL PROGRAM, VIRTUAL MACHINE CONTROL METHOD, VIRTUAL MACHINE CONTROL APPARATUS, AND CLOUD COMPUTING SYSTEM - A non-transitory computer readable medium that stores therein a virtual machine management program for causing a computer to execute a process having, suspending a virtual machine, when an access to the virtual machine does not occur for a first time; resuming and, after a second time elapses, suspending the virtual machine being suspended, even the access to the virtual machine does not occur, so as to cause the virtual machine to perform a refreshing process; and resuming the virtual machine being suspended, when the access to the virtual machine occurs. | 10-02-2014 |
20140298332 | POLICY-BASED WORKLOAD PERFORMANCE OPTIMIZATION FOR DYNAMICALLY DISTRIBUTED OSGI APPLICATION - A method for scheduling execution of an application is provided. The method comprises monitoring a usage state of resources of a first virtual machine that executes the application, so as to determine whether the usage state reaches a predetermined state. The method further comprises migrating an application module consuming the resources to a second virtual machine having corresponding resources, if the usage state reaches a predetermined state. | 10-02-2014 |
20140298333 | Migration processing program, migration method, and cloud computing system - A migration processing including: transferring memory data stored in a memory of a source virtual machine generated on a source physical server from a memory of the source physical server to a memory of a destination physical server; measuring, with respect to each unit area of the memory, an update frequency at which data in the memory of the source physical server are updated by the source virtual machine; re-transferring, from the memory of the source physical server to the memory of the destination physical server, the memory data that are updated by the source virtual machine during the transferring the memory data such that data in a unit area with a first update frequency are preferentially re-transferred over data in a unit area with a second update frequency higher than the first update frequency; and suspending the source virtual machine and then resuming a destination virtual machine. | 10-02-2014 |
20140298334 | COMPUTER-IMPLEMENTED METHOD, PROGRAM, AND TRACER NODE FOR OBTAINING TRACE DATA RELATING TO PARTICULAR VIRTUAL MACHINE - An improved method for identifying trace data relating to a particular virtual machine from trace data acquired by a tracer node. The method is executed in a computing environment including at least one processing node and a tracer node for acquiring a trace of access to a memory apparatus thereof. The method includes the steps of: starting recording of trace data containing information of the trace of the access to the memory apparatus of the tracer node; storing, in response to migration of the particular virtual machine from a given processing node to the tracer node, information identifying a physical address of the memory apparatus of the tracer node, the physical address being assigned to the particular virtual machine; and identifying the trace data relating to the particular virtual machine from the trace data, using the assigned physical address of the memory apparatus of the tracer node. | 10-02-2014 |
20140298335 | METHODS, SYSTEMS, AND COMPUTER READABLE MEDIA FOR EMULATING VIRTUALIZATION RESOURCES - Methods, systems, and computer readable media for emulating virtualization resources are disclosed. According to one method, the method occurs at a computing platform. The method includes receiving a message associated with a device under test (DUT) and in response to receiving the message, performing an action associated with at least one of an emulated hypervisor and an emulated virtual machine (VM). | 10-02-2014 |
20140298336 | CENTRAL PROCESSING UNIT, INFORMATION PROCESSING APPARATUS, AND INTRA-VIRTUAL-CORE REGISTER VALUE ACQUISITION METHOD - To provide a new operation verification method for an information processing flow, a central processing unit capable of building a plurality of virtual cores on a physical core includes: an element or part for executing, on an own virtual core, or causing another virtual core on the same physical core to execute, a reference instruction of directly referring to a current register value used by an arbitrary virtual core from the another virtual core without influence on an execution context of the arbitrary virtual core; and an element or part for switching a permission or authorization for executing the reference instruction of referring to the register value among the plurality of virtual cores. | 10-02-2014 |
20140298337 | OPTIMIZING THE CONFIGURATION OF VIRTUAL MACHINE INSTANCES IN A NETWORKED COMPUTING ENVIRONMENT - Embodiments of the present invention provide an approach for optimizing a configuration of virtual machine (VM) instances. In a typical embodiment, such optimization comprises either the splitting of a single VM instance into multiple VM instances or the consolidation of multiple VM instances into fewer (e.g., a single) VM instance. Along these lines, it will first be determined which VM instances would be good candidates for reconfiguration. Under one approach, VM instances that are candidates for reconfiguration are identified based upon an analysis of applicable/associated service level agreement (SLA) terms versus the performance of the VM instances. For example, VM instances can be reconfigured if such reconfiguration will maximize a benefit provided by the applicable SLA terms (e.g., if the splitting of a single VM instance into multiple VM instances would cause a workload to be processed more efficiently, resulting in a more favorable cost/benefit ratio). In another embodiment, candidate VM instances can be identified based upon a commonality of an entity (e.g., a consumer) associated therewith. For example, if a single entity is utilizing multiple VM instances, such VM instances could be considered candidates for consolidation to avoid unnecessary computing resource consumption. | 10-02-2014 |
20140298338 | VIRTUAL MACHINE MANAGEMENT METHOD AND APPARATUS - A non-transitory computer-readable recording medium has a program stored therein for causing a computer to execute a process. The process includes estimating a cost of executing a live migration of a virtual machine, using a count value of an access counter for counting the number of accesses to a memory allocated to the virtual machine, a capacity of the memory, and a bandwidth of data transfer between physical machines relating to the live migration. | 10-02-2014 |
20140298339 | Method, System, and Device for Securely Handling Virtual Function Driver Communications with a Physical Function Driver - In an input/output virtualization-enabled computing environment, a device, method, and system for securely handling virtual function driver communications with a physical function driver of a computing device includes maintaining communication profiles for virtual function drivers and applying the communication profiles to communications from the virtual function drivers to the physical function driver, to determine whether the communications present a security and/or performance condition. The device, method and system may disable a virtual function driver if a security and/or performance condition is detected. | 10-02-2014 |
20140298340 | VIRTUAL MACHINE SYSTEM, VIRTUALIZATION MECHANISM, AND DATA MANAGEMENT METHOD - Data stored in a memory area of physical memory corresponding to a function read-destination address is accessible in an appropriate manner by a virtual machine. A virtual machine system has a virtual machine management part for managing a virtual machine, and logical processors of virtual machines. The virtual machine management part, on the basis of a physical APCI table, creates a logical APCI table in a logical memory area. A logical processor stores, in an exchange memory area, an address and a size of a memory area in the memory targeted for access by a prescribed function in a control method included in the logical APCI table. The virtual machine management part acquires the address and the size from the exchange memory area, acquires the corresponding memory area data, and stores the acquired data in the logical memory area. The logical processor acquires the data of the logical memory area. | 10-02-2014 |
20140304703 | SYSTEM AND METHOD FOR EXPEDITING VIRTUAL I/O SERVER (VIOS) BOOT TIME IN A VIRTUAL COMPUTING ENVIRONMENT - An approach for management of boot time of a virtual machine is provided. In one aspect, a system boot time application identifies assigned resources of a virtual I/O server (VIOS) of a computing system. In addition, the system boot time application allocates additional resources from client logical partitions (LPARs) of the computing system to the assigned resources of VIOS during boot time of VIOS. The system boot time application further identifies cores of the computing system during the boot time of VIOS. The system boot time application also sets the cores in turbo core mode until boot time of VIOS is completed. In one aspect, the system boot time application reallocates the allocated additional resources from VIOS to the client LPARs once boot time of VIOS is completed. | 10-09-2014 |
20140304704 | DATA CENTER WITH CONTINUOUS WORLD SWITCH SECURITY - Technologies related to continuous world switch security are generally described. In some examples, a world switch indicator may indicate whether continuous world switch security is on or off for a cloud customer. A hypervisor world switch security setting may be activated and deactivated, according to the world switch indicator, to optionally allow world switches and not context switches between virtual machines executed by a cloud server. Continuous world switch security may optionally be controlled by a cloud customer. Load balancing, cloud customer billing and other techniques are also disclosed to accommodate the activation/deactivation of continuous world switch security. | 10-09-2014 |
20140304705 | PATTERN-BASED OPERATING SYSTEMS - Apparatus, systems, and methods may operate to monitor operations of at least one processor to define a set of executed applications executed under a first operating system over a selected time period; and to generate an image of a second operating system having sufficient resources to service a subset of the set of executed applications, the subset determined according to a usage pattern defined by at least a portion of the selected time period, the number of resources provided by the second operating system being less than or equal to the number of resources provided by the first operating system. The images may be loaded based on receipt of a menu selection. Additional apparatus, systems, and methods are disclosed. | 10-09-2014 |
20140310704 | Network Interface Card Device Pass-Through with Multiple Nested Hypervisors - In a data center computing system, multiple nested hypervisors are run, including an outer hypervisor and at least one inner hypervisor running as a virtual machine on top of the outer hypervisor. A guest operating system is run as a virtual machine in the innermost hypervisor. An emulated network interface card device is executed in all hypervisors. An extender component is executed in the outer hypervisor and an extender component is executed in the inner hypervisors such that the extender components in the outer hypervisor and in the inner hypervisors are architecturally cascaded. An interface for the guest operating system is assigned to the emulated network interface card device in each of the outer hypervisor and the inner hypervisors to enable network communications to bypass the outer hypervisor and the inner hypervisors. | 10-16-2014 |
20140310705 | OPERATING SYSTEM IN A COMMODITY-BASED COMPUTING SYSTEM - Disclosed herein is a commodity infrastructure operating system that manages and implements the resources and services found in the heterogeneous components of the common infrastructure. The infrastructure operating system managing one or more services residing within an operating system image of a partition. The infrastructure operating system capable of providing a service of a first partition's operating system to a second partition's operating system when the second partition is in need of the service. | 10-16-2014 |
20140310706 | METHOD FOR MANAGING COMMODITY COMPUTING - Disclosed herein is a commodity infrastructure operating system that manages and implements the resources and services found in the heterogeneous components of the common infrastructure using a fabric manager. A fabric manager managing computing resources in one or more platforms and one or more partitions residing on the platform by monitoring each platform and partitions, and issuing instructions to a hypervisor or other management agent on a platform to execute one or more platform management commands, such as commission a new partition onto a platform. | 10-16-2014 |
20140310707 | CROSS-FUNCTION VIRTUALIZATION OF A TELECOM CORE NETWORK - In the present disclosure, functions associated with the central office of an evolved packet core network are co-located onto a computer platform or sub-components through virtualized function instances. This reduces and/or eliminates the physical interfaces between equipment and permits functional operation of the evolved packet core to occur at a network edge. | 10-16-2014 |
20140310708 | MECHANISM FOR PROVIDING VIRTUAL MACHINES FOR USE BY MULTIPLE USERS - According to one aspect of the invention, a request to generate a state checkpoint of a computer is initiated within a user-level software entity, such as a virtual machine. Upon sensing the request, a checkpointing mechanism generates and stores at least one checkpoint, each checkpoint comprising a representation of the total state of the computer system. Upon sensing a state restoration request corresponding to one of the checkpoints, the checkpointing mechanism restores the checkpointed state in the computer, which can then resume operation from the restored total state. According to another aspect of the invention, a total checkpointed state is exported to another computer, where the state can be modified, for example, debugged, and then loaded into either the originally checkpointed computer (which, again, may be a virtual machine), or some other computer. | 10-16-2014 |
20140310709 | TEMPORARY OR PARTIAL OFFLOADING OF MOBILE APPLICATION FUNCTIONS TO A CLOUD-BASED ENVIRONMENT - Techniques for temporarily and/or partially offloading mobile applications to one or more remote virtual machines in a server include establishing an application copy of a mobile application installed on a mobile device at a remote virtual machine, suspending the mobile application on the mobile device and offloading operations of the mobile application to the application copy at the remote virtual machine for a period of time. Suspending the mobile application and offloading its operations to the remote virtual machine for the period of time reduces consumption of resources on the mobile device. The virtual machine executes the application copy in the same manner the mobile device would execute the mobile application and transfers data from the execution to the mobile application at the end of the period of time to allow the mobile application to update itself and resume its operation without any loss of data or functionality. | 10-16-2014 |
20140310710 | SYSTEMS AND METHODS OF HOST-AWARE RESOURCE MANAGEMENT INVOLVING CLUSTER-BASED RESOURCE POOLS - Systems and methods are disclosed for managing resources associated with cluster-based resource pool(s). According to illustrative implementations, innovations herein may include or involve one or more of best fit algorithms, infrastructure based service provision, tolerance and/or ghost processing features, dynamic management service having monitoring and/or decision process features, as well as virtual machine and resource distribution features. | 10-16-2014 |
20140317616 | CLOUD COMPUTING RESOURCE MANAGEMENT - An illustrative cloud computing network includes a plurality of resources configured to run at least one virtual machine. At least one resource is configured to run a manager virtual machine for a user that automatically initiates a change in a number of virtual machines running for the user on at least one of the plurality of resources. The illustrative network may include a technique for forwarding communications when a virtual machine retires, a hierarchical addressing technique, or both. | 10-23-2014 |
20140317617 | Optimized Deployment of Data Services on the Cloud - Methods, systems, and computer-readable storage media for providing on-demand data services. In some implementations, actions include receiving a request for execution of a data services job, the data services job including interactions between at least one of a plurality of applications hosted on a cloud platform and a plurality of application components within an application hosted on the cloud platform, each application including one or more application schemas, each application schema only being accessible by a respective application component, in response to the request, retrieving the data services job from a job repository, scheduling execution of the data services job by a virtual machine (VM) of a plurality of VMs, the VM being stateless and providing interaction between the at least one of the plurality of applications and the plurality of application components, and executing the data service job using the VM. | 10-23-2014 |
20140317618 | CAPACITY AND LOAD ANALYSIS USING STORAGE ATTRIBUTES - A method includes determining a capacity model that configures computing resource capacity for a capacity container. The computing resource capacity includes a first storage attribute for an amount of storage in a storage component. A load model is determined that configures load for the capacity container. The load includes a second storage attribute for a storage requirement for a virtual machine. A profile of a virtual machine unit is determined for estimating available capacity in a capacity container. The profile is determined using virtual machine attributes for a set of virtual machines, wherein the virtual machine unit includes a storage requirement based on storage requirements for the set of virtual machines. The profile of the virtual machine unit is fit into available capacity. A number of virtual machine units is determined based on the fitting, the number of virtual machine units being a measure of available capacity. | 10-23-2014 |
20140317619 | VIRTUAL COMPUTER SYSTEM AND I/O IMPLEMENTING METHOD IN VIRTUAL COMPUTER - An OS on a virtual computer at the (n+m)-th stage (n and m represent natural numbers) is caused to recognize a device driver that runs on an OS on the n-th stage virtual computer. | 10-23-2014 |
20140317620 | HOST SELECTION FOR VIRTUAL MACHINE PLACEMENT - In one embodiment, a method for placing virtual machines in a collection is provided. A plurality of equivalence sets of hosts is determined prior to placing virtual machines in the collection. The hosts in an equivalence set of hosts are considered similar. An equivalence set of hosts in the plurality of equivalence sets is selected to place the virtual machines in the collection. The method then places at least a portion of the virtual machines in the collection on one or more hosts in the selected equivalence set of hosts. | 10-23-2014 |
20140317621 | MIGRATING A VIRTUAL MACHINE THAT OWNS A RESOURCE SUCH AS A HARDWARE DEVICE - A computing device has first and second virtual machines (VMs) and a resource assigned to the first VM. Each access request for the resource is forwarded thereto until the first VM is to be saved or migrated. Thereafter, each access request is forwarded to a holding queue. When the resource has acted upon all access requests forwarded thereto, the resource is reassigned to the second VM, and each access request at the holding queue is forwarded to the second VM and then the resource. Thus, all access requests for the resource are acted upon by the resource even after the resource is removed from the first VM and assigned to the second VM, and the save or migrate of the first VM can thereafter be completed. | 10-23-2014 |
20140317622 | VIRTUAL MACHINE PLACEMENT WITH AUTOMATIC DEPLOYMENT ERROR RECOVERY - Embodiments perform automatic selection of hosts and/or datastores for deployment of a plurality of virtual machines (VMs) while monitoring and recovering from errors during deployment. Resource constraints associated with the VMs are compared against resources or characteristics of available hosts and datastores. A VM placement engine selects an optimal set of hosts/datastores and initiates VM creation automatically or in response to administrator authorization. During deployment, available resources are monitored enabling dynamic improvement of the set of recommended hosts/datastores and automatic recovery from errors occurring during deployment. | 10-23-2014 |
20140317623 | INFORMATION PROCESSING APPARATUS, INFORMATION PROCESSING SYSTEM, AND COMMUNICATION DATA OUTPUT METHOD - An information processing apparatus includes a processor configured to collect data received and transmitted between a plurality of virtual machines within a physical apparatus, the plurality of virtual machines running on the physical apparatus; and a communication unit configured to output the data collected by the processor to an external apparatus. | 10-23-2014 |
20140317624 | Method and device for implementing communications between virtual machines based on scheduling layer - The present disclosure provides a method for implementing communications between virtual machines, the method includes: receiving a first communication request sent by a source virtual machine, returning a first mark ID to the source virtual machine, and binding the first mark ID to a first callback function registered by the source virtual machine; receiving a second communication request sent by a target virtual machine, returning a second mark ID to the target virtual machine, and binding second mark ID to a second callback function registered by the target virtual machine; and forwarding communication information sent by the source virtual machine to the target virtual machine, and authorizing a CPU to the target virtual machine to process the communication information. The present disclosure also provides a corresponding device. In the method for implementing communications between virtual machines based on a scheduling layer, by using the scheduling layer, a source virtual machine can directly send communication information to a target virtual machine, thus improving communication speed between virtual machines to a large extent and saving memory resources. | 10-23-2014 |
20140317625 | CONTROL METHOD OF VIRTUAL MACHINE AND VIRTUAL MACHINE SYSTEM - A method of controlling a virtual machine, including: detecting a predetermined trigger by a management server; acquiring a relationship between a virtualization unit and a virtual machine operated on a physical computer; specifying a virtual machine as a migration target based on preset trigger definition information; determining a physical computer of a migration destination based on a preset first control pattern to generate a first plan to migrate the virtual machine as the migration destination; transforming the first control pattern to a second control pattern; generating a second plan to migrate the virtual machine as the migration destination; performing arithmetic an evaluation value of the first plan and an evaluation value of the second plan; selecting one of the evaluation values meeting a predetermined condition as an execution plan; and migrating by the management server the virtual machine as the migration target based on the selected execution plan. | 10-23-2014 |
20140325505 | Bandwidth-Efficient Virtual Machine Image Delivery - A mechanism is provided for bandwidth-efficient virtual machine image delivery. Responsive to a request to generate a virtual machine (VM) in a node using an existing virtual machine image (VMI) file, a set of file chunks that constitute the VMI file is identified. The set of file chunks are retrieved from within a set of distributed nodes by establishing an optimized plan for retrieving the set of file chunks in a bandwidth-efficient manner. Responsive to retrieving the set of file chunks from within the distributed nodes, the set of file chunks are reassembled into the VMI file for generation of the VM. | 10-30-2014 |
20140325506 | System and Method for RF Digitization and Collection - An RF digitization and collection system (RFDCS) and methods for implementing the RF digitization and collection system to manage an application storage and retrieval space (App Space), wherein the App Space includes apps that may perform various offline and/or real-time transforms of RF signals received, stored, or played back on the RFDCS. Also, in the various embodiments, the RFDCS may govern the system resources available to these apps while ensuring that the RFDCS's core system functions are not impacted by the execution of one or more of these apps in the App Space. Thus, the RFDCS may enable users to utilize real-time signal processing by running various specialized apps without compromising the RFDCS's core system function, thereby promoting dynamic “on-the-fly” transformation of raw RF signals without compromising the user's overall experience. | 10-30-2014 |
20140325507 | Bandwidth-Efficient Virtual Machine Image Delivery - A mechanism is provided for bandwidth-efficient virtual machine image delivery. Responsive to a request to generate a virtual machine (VM) in a node using an existing virtual machine image (VMI) file, a set of file chunks that constitute the VMI file is identified. The set of file chunks are retrieved from within a set of distributed nodes by establishing an optimized plan for retrieving the set of file chunks in a bandwidth-efficient manner. Responsive to retrieving the set of file chunks from within the distributed nodes, the set of file chunks are reassembled into the VMI file for generation of the VM. | 10-30-2014 |
20140325508 | PAUSING VIRTUAL MACHINES USING API SIGNALING - Technologies are generally described for pausing virtual machines using API signaling. In some examples, active threads in a VM may be listed on a registered thread list. When the active threads in the VM perform external API calls, they may provide wait messages, which may then be compared with the registered thread list to determine if the VM should be paused. Subsequently, one or more replies to the external API calls may be received and used to determine if the VM should be reactivated. | 10-30-2014 |
20140325509 | SYSTEM AND METHOD FOR RF DIGITIZATION AND COLLECTION - An RF digitization and collection system (RFDCS) and methods for implementing the RF digitization and collection system to manage an application storage and retrieval space (App Space), wherein the App Space includes apps that may perform various offline and/or real-time transforms of RF signals received, stored, or played back on the RFDCS. Also, in the various embodiments, the RFDCS may govern the system resources available to these apps while ensuring that the RFDCS's core system functions are not impacted by the execution of one or more of these apps in the App Space. Thus, the RFDCS may enable users to utilize real-time signal processing by running various specialized apps without compromising the RFDCS's core system function, thereby promoting dynamic “on-the-fly” transformation of raw RF signals without compromising the user's overall experience. | 10-30-2014 |
20140325510 | Image Deployment in a Cloud Environment - The invention relates to a mechanism for image deployment in a cloud environment comprising at least two hosts coupled to at least one disk, and at least one virtual machine created in at least one host of the at least two hosts by deploying an additional image to the at least one disk. The method is characterized in that the at least one host for deploying an additional image is selected according to a deployment factor representing a communality relationship between one or more existing base images in the cloud environment and the additional image. | 10-30-2014 |
20140325511 | OPERATING SYSTEM DECOUPLED HETEROGENEOUS COMPUTING - A heterogeneous processing system is described herein that provides a software hypervisor to autonomously control operating system thread scheduling across big and little cores without the operating system's awareness or involvement to improve energy efficiency or meet other processing goals. The system presents a finite set of virtualized compute cores to the operating system to which the system schedules threads for execution. Subsequently, the hypervisor intelligently controls the physical assignment and selection of which core(s) execute each thread to manage energy use or other processing requirements. By using a software hypervisor to abstract the underlying big and little computer architecture, the performance and power operating differences between the cores remain opaque to the operating system. The inherent indirection also decouples the release of hardware with new capabilities from the operating system release schedule. | 10-30-2014 |
20140325512 | METHODS AND APPARATUS TO PROVIDE DYNAMIC MESSAGING SERVICES - Methods and apparatus to provide dynamic messaging services are disclosed. An example method of displaying information on a display screen includes determining, using a virtual machine manager, supported dimensions for display of information on the display screen; generating, using the virtual machine manager, restricted dimensions that are less than the supported dimensions; providing the restricted dimensions to an operating system of a virtual machine supported by the virtual machine manager, wherein the restricted dimensions define a boundary between a first screen portion and a second screen portion; and using the virtual machine manager to display first information in the first screen portion, the virtual machine manager enforcing the presence of the first screen portion on the display screen. | 10-30-2014 |
20140325513 | Dynamic Configuration of Virtual Appliances - A solution is proposed for deploying a virtual appliance onto a data processing system. A corresponding, method comprises instantiating the virtual appliance with a basic configuration onto the data processing system, determining a value of each one of a set of environmental features at a virtual data processing environment associated with the virtual appliance, and downloading a set of local software programs corresponding to the values of at least part of the environmental features onto the virtual appliance. | 10-30-2014 |
20140325514 | Maintenance of Offline Virtual Machines Based on a Maintenance Register - A solution is proposed for maintaining virtual machines being available in a data-processing system. A mechanism determines a list of software components installed on each virtual machine, retrieves a set of maintenance policies for each software component, each maintenance policy being indicative of a patch to be applied to the corresponding software component. The mechanism determines a set of old virtual machines having at least one old software component thereof requiring the application of at least a new one of the corresponding patches according to a comparison among the maintenance policies and a maintenance register indicative of a current state of the software components of the virtual machines. The mechanism applies the corresponding at least one new patch to each old software component of each old virtual machine and updates the maintenance register according to the application of said at least one new patch to each old software component of each old virtual machine. | 10-30-2014 |
20140325515 | VIRTUAL MACHINE MIGRATION USING 3GPP MCIM - A method of migrating a virtual machine comprises a first manager, managing a first computing environment (such as a computing cloud), initiates migration of a virtual machine currently executing on a first vM2ME (virtual machine-to-machine equipment) in the first computing environment to a second computing environment (such as another computing cloud). Once the VM has migrated, the first manager disables execution of the first vM2ME. | 10-30-2014 |
20140331220 | LIVE APPLICATION MOBILITY FROM ONE OPERATING SYSTEM LEVEL TO AN UPDATED OPERATING SYSTEM LEVEL - Provided are techniques for comparing a first fileset associated with a first operating system (OS) with a second fileset associated with a second OS; determining, based upon the comparing, that the second OS is a more current version of the first OS; in response to the determining that the second OS is a more current version of the first OS, moving, in conjunction with live application mobility, a virtual machine (VM) workload partition (WPAR) on the first LPAR to a second LPAR, the moving comprising determining a set of overlays associated with the WPAR corresponding to the second OS; removing from the WPAR any overlays associated with the first OS; applying to the WPAR a set of overlays corresponding to the second OS; check pointing processes associated with the WAPR; and copying live data associated with the LPAR from the first LPAR to the second LPAR. | 11-06-2014 |
20140331221 | COOPERATED APPROACH TO NETWORK PACKET FILTERING - An apparatus, system, method, and machine-readable medium are disclosed. In one embodiment the apparatus is a network interface controller that includes one virtual function owned by a virtual machine present in the computer system. The controller includes a simple filtering agent that is associated with the first virtual function. The agent enforces simple filter rules for received network packets. The simple filter rules are capable of blocking the network packets from reaching the virtual machine. The apparatus also includes another virtual function that is owned by a virtual machine monitor present in the computer system. The controller also includes a side bounce filtering agent to forward the first network packet to the second virtual function if the first packet is blocked by the at least one of the one or more simple filter rules. | 11-06-2014 |
20140331222 | DYNAMIC VIRTUAL MACHINE SIZING - A technique is described for managing processor (CPU) resources in a host having virtual machines (VMs) executed thereon. A target size of a VM is determined based on its demand and CPU entitlement. If the VM's current size exceeds the target size, the technique dynamically changes the size of a VM in the host by increasing or decreasing the number of virtual CPUs available to the VM. To “deactivate” virtual CPUs, a high-priority balloon thread is launched and pinned to one of the virtual CPUs targeted for deactivation, and the underlying hypervisor deschedules execution of the virtual CPU accordingly. To “activate” virtual CPUs, the number of virtual CPUs, the launched balloon thread may be killed. | 11-06-2014 |
20140331223 | METHOD AND SYSTEM FOR SINGLE ROOT INPUT/OUTPUT VIRTUALIZATION VIRTUAL FUNCTIONS SHARING ON MULTI-HOSTS - In a method for SR-IOV Virtual Functions Sharing on Multi-Hosts, implemented in a management system, one or more fake devices are simulated in one or more hosts with each fake device corresponding to one of a plurality of SR-IOV virtual functions. Each of one or more configuration spaces is redirected from each SR-IOV virtual function to each fake device, respectively. Each of configuration space requests is redirected from a corresponding fake device to a corresponding SR-IOV virtual function when the configuration space request is received. And each of memory access operations is redirected from the corresponding SR-IOV virtual function to a mapped memory on a corresponding host with the corresponding fake device, and each of interrupts generated by one or more SR-IOV virtual machines is redirected to the corresponding fake device. | 11-06-2014 |
20140331224 | METHOD AND APPARATUS FOR SUPPORTING ADDRESS TRANSLATION IN A MULTIPROCESSOR VIRTUAL MACHINE ENVIRONMENT USING TRACKING DATA TO ELIMINATE INTERPROCESSOR INTERRUPTS - In one embodiment, a method includes creating a first working set of shadow page table hierarchies for a first processor of a plurality of processors, creating a second working set of shadow page table hierarchies for a second processor of the plurality of processors, keeping a record of modified guest page tables, and synchronizing each modified guest page table with a corresponding shadow page table in the first working set. For each modified guest page table in the modified guest page tables record, an entry includes an indication of which of the plurality of processors have a hardware translation lookaside buffer containing a writable reference to the modified guest page table. | 11-06-2014 |
20140331225 | METHODS AND APPARATUS TO ASSESS COMPLIANCE OF A VIRTUAL COMPUTING ENVIRONMENT - Methods, apparatus, systems and articles of manufacture are disclosed to assess compliance of a virtual computing environment. An example method disclosed herein to assess compliance of computing resources of a computing environment includes monitoring for an occurrence of a change in a computing resource in the computing environment, and in response to detecting the occurrence and without waiting for batch testing, assessing compliance of the computing resource with a compliance policy. | 11-06-2014 |
20140331226 | RECONFIGURING A SNAPSHOT OF A VIRTUAL MACHINE - Techniques for reconfiguring a snapshot of a virtual machine (VM) may be provided. The VM may be deployed on a hypervisor running on a computer. Techniques comprise provisioning of a VM, installing and configuring an operating system and a base program. A snapshot of the virtual machine may be taken together with the operating system and the base program together with configuration data defining the configuration of the virtual machine, the operating system and base application in a metadata descriptor. All may be stored in a persistent storage. Then the content of the metadata descriptor may be modified, and it may be reverted back to the snapshot using the modified content of the metadata descriptor such that the snapshot of the virtual machine with the operating system and the base program is reconfigured upon deployment of the snapshot including the operating system and the base program. | 11-06-2014 |
20140331227 | SOFTWARE APPLICATION PLACEMENT USING COMPUTING RESOURCE CONTAINERS - Embodiments associate software applications with computing resource containers based on placement rules. A placement rule indicates that a first software application is to be co-located with a second software application during execution of the first and second software applications and second placement rule indicates that the first software application is to be separated from the second software application when the second placement rule is violated by enforcing the first placement rule. The placement rule also indicates that the first software application is to be separated from the second software application during execution of the first and second software applications and the second placement rule indicates the first software application is to be co-located with the second software application when the second placement rule is violated by enforcing the first placement rule. | 11-06-2014 |
20140337832 | User-Influenced Placement of Virtual Machine Instances - A service provider network includes functionality for allowing a customer to influence the placement of virtual machine instances on server computers by specifying a placement strategy. Placement strategies may be shared among customers of the service provider network, and the placement strategies and the publishers of the placement strategies might be rated. Vendor-agnostic placement strategies might also be utilized to identify a service provider network for executing a virtual machine instance. A placement strategy that includes dynamically evaluated parameters might also be utilized to modify virtual machine instances in a customer fleet on an ongoing basis. | 11-13-2014 |
20140337833 | User-Influenced Placement of Virtual Machine Instances - A service provider network includes functionality for allowing a customer to influence the placement of virtual machine instances on server computers by specifying a placement strategy. Placement strategies may be shared among customers of the service provider network, and the placement strategies and the publishers of the placement strategies might be rated. Vendor-agnostic placement strategies might also be utilized to identify a service provider network for executing a virtual machine instance. A placement strategy that includes dynamically evaluated parameters might also be utilized to modify virtual machine instances in a customer fleet on an ongoing basis. | 11-13-2014 |
20140337834 | User-Influenced Placement of Virtual Machine Instances - A service provider network includes functionality for allowing a customer to influence the placement of virtual machine instances on server computers by specifying a placement strategy. Placement strategies may be shared among customers of the service provider network, and the placement strategies and the publishers of the placement strategies might be rated. Vendor-agnostic placement strategies might also be utilized to identify a service provider network for executing a virtual machine instance. A placement strategy that includes dynamically evaluated parameters might also be utilized to modify virtual machine instances in a customer fleet on an ongoing basis. | 11-13-2014 |
20140337835 | EFFICIENT SHARING OF GRAPHICS RESOURCES BY MULTIPLE VIRTUAL MACHINES - Graphics resources are shared among a plurality of virtual machines (VMs) running on a host. In one embodiment, a request to create a graphics resource is received from a first VM, the request including a graphics object ID corresponding to the graphics resource to be created. A resource key is generated based on content of the graphics resource and an association is maintained between the graphics object ID and the resource key. If an identical graphics resource does not currently reside in the host graphics memory, a command is sent to the host GPU driver to store the graphics resource in the host graphics memory, but when an identical graphics resource resides in the host graphics memory, the graphics resource is not stored in the host graphics memory. Instead, the identical graphics resource is shared by the first VM and at least one other VM. | 11-13-2014 |
20140337836 | OPTIMIZED RESOURCE ALLOCATION FOR VIRTUAL MACHINES WITHIN A MALWARE CONTENT DETECTION SYSTEM - According to one embodiment, a computerized method comprises operations of instantiating a first virtual machine instance and a second virtual machine instance to run concurrently with the first virtual machine instance. The first virtual machine instance provides a first virtual operating environment while the second virtual machine instance is adapted to share the resources allocated to the first virtual machine instance. The second virtual machine instance is further adapted to allocate additional resources upon conducting a Copy-On Write operation. | 11-13-2014 |
20140337837 | AUTOMATED SCALING OF APPLICATIONS IN VIRTUAL DATA CENTERS - A system and method for autoscaling a multi-tier application, that has components executing on a plurality of tiers of a virtual data center, allocates resources to each of the plurality of tiers based on cost and performance. An application performance is determined, and a new application performance is estimated based at least partially on an application reservation and an application limit. An optimized utility of the application is calculated based on the cost to execute the application, the application reservation, and the application limit. A scaling factor for each tier is then determined to scale up or down a number of virtual machines operating in each of the tiers. | 11-13-2014 |
20140337838 | Dynamic Point to Point Mobile Network Including Destination Device Aspects System and Method - A computationally implemented system and method that is designed to, but is not limited to: electronically receiving one or more at least partially mobile operating system operated intermediate electronic communication device relayed transmissions from one or more at least partially mobile operating system operated intermediate electronic communication devices activated from standby mode to be one or more communication network relays for use by one or more origination electronic communication devices to communicate at least in part with one or more destination electronic communication devices. In addition to the foregoing, other method aspects are described in the claims, drawings, and text forming a part of the present disclosure. | 11-13-2014 |
20140337839 | Dynamic Point to Point Mobile Network Including Intermediate Device Aspects System and Method - A computationally implemented system and method that is designed to, but is not limited to: electronically receiving one or more communication network relay related transmissions at least in part associated with one or more first portions of mobile operating system operated intermediate electronic communication device functionality related at least in part to communication network relay functionality of a mobile operating system operated intermediate electronic communication device as one or more standby communication network relays upon activation thereof for use by one or more origination electronic communication devices to communicate at least in part with one or more destination electronic communication devices, the mobile operating system operated intermediate electronic communication device functionality including second portions related at least in part to mobile electronic communication device functionality. In addition to the foregoing, other method aspects are described in the claims, drawings, and text forming a part of the present disclosure. | 11-13-2014 |
20140337840 | Dynamic Point to Point Mobile Network Including Intermediate User Interface Aspects System and Method - A computationally implemented system and method that is designed to, but is not limited to: electronically presenting output of mobile operating system operated code as user interface content presented on one or more user interface outputs of a mobile communication device based at least upon electronic reception of communication traffic related to use of the mobile communication device as a communication relay node of one or more ad hoc communication networks called into operation from standby status, the ad hoc communication network for service of communication between one or more origination electronic communication devices and one or more destination electronic communication devices. In addition to the foregoing, other method aspects are described in the claims, drawings, and text forming a part of the present disclosure. | 11-13-2014 |
20140337841 | Dynamic Point to Point Mobile Network Including Origination User Interface Aspects System and Method - A computationally implemented system and method that is designed to, but is not limited to: electronically processing mobile operating system object code at least partially from mobile device storage to direct to one or more origination mobile communication device display surfaces an origination mobile communication device user interface presentation of communication characteristics information regarding one or more mobile operating system based communication devices for operation as one or more ad hoc intermediary relays of one or more fallback communication networks upon initiation thereof for communication between an origination mobile communication device and a destination electronic communication device. In addition to the foregoing, other method aspects are described in the claims, drawings, and text forming a part of the present disclosure. | 11-13-2014 |
20140337842 | DYNAMIC POINT TO POINT MOBILE NETWORK INCLUDING INTERMEDIATE USER INTERFACE ASPECTS SYSTEM AND METHOD - A computationally implemented system and method that is designed to, but is not limited to: electronically presenting output of mobile operating system operated code as user interface content presented on one or more user interface outputs of a mobile communication device based at least upon electronic reception of communication traffic related to use of the mobile communication device as a communication relay node of one or more ad hoc communication networks called into operation from standby status, the ad hoc communication network for service of communication between one or more origination electronic communication devices and one or more destination electronic communication devices. In addition to the foregoing, other method aspects are described in the claims, drawings, and text forming a part of the present disclosure. | 11-13-2014 |
20140337843 | COMMUNICATIONS NETWORK AND A NETWORK TERMINAL - A power line communication network, comprising: a plurality of network terminals and at least one power line, wherein each terminal is coupled to said at least one power line such that the terminals are interconnected, and each terminal comprises: a power line interface, for transferring signals to and from said at least one power line and between said network terminals; at least one processor and at least one memory, wherein said processor is for processing computer code and said memory is for storing computer code; and a plurality of resources, wherein said resources include at least one signal input and/or signal output; wherein at least one of said terminals has computer code stored thereon and the computer code includes an operating system for controlling the power line communication network, the operating system including: a control layer for controlling access to said at least one processor, said at least one memory and said resources of each terminal; a virtual machine interface through which virtual machines can access said resources; and a virtual machine manager for controlling access by virtual machines to said resources, wherein said virtual machine manager accesses said resources through the control layer. | 11-13-2014 |
20140337844 | VIRTUAL MACHINE DEPLOYMENT PLANNING METHOD AND ASSOCIATED APPARATUS - A method and related apparatus and planner are provided. The method comprises receiving information about relationship of activation items in a plurality of virtual machines as well as information including activation item descriptive parameters. In addition, the method comprises of steps of optimizing an activation order of the activation items in said virtual machines according to said relationship among the activation items and generating an activation logical file according to the optimized activation order and said activation item descriptive parameters. | 11-13-2014 |
20140337845 | APPARATUS AND METHOD FOR EXPEDITED VIRTUAL MACHINE (VM) LAUNCH IN VM CLUSTER ENVIRONMENT - Method and apparatus for expedited virtual machine (VM) launch in VM cluster environment. In one embodiment, at least one VM is launched within a host platform. Once initialized, a VM may issue a hypercall to a VM monitor (VMM) of a VM host platform. In response, the VMM may capture a runtime image of the VM. In one embodiment, the VMM loads the runtime image of the VM within a node of the host platform as a child VM. In an alternative embodiment, the VMM issues a VM clone command to a VMM of a next host platform including the runtime image of the VM. In response, the VMM of the next platform loads the runtime image of the first VM within a node of the second host platform as a cloned VM. Other embodiments are described and claimed. | 11-13-2014 |
20140337846 | ON-THE-FLY REPLACEMENT OF PHYSICAL HARDWARE WITH EMULATION - Various aspects are disclosed herein for replacing the hardware backing the memory locations associated with a virtual machine with a backing mechanism. The backing mechanism may have the memory locations appear to contain data convenient to the driver in the virtual machine, typically containing what the hardware would have returned if the hardware had been read from. The data image being returned from the memory locations may travel with the virtual machine even if the virtual machine moves to a different physical machine that does not contain hardware equivalent to the hardware that was recently removed from the virtual machine. | 11-13-2014 |
20140337847 | CLUSTER SYSTEM AND METHOD FOR EXECUTING A PLURALITY OF VIRTUAL MACHINES - A cluster system includes a plurality of server computers and a data network. The cluster system is arranged to execute a plurality of virtual machines, wherein each of the virtual machines is allocated at least one virtual mass storage device. For each virtual machine, a first copy of the data of the associated virtual mass storage device is thereby stored on at least one local mass storage device of a first server computer and a second copy of the data of the associated virtual mass storage device is stored on at least one local mass storage device of a second server computer. | 11-13-2014 |
20140344805 | Managing Availability of Virtual Machines in Cloud Computing Services - Recovery of virtual machines when one or more hosts fail includes identifying virtual machines running on the remaining functioning hosts. Some of the identified powered on virtual machines are suspended in favor of restarting some of the failed virtual machines from the failed host(s). A subsequent round of identifying virtual machines for suspension and virtual machines for restarting is performed. Virtual machines for suspension and restarting may be identified based on their associated “recovery time objective” (RTO) values or their “maximum number of RTO violations” value. | 11-20-2014 |
20140344806 | Context Aware Virtual Desktop - Methods and systems for using context data captured by a computing device to generate a virtual desktop display are described herein. The computing device along with a host device may form a remote access environment whereby a virtual machine is provided on the computing device. The computing device may capture the context data and identify contextual information. The host device may then generate content based on the contextual information and incorporate the content into composite screen display data configured to display a context aware virtual desktop screen. The composite screen display data is transmitted to the computing device, and thus, the computing device is provided with a context aware virtual desktop screen. | 11-20-2014 |
20140344807 | OPTIMIZED VIRTUAL MACHINE MIGRATION - A virtualization computing platform may host a virtual machine. The virtual machine may be hosted by a first set of resources of the virtualization computing platform. A second set of resources for hosting the virtual machine may be identified. The second set of resources may comprise resources of the virtualization computing platform that are distinct from the first set of resources. At least a portion of a plurality of files associated with the virtual machine may be copied from the first set of resources to the second set of resources. A virtual memory swap file may be identified from among the plurality of files associated with the virtual machine. Based on identifying the virtual memory swap file as a virtual memory swap file, the virtual memory swap file may be treated differently from one or more other of the plurality of files associated with the virtual machine. | 11-20-2014 |
20140344808 | DYNAMICALLY MODIFYING WORKLOAD PATTERNS IN A CLOUD - A method, computer program product, and system are disclosed for modifying a computing environment hosting one or more workload patterns. A computer system obtains a list of deployed workload patterns on a on a computing environment, wherein each workload pattern is configured to support a predefined workload. The computer system identifies an extension to be deployed on a selected pattern from the list of deployed patterns. The computer system deploys the extension on the selected pattern. The computer system informs a user of the computing environment of new billing information based on deployment of the extension. | 11-20-2014 |
20140344809 | POLICY-BASED DATA PLACEMENT IN A VIRTUALIZED COMPUTING ENVIRONMENT - An example method is provided to perform policy-based data placement in a virtualized computing environment. The method may comprise receiving a request to create a virtual disk for a virtual machine in the virtualized computing environment. The request may include a usage type associated with the virtual disk. The method may further comprise, based on a data placement policy associated with the usage type, determining a datastore on which to place the virtual disk and to store data of the usage type associated with the virtual disk. | 11-20-2014 |
20140344810 | RESOURCE MANAGEMENT METHOD AND APPARATUS FOR VIRTUAL MACHINE SYSTEM, AND VIRTUAL MACHINE SYSTEM - An embodiment of the present invention provides a resource management method for a virtual machine system, where the method includes: obtaining, by a virtual resource management platform, a QoS constraint parameter of a virtual machine cluster and a current operating status statistical indicator of the virtual machine cluster, and according to the QoS constraint parameter of the virtual machine cluster and the current operating status statistical indicator of the virtual machine cluster, adjusting physical resources scheduling policy of a physical device platform or performing physical resource scheduling on the physical device platform. The method may ensure QoS of a cloud application. | 11-20-2014 |
20140344811 | COMPUTER-READABLE RECORDING MEDIUM, VIRTUAL MACHINE CONTROL METHOD AND INFORMATION PROCESSING APPARATUS - An information processing apparatus extracts information for identifying virtual machines as a transmission source and a transmission destination from a packet corresponding to a communication request when the communication request from a virtual machine is acquired, and determines whether the extracted virtual machines as the transmission source and the transmission destination use the same virtual bridge based on a first management table and a second management table. The information processing apparatus, when it is determined that the same virtual bridge is used, executes processing of the virtual bridge based on the information relating to the virtual bridge and relays the packet corresponding to the communication request to the virtual machine as the transmission destination, and notifies the first virtual machine relaying the virtual network interface of the virtual machine as the transmission source of arrival of the packet when it is determined that the same virtual bridge is not used. | 11-20-2014 |
20140344812 | COMPUTER SYSTEM AND VIRTUAL MACHINE ARRANGING METHOD - A computer system includes servers having virtual machines arranged therein; a noise source in each among the servers, the noise source emitting sound when the server is operated; and a controller that controls arrangement of the virtual machines in the servers. The controller solves an integer programming problem having an objective function representing a noise contribution described as any one among a function of virtual machine arrangement and a function of a job assignment count and indicating an extent of contribution of the sound emitted from the noise source to a noise volume at an evaluation point, by executing software for solving the integer programming problem on a processor, and based on a solution to the integer programming problem, causes the processor to arrange the virtual machines in the servers via an interface for the servers. | 11-20-2014 |
20140351808 | DYNAMICALLY PROVISIONING STORAGE - A “just in time” feedback-driven strategy for provisioning storage in a cloud computing environment is described. An agent miming in a guest virtual machine can monitor the storage needs of the guest virtual machine. When a specified threshold for the guest virtual machine is reached, the host can be notified of the changing storage needs. The host can respond by re-sizing the storage device and notifying the guest virtual machine of the changed storage capacity. | 11-27-2014 |
20140351809 | ACCESS TO STORAGE RESOURCES USING A VIRTUAL STORAGE APPLIANCE - Methods and systems for improved access to storage resources include installing a virtual storage appliance as a virtual machine on a hypervisor. The virtual storage appliance installs itself as a virtual PCI-E device and communicates with guest operating systems of the hypervisor using direct memory access via a PCI-E non-transparent bridge. The storage virtual appliance provides access to local and external storage resources with very high performance to applications running under the guest operating system, thereby overcoming performance barriers associated with native hypervisor driver models. | 11-27-2014 |
20140351810 | Management of Supervisor Mode Execution Protection (SMEP) by a Hypervisor - Approaches for enabling Supervisor Mode Execution Protection (SMEP) for a guest operating system which does not support SMEP. A guest operating system (OS), which does not support SMEP, is executed within a virtual machine. A hypervisor instructs hardware to enable SMEP for the virtual machine executing the guest operating system. When the hypervisor is notified that the hardware has detected the guest operating system instructing a central processing unit (CPU) to execute code stored in virtual memory accessible by user space while the CPU is in supervisor mode, the hypervisor may consult a policy to identify what, if any, responsive action the hypervisor should perform. | 11-27-2014 |
20140351811 | DATACENTER APPLICATION PACKAGES WITH HARDWARE ACCELERATORS - Technologies are generally described for providing application packages with hardware accelerators. In some examples, an application package for a datacenter may include an application and multiple hardware accelerators associated with the application. Each hardware accelerator may be configured for a different datacenter hardware configuration. When a datacenter receives the application package, it may select the appropriate hardware accelerator for implementation based on its hardware configuration. | 11-27-2014 |
20140351812 | RECORDING MEDIUM, MANAGEMENT DEVICE, AND NETWORK SYSTEM - A program causes a computer to execute a process including: receiving a command to connect first and second VMs through an L2 tunnel corresponding to particular QoS; instructing a first physical machine on which the first VM is running and which is connected to a plurality of physical networks through a first physical network interface device to use a first and second addresses in encapsulating in a first L3 packet a first L2 frame that the first VM decides to send to the second VM; and instructing the second physical machine, mutatis mutandis. Each physical network supports one or more levels in QoS. The first and second addresses correspond to a particular physical network that supports the particular QoS. The first address is one of addresses assigned to the first physical network interface device. The second address is one of addresses assigned to the second physical network interface device. | 11-27-2014 |
20140351813 | RUNTIME VIRTUAL PROCESS CREATION FOR LOAD SHARING - Methods and systems for managing execution of processes in virtual environments are disclosed. One method includes creating a virtual process from a process executing on a first virtual machine, and transferring the virtual process from the first virtual machine to a second virtual machine. The method also includes executing the virtual process on the second virtual machine, and, after the virtual process completes, removing the virtual process from the second virtual machine. | 11-27-2014 |
20140351814 | INTERACTION WITH A VIRTUAL NETWORK - Systems and method for the management of virtual machine instances are provided. A network data transmission analysis system can host virtual machine networks. A component of a hosted virtual machine network is configured in a manner to receive commands directed towards a simulated network device. The component may then execute a process or processes on the hosted virtual machine network which correspond to the received command. | 11-27-2014 |
20140351815 | SYSTEMS AND METHODS TO LOAD APPLICATIONS AND APPLICATION DATA INTO A VIRTUAL MACHINE USING HYPERVISOR-ATTACHED VOLUMES - Systems, methods, and software are described herein for operating a data management system, including a virtual machine agent running within a virtual machine responding to an attach-triggering event, determining selected storage volumes to be attached to the virtual machine based on a request generated by the virtual agent in response to the attach-triggering event, and dynamically attaching the selected storage volumes to the virtual machine. | 11-27-2014 |
20140359607 | Adjusting Transmission Rate of Execution State in Virtual Machine Migration - Systems and methods for adjusting the rate of transmission of the execution state of a virtual machine undergoing live migration. An example method may comprise: determining, by a migration agent executing on a computer system, a first rate being a rate of change of an execution state of a virtual machine undergoing live migration from an origin host computer system to a destination host computer system; determining a second rate being a rate of transferring the execution state of the virtual machine from the origin host computer system to the destination host computer system; determining that a ratio of the first rate to the second rate exceeds a threshold convergence ratio; and reducing the rate of transferring the execution state of the virtual machine from the origin host computer system to the destination host computer system. | 12-04-2014 |
20140359608 | Systems and Methods for Timer Based Virtual Processor Scheduling - Systems and methods for timer-based virtual processor scheduling. An example method may comprise: selecting, by a hypervisor executing on a computer system, an active virtual processor among two or more virtual processors; determining a first time period being less than a second time period, the second time period equal to a time remaining till a next scheduled timer interrupt of a timer communicatively coupled to the active virtual processor; and suspending the active virtual processor for at least the first time period. | 12-04-2014 |
20140359609 | USING HARDWARE TRANSACTIONAL MEMORY FOR IMPLEMENTATION OF QUEUE OPERATIONS - Using hardware transactional memory (HTM) for queue operations includes invoking a first operation for a concurrent linked queue of an interpretive program using a Just-In-Time (JIT) compiler of a virtual machine, wherein the first operation does not use HTM, determining whether a data processing system executing the virtual machine supports HTM, and responsive to determining that the data processing system does support HTM, detecting, using a processor and within the first operation, a call to a second operation that is that is configured, in byte code, to return an indication of a failed hardware transaction. Responsive to detecting the second operation, a machine code implementation of the first operation that includes a machine code implementation of the second operation is generated. The machine code implementation of the second operation is an implementation of the first operation that does use HTM. | 12-04-2014 |
20140359610 | SYSTEMS AND METHODS FOR CUSTOMIZING AND PROGRAMMING A CLOUD-BASED MANAGEMENT SERVER - Systems and methods for managing Software-as-a-Service (SaaS) provided by a virtual machine are described. The system may include a management application, and may receive a feature package from the virtual machine. The feature package may be associated with a function supported by the virtual machine. The system may integrate the feature package into the management application, and transmit a first command to the virtual machine for executing the function at the virtual machine. The first command may be generated by the management application based on the feature package. | 12-04-2014 |
20140359611 | GENERATING, AT LEAST IN PART, AT LEAST ONE PACKET INDICATING, AT LEAST IN PART, AT LEAST ONE COMMAND AND/OR ISSUING, AT LEAST IN PART, AT LEAST ONE RESULT OF EXECUTION, AT LEAST IN PART, OF THE AT LEAST ONE COMMAND - An embodiment may include circuitry to be included, at least in part, in a node in a network. The circuitry may expose, at least in part, a virtual function (VF) via which a subset of a physical function (PF) of the circuitry may be accessible. The circuitry may generate, at least in part, a packet that may indicate, at least in part, a command, and/or may issue, at least in part, a result of execution of the command by another (external) node in the network. The packet may be received, at least in part, by the other node. The command may be issued to the VF from a virtual machine (VM) resident, at least in part, in the node. The result may be issued, at least in part, to the VM, via the VF. Many modifications, variations, and alternatives are possible without departing from this embodiment. | 12-04-2014 |
20140359612 | Sharing a Virtual Hard Disk Across Multiple Virtual Machines - Embodiments provide a method and system for sharing storage among a plurality of virtual machines. Specifically, one or more embodiments are directed to sharing a virtual hard disk with various virtual machines in a virtual machine cluster. In embodiments, a command is sent from a virtual machine to a local parser. The parser prepares the command for transport over a file system protocol. The command is sent to a remote file server using the file system protocol. When the command is received by the file server, the file server unpacks the command, determines features about the command and converts the command to a format that executes the command on the virtual shared storage. | 12-04-2014 |
20140359613 | PHYSICAL/VIRTUAL DEVICE FAILOVER WITH A SHARED BACKEND - The subject matter of this specification can be implemented in, among other things, a method that includes identifying an assigned device that is assigned to a guest operating system of a virtual machine. The method includes transmitting, to the guest operating system, a request indicating a failover event. The failover event involves a switch from the assigned device to an emulated device. The assigned device and the emulated device share a backend physical device. The method further includes receiving an acknowledgement message from the guest operating system that it is ready to switch from the assigned device to the emulated device. The method further includes preventing access to the assigned device by the guest operating system. The method further includes associating a device driver of a hypervisor with the backend physical device and providing a notification to the guest operating system that the emulated device is available for use. | 12-04-2014 |
20140359614 | HIGH-PERFORMANCE PROCESSING IN A VIRTUALIZATION ENVIRONMENT - Exemplary methods, apparatuses, and systems include a client virtual machine processing a system call for a device driver to instruct a physical device to perform a function and transmitting the system call to an appliance virtual machine to execute the system call. The client virtual machine determines, in response to the system call, that an established connection with the appliance virtual machine has switched from a first protocol to a second protocol, the first and second protocols including a high-performance transmission protocol and Transmission Control Protocol and Internet Protocol (TCP/IP). The client virtual machine transmits the system call to the appliance virtual machine according to the second protocol. For example, the established connection may switch to the second protocol in response to the client virtual machine migrating to the first host device from a second host device. | 12-04-2014 |
20140359615 | Computer Host With a Baseboard Management Controller to Manage Virtual Machines - Disclosed is a computer host, including a visualization platform and a baseboard management controller (BMC). The visualization platform allows a plurality of virtual machines to operate simultaneously on the computer host, and the visualization platform has a daemon for receiving a management command from outside the visualization platform. The BMC executes a management application to generate and send the management command to the daemon. | 12-04-2014 |
20140359616 | VIRTUAL MACHINE MANAGING APPARATUS, METHOD OF MANAGING VIRTUAL MACHINE, AND INFORMATION PROCESSING SYSTEM - A virtual-machine managing apparatus includes a delay storage unit that stores delay times between a plurality of managers managing a virtual machine; a route extractor that extracts a migration route from a source manager to a target manager based on the delay times between the managers stored in the delay storage unit such that the delay times between the managers are equal to or shorter than a threshold; and a migration controller that migrates the virtual machine from the source manager to the target manager through the migration route while maintaining the operation of the virtual machine. | 12-04-2014 |
20140359617 | Patching a Virtual Image - A mechanism for patching a virtual image modifies a selected dormant virtual image to be patched by injecting a corresponding patch logic and patch material to be applied on next boot during an off-line preparation phase. The mechanism downloads a boot medium and creates a temporary disk for a selected target virtual machine with corresponding deployment data The mechanism changes a master boot record of said temporary disk associated with the target virtual machine to boot next on the boot medium. The mechanism executes the patch logic to install the patch material in case the target virtual machine associated with the virtual image to be patched is booted. | 12-04-2014 |
20140359618 | Creating a Virtual Appliance - A mechanism is provided for creating a virtual appliance. The mechanism receives input of an unmodified virtual appliance and a list of target models. The mechanism creates a modified virtual appliance with an ISO file, a conversion tool, and a list of validated target models based on the input. The modified virtual appliance is configured to boot on the ISO file containing a mini operating system working on all validated target models. Responsive to a deployment of the modified virtual appliance being triggered on a target model, the mini operating system is booted on the corresponding target model. The mini operating system determines the model of the current target model on which the mini operating system is running, and responsive to determining the current target model is a validated target model, applies modifications to an operating system of the virtual appliance in accordance with current target model to form a portable virtual appliance running on target model. | 12-04-2014 |
20140359619 | METHOD FOR MANAGING VIRTUAL MACHINE AND DEVICE THEREFOR - The present invention relates to a virtual machine, and more particularly, to a method for performing device management for a virtual machine in a terminal comprising a plurality of virtual machines, and a device therefor, the method comprising the steps of: generating a specific virtual machine; constituting at least one management object, which is required for providing device management for the specific virtual machine, in a virtualization management object; receiving a device management command from a server; checking whether the device management command is for the specific virtual machine; and processing the device management command if the device management command is for the specific virtual machine, wherein the virtualization management object includes a first node for setting up information related to the specific virtual machine and includes, below the first node, a second node for setting up information required for device management for the specific virtual machine, and the at least one management object is formed below the second node. | 12-04-2014 |
20140359620 | Associating an Identifier for a Virtual Machine with a Published Network Configuration Service Type - Examples disclosed herein relate to associating an identifier for a virtual machine with a published network configuration service type. Examples include configuring an edge switch with a network policy in response to receiving the identifier from the edge switch. | 12-04-2014 |
20140359621 | Method and Apparatus for a Virtual System on Chip - A virtual system on chip (VSoC) is an implementation of a machine that allows for sharing of underlying physical machine resources between different virtual systems. A method or corresponding apparatus of the present invention relates to a device that includes a plurality of virtual systems on chip and a configuring unit. The configuring unit is arranged to configure resources on the device for the plurality of virtual systems on chip as a function of an identification tag assigned to each virtual system on chip. | 12-04-2014 |
20140359622 | Method and Apparatus for a Virtual System on Chip - A virtual system on chip (VSoC) is an implementation of a machine that allows for sharing of underlying physical machine resources between different virtual systems. A method or corresponding apparatus of the present invention relates to a method that includes a plurality of virtual systems on chip and a configuring unit. The configuring unit is arranged to configure resources on the method for the plurality of virtual systems on chip as a function of an identification tag assigned to each virtual system on chip. | 12-04-2014 |
20140359623 | FILE MAPPING AND CONVERTING FOR DYNAMIC DISK PERSONALIZATION FOR MULTIPLE PLATFORMS - File mapping and converting for dynamic disk personalization for multiple platforms are provided. A volatile file operation is detected in a first platform. The file supported by the first platform. A determination is made that the file is sharable with a second platform. The volatile operation is performed on the file in the first platform and the modified file is converted to a second file supported by the second platform. The modified file and second file are stored in a personalized disk for a user. The personalized disk is used to modify base images for VMs of the user when the user accesses the first platform or second platform. The modified file is available within the first platform and the second file is available within the second platform. | 12-04-2014 |
20140366017 | Techniques for Virtualization as Interprocess Communication, Synchronization and Code Obfuscation - Techniques are presented for obfuscating programs of virtual machines. On a virtual machine hosted by a physical device, a program is run that is configured to execute one or more operations. At a virtual machine manager hosted by the physical device and configured to manage the virtual machine, execution of the program is monitored to detect a trapping event that causes the virtual machine manager to take over operation of the program. Upon detecting the trapping event, a specific operation of the program is performed that differs from an operation implied by static analysis of the program. | 12-11-2014 |
20140366018 | METHOD AND SYSTEM FOR AUTOMATIC ASSIGNMENT AND PRESERVATION OF NETWORK CONFIGURATION FOR A VIRTUAL MACHINE - The disclosure herein describes a system, which provides network configuration to a respective network adapter of a large number of cloned virtual machines. During operation, the system stores one or more network identifiers assignable to a network adapter of a cloned virtual machine in a pool of virtual machines cloned from a parent virtual machine. A respective network identifier corresponds to a respective network configuration. The system then determines whether a network identifier for a network adapter of a cloned virtual machine is available. If so, the system assigns the network identifier to the network adapter in response to the network identifier being available, thereby associating the network adapter with the corresponding network configuration. | 12-11-2014 |
20140366019 | SUSPEND-RESUME OF VIRTUAL MACHINES USING DE-DUPLICATION - A technique for data de-duplication is applied to suspend and resume operations for virtual machines (VMs) to reduce the time needed to suspend or resume a virtual machine and store the suspended VMs in a space-efficient and time-efficient manner. A VM's state is serialized and written to disk in the form of key values that are used to retrieve data from a key-data map. The key-data map stores unique data blocks for suspended VM images. The key-data map can be stored in a solid-state drive to further reduce latency and access time. | 12-11-2014 |
20140366020 | SYSTEM AND METHOD FOR MANAGING VIRTUAL MACHINE STOCK - A cloud server sets upper stock limits, lower stock limits, and stocks for virtual machines (VMs) having different configuration specifications in the cloud server. When the VM stock of the VMs having the configuration specification is greater than a corresponding lower stock limit of the VMs, the cloud server calculates a supplement of the VMs having one configuration specification according to the corresponding stock and the upper stock limit of the VMs having the configuration specification. The cloud server further configures the number of the supplement of the VMs having the configuration specification in the cloud server. | 12-11-2014 |
20140366021 | Methods, Devices and Computer Readable Storage Devices for Emulating an Accelerometer in a Guest Operating System from a Host Operating System - A guest operating system, launched by executing an application associated with a host operating system, is provided with access to an accelerometer associated with a computing device including a processor executing the host operating system. A first application associated with the guest operating system generates a request for accelerometer data. The request is received at a hardware abstraction layer associated with the guest operating system, and the request is sent from the hardware abstraction layer to a second application associated with the host operating system. The request is sent from the second application to a driver executing within a kernel of the host operating system. The driver retrieves the requested accelerometer data from the accelerometer. The retrieved accelerometer data is provided to the first application via the second application and the hardware abstraction layer. | 12-11-2014 |
20140366022 | Methods, Devices and Computer Readable Storage Devices for Emulating a Magnetometer in a Guest Operating System from a Host Operating System - A guest operating system, launched by executing an application associated with a host operating system, is provided with access to a magnetometer associated with a computing device including a processor executing the host operating system. A first application associated with the guest operating system generates a request for magnetometer data. The request is received at a hardware abstraction layer associated with the guest operating system, and the request is sent from the hardware abstraction layer to a second application associated with the host operating system. The request is sent from the second application to a driver executing within a kernel of the host operating system. The driver retrieves the requested magnetometer data from the magnetometer. The retrieved magnetometer data is provided to the first application via the second application and the hardware abstraction layer. | 12-11-2014 |
20140366023 | Methods, Devices and Computer Readable Storage Devices for Emulating a Gyroscope in a Guest Operating System from a Host Operating System - A guest operating system, launched by executing an application associated with a host operating system, is provided with access to a gyroscope associated with a computing device including a processor executing the host operating system. A first application associated with the guest operating system generates a request for gyroscope data. The request is received at a hardware abstraction layer associated with the guest operating system, and the request is sent from the hardware abstraction layer to a second application associated with the host operating system. The request is sent from the second application to a driver executing within a kernel of the host operating system. The driver retrieves the requested gyroscope data from the gyroscope. The retrieved gyroscope data is provided to the first application via the second application and the hardware abstraction layer. | 12-11-2014 |
20140366024 | Methods, Devices and Computer Readable Storage Devices for Emulating a Light Sensor in a Guest Operating System from a Host Operating System - A guest operating system, launched by executing an application associated with a host operating system, is provided with access to a light sensor associated with a computing device including a processor executing the host operating system. A first application associated with the guest operating system generates a request for light sensor data. The request is received at a hardware abstraction layer associated with the guest operating system, and the request is sent from the hardware abstraction layer to a second application associated with the host operating system. The request is sent from the second application to a driver executing within a kernel of the host operating system. The driver retrieves the requested light sensor data from the light sensor. The retrieved light sensor data is provided to the first application via the second application and the hardware abstraction layer. | 12-11-2014 |
20140366025 | Methods, Devices and Computer Readable Storage Devices for Emulating Rotation Events in a Guest Operating System from a Host Operating System - A guest operating system is provided with access to sensor data indicative of a rotation event from sensors associated with a computing device including a processor executing a host operating system. The guest operating system is launched as a virtual operating system and is executed as a guest of the host operating system. Responsive to changed sensor data indicative of the rotation event detected by at least one sensor associated with the computing device, the changed sensor data is sent to a first application associated with the host operating system via at least one driver executing within a kernel of the host operating system. The changed sensor data is sent from the first application to an application framework associated with the guest operating system. The application framework calculates rotation of the computing device based on the changed sensor data. The calculated rotation is sent to a second application associated with the guest operating system. | 12-11-2014 |
20140366026 | RECORDING MEDIUM STORING TRANSACTION RESUMING PROGRAM, INFORMATION PROCESSING APPARATUS AND TRANSACTION RESUMING METHOD - Upon receiving a packet addressed to a virtual machine, a virtual switch connected to the virtual machine forwards the packet to the virtual machine, stores the received packet, the packet being related to an uncompleted transaction in the first storing unit in association with the uncompleted transaction, in response to an instruction for restoring the virtual machine, stops the forwarding of the packet to the virtual machine, and in response to the completion of the restoring of the virtual machine, upon receiving an instruction for resuming the forwarding, transmits one or a plurality of packets stored in the first storing unit in association with the uncompleted transaction to the virtual machine. | 12-11-2014 |
20140366027 | EARLY NETWORK NOTIFICATION IN LIVE MIGRATION - The sending of an early notification when a guest migrates in a virtual machine system is described. A guest hosted by a computer system is migrating from a source hypervisor to a target hypervisor. During the migration, the source hypervisor stops transmission of outbound packets from the guest. A signal is sent to the target hypervisor after stopping the transmission and before completing migration of the guest. The signal requests that a notification containing network addresses of the guest be sent to network devices associated with the target hypervisor. | 12-11-2014 |
20140366028 | USER DATAGRAM PROTOCOL (UDP) PACKET MIGRATION IN A VIRTUAL MACHINE (VM) MIGRATION - Embodiments of the invention relate to receiving, by a first processor comprising a processing device, an indication that a migration of a virtual machine from the first processor to a second processor is to occur. The first processor transmits user datagram protocol (UDP) packets intended for the virtual machine to the second processor based on the indication. A signal is transmitted to the virtual machine to enter an offline state, wherein the offline states comprises a transfer of at least one of a central processing unit (CPU) state and a memory state, and wherein the virtual machine is configured to halt a processing of the UDP packets in response to receiving the signal. The virtual machine is reactivated once the migration of the virtual machine from the first processor to the second processor is complete. The virtual machine is instructed to resume the processing of the UDP packets. | 12-11-2014 |
20140366029 | USER DATAGRAM PROTOCOL (UDP) PACKET MIGRATION IN A VIRTUAL MACHINE (VM) MIGRATION - Embodiments of the invention relate to receiving, by a first processor comprising a processing device, an indication that a migration of a virtual machine from the first processor to a second processor is to occur. The first processor transmits user datagram protocol (UDP) packets intended for the virtual machine to the second processor based on the indication. A signal is transmitted to the virtual machine to enter an offline state, wherein the offline states comprises a transfer of at least one of a central processing unit (CPU) state and a memory state, and wherein the virtual machine is configured to halt a processing of the UDP packets in response to receiving the signal. The virtual machine is reactivated once the migration of the virtual machine from the first processor to the second processor is complete. The virtual machine is instructed to resume the processing of the UDP packets. | 12-11-2014 |
20140373005 | REQUIREMENT BASED EXPOSURE OF ENGINES OF A GRAPHICS PROCESSING UNIT (GPU) TO A VIRTUAL MACHINE (VM) CONSOLIDATED ON A COMPUTING PLATFORM - A method includes executing a driver component on a hypervisor of a computing platform including a graphics processing unit (GPU) executing a number of engines thereon, and executing an instance of the driver component in each of a number of VMs consolidated on the computing platform. The method also includes defining, through the hypervisor, a data path between a VM and a subset of the engines of the GPU in a configuration register associated with the VM in accordance with a requirement of an application executing on the VM, and reading, through the instance of the driver component in the VM, an emulated version of the configuration register during loading thereof. Further, the method includes limiting one or more processing functionalities provided to the VM based on solely exposing the subset of the engines to the application in accordance with the data path definition in the configuration register. | 12-18-2014 |
20140373006 | System And Method For Virtual Machine Management - In one embodiment, a system includes a device and first and second processors. The first and second processors are configured to access first information. The first information includes a first parameter and a second parameter. The first parameter is associated with a position of the device relative to the first processor. The second parameter is associated with a position of the device relative to the second processor. The system is configured to access second information associated with a virtual machine. The virtual machine is configured to run on a host operating system. The virtual machine includes a guest operating system configured to communicate with the device. The system is also configured to automatically select the first processor based at least on the first information and the second information and to associate the virtual machine with the first processor in response to automatically selecting the first processor. | 12-18-2014 |
20140373007 | PROVISIONING A SECURE CUSTOMER DOMAIN IN A VIRTUALIZED MULTI-TENANT ENVIRONMENT - To provision a secure customer domain in a virtualized multi-tenant environment, a virtual machine (VM) is configured for a customer in the customer domain. A first, second, and third virtual network interfaces (VNICs) are configured in the VM. The first VNIC has a first network address within a first address range selected for the customer domain and enables an application on the VM to access a second application in a second VM in the customer domain. The second VNIC enables a third application outside the customer domain to access the VM in the customer domain. The second VNIC is configured to use an addressing specification used by a server of the third application. The third VNIC enables access from the first application to a fourth application executing outside the customer domain. The third VNIC is configured to use an addressing specification used by a server of the fourth application. | 12-18-2014 |
20140373008 | THREAD OPERATION ACROSS VIRTUALIZATION CONTEXTS - Application virtualization at the thread level, rather than at the process level, the operation of a thread across virtualization contexts. For instance, one virtualization context might be a native environment, whereas another virtualization context might be a virtualization environment in which code running inside a virtualization package has access to virtualized computing resources. A thread operating in a first virtualization context then enters an entry point to code associated with a second virtualization context. For instance, a native thread might enter a plug-in operating as part of a virtualized package in a virtualization environment. While the thread is operating on the code, the thread might request access to the second computing resources associated with the second virtualization environment. In response, the thread is associated with the second virtualization context such that the thread has access to the second computing resources associated with the second virtualization context. | 12-18-2014 |
20140373009 | THREAD OPERATION ACROSS VIRTUALIZATION CONTEXTS - Application virtualization at the thread level, rather than at the process level. The thread is permitted to pass virtualization context boundaries. A thread might be operating in a particular virtualization context (e.g., a native environment or a first virtualization environment) having access to particular computing resources. The thread then enters an entry point for code operating in another virtualization context (e.g., a virtualization environment from a native environment, or a second virtualization environment from a first virtualization environment) having access to other computing resources. Once this happens, the thread is associated with the next virtualization context so that the thread has access to the computing resources of this next virtualization context. | 12-18-2014 |
20140373010 | INTELLIGENT RESOURCE MANAGEMENT FOR VIRTUAL MACHINES - Embodiments of the present invention disclose a method, computer program product, and system for resource management for virtual machines. A computer receives information associated with one or more virtual machines, wherein the received information includes utilization information and workload information associated with each virtual machine of the one or more virtual machines. The computer analyzes the received information associated with the one or more virtual machines. The computer determines virtual machines for resource reallocation, wherein the determined virtual machines include one or more over-utilized virtual machines including at least one over-utilized resource. In another embodiment, the computer determines one or more under-utilized virtual machines, wherein the one or more under-utilized virtual machines include at least one under-utilized resource that corresponds to the determined one or more over-utilized resources. In another embodiment, the computer reallocates resources of the determined virtual machines for resource reallocation. | 12-18-2014 |
20140373011 | GENERATING A DEPLOYMENT PATTERN FOR REUSE IN A NETWORKED COMPUTING ENVIRONMENT - An approach for generating a deployment pattern for reuse after the deployment of a set of virtual machines and related application artifacts in a networked computing environment (e.g., a cloud computing environment) is provided. In a typical embodiment, information associated with one or more previously provisioned application environments is derived and, after analyzing the information, a deployment pattern is created in the form of tangible artifacts which may be reuse for further deployments without the need to trace back the original procedures used to deploy the previously previsioned application environment. | 12-18-2014 |
20140373012 | Virtual Machine Management Using a Downloadable Subscriber Identity Module - A method is presented of establishing communications with a Virtual Machine, VM, in a virtualised computing environment using a 3GPPcommunications network. The method includes establishing a Machine-to-Machine Equipment Platform, M2MEP, which comprises a Communications Module, CM, providing an end-point of a communication channel between the 3GPP network and the VM. A virtual Machine-to-Machine Equipment is established that comprises a VM running on the M2MEP and a downloadable Subscriber Identity Module, associated with the CM. The Subscriber Identity Module includes security data and functions for enabling access via the 3GPP network. The CM utilises data in the Subscriber Identity Module for controlling communication over the communication channel between the VM and the 3GPP network. | 12-18-2014 |
20140373013 | Synchronizing Multicast Groups - Embodiments of the invention relate to synchronizing multicast groups of a virtual machine during migration of the virtual machine. A first list and a second list, indicating multicast groups corresponding to the first port and second port respectively, are obtained in response to detection of migration of the virtual machine from a first port to a second port of a same switch. The second list is updated so that the second list contains the multicast groups in the first list. An undesired multicast group is aged. Multicast groups of a virtual machine may be synchronized in the process of migration of the virtual machine, thereby reducing or removing the time during which the virtual machine multicast service is disrupted. | 12-18-2014 |
20140373014 | INHERITED PRODUCT ACTIVATION FOR VIRTUAL MACHINES - Methods and systems are disclosed in which inherited activation opens a secure communication path from the host operating system (OS) to the guest (virtual machine) OS. The license state of the software on the host is passed through this channel, and software installed in the guest uses this information to inform its own product activation process. The virtualized (guest) software may then activate without any outside communication when the license requirements for the host are met. | 12-18-2014 |
20140380303 | STORAGE MANAGEMENT FOR A CLUSTER OF INTEGRATED COMPUTING SYSTEMS - Integrated computing systems with independently managed infrastructures including compute nodes and storage nodes form a cluster. Storage resource agents manage storage resources in the cluster. The resource agents identify storage requirements associated with allocation sets for resource consumers dispatched in the cluster, communicate with each other to locate inter-system storage resources that primarily satisfy locality criteria associated with resource consumer workloads, secondarily satisfy allocation set activity criteria associated with the allocation sets, and allocate the storage resources to the resource consumers to satisfy the storage requirements. The storage resource agents may base storage assignments on data placement information from a priority map. Data may be later relocated to alternate storage resources in satisfaction of cluster-wide storage policies, priority determinations, and data access rate determinations. | 12-25-2014 |
20140380304 | METHODS AND SYSTEMS FOR ENERGY MANAGEMENT IN A VIRTUALIZED DATA CENTER - A method and system provisions a plurality of resources of a data center. A violation risk factor for a set of low priority requests can be computed. A utilization factor of a set of activated resources of the data center shall be evaluated. According to a predefined rule base, one or more of the plurality of resources, shall be provisioned for a received high priority request, whereby the predefined rule base defines performing one or more of; a) preempting a set of virtual machines utilizing a subset of the set of activated resources, whereby the set of virtual machines is associated with the set of low priority requests; b) activating a new set of resources; and c) consolidating a plurality of virtual machines, based on the computed violation risk factor and the evaluated utilization factor. | 12-25-2014 |
20140380305 | DEFERRING THE COST OF VIRTUAL STORAGE - In one embodiment, a virtual storage system | 12-25-2014 |
20140380306 | SYSTEM AND METHOD FOR LIVE CONVERSION AND MOVEMENT OF VIRTUAL MACHINE IMAGE AND STATE INFORMATION BETWEEN HYPERVISORS - A system for live conversion and movement of a virtual machine image and state information between hypervisors includes: means for freezing a current state of a source image; means for creating a proxy; means for redirecting any changes made to the source image to a journal of the proxy; means for reading from the source image; means for writing to the journal; means for converting the source image to a target image; means for reattaching the journal to the target image; and means for replaying the journal on the target image. | 12-25-2014 |
20140380307 | PERFORMANCE-DRIVEN RESOURCE MANAGEMENT IN A DISTRIBUTED COMPUTER SYSTEM - A system and method for managing resources in a distributed computer system that includes at least one resource pool for a set of virtual machines (VMs) utilizes a set of desired individual VM-level resource settings that corresponds to target resource allocations for observed performance of an application running in the distributed computer system. The set of desired individual VM-level resource settings are determined by constructing a model for the observed application performance as a function of current VM-level resource allocations and then inverting the function to compute the target resource allocations in order to meet at least one user-defined service level objective (SLO). The set of desired individual VM-level resource settings are used to determine final RP-level resource settings for a resource pool to which the application belongs and final VM-level resource settings for the VMs running under the resource pool, which are then selectively applied. | 12-25-2014 |
20140380308 | METHODS AND APPARATUS TO GENERATE A CUSTOMIZED APPLICATION BLUEPRINT - Methods and apparatus to generate a customized application blueprint are disclosed. An example method includes determining a first virtual machine within an application definition, automatically identifying a property for the first virtual machine, and generating an application blueprint based on the identified property of the virtual machine. | 12-25-2014 |
20140380309 | VIRTUAL MACHINE SYSTEM AND METHOD OF MEASURING PROCESSOR PERFORMANCE - In a virtual machine system where a first stage VM and a second stage VM generated on the first stage VM are executed, a processor is configured to perform a first determination as to whether to physically instruct to start execution caused by a virtual execution start of the second stage VM and a second determination as to whether a physical end is detected as a result of a virtual end of the second stage VM, and calculate an execution time of the second stage VM based on results of the first determination and the second determination. | 12-25-2014 |
20140380310 | SHARING USB KEY BY MULTIPLE VIRTUAL MACHINES LOCATED AT DIFFERENT HOSTS - A system for sharing a USB Key by multiple virtual machines located at different hosts including at least two virtual machine managers, each virtual machine manager including a virtual machine transceiver module which is configured to receive a request for accessing a USB Key from a virtual machine within its host; a storage module which is configured to store an association relationship between a USB Key and the virtual machine authenticated by the USB Key; a verification module which is configured to, in response to judging that the virtual machine of the received request can access the USB Key, transmit the request for accessing the USB Key to a USB Key transceiver module of a virtual machine manager of the host where the USB Key is located; and a USB Key transceiver module which is configured to receive a request for accessing a USB Key, and to transmit an access request to a connected USB Key. | 12-25-2014 |
20140380311 | VIRTUAL MACHINE DEVICE HAVING KEY DRIVEN OBFUSCATION AND METHOD - A virtual machine device | 12-25-2014 |
20140380312 | SYSTEM AND METHOD FOR ON-DEMAND CLONING OF VIRTUAL MACHINES - A system for on-demand cloning of virtual machines (VMs) includes a virtual server to host a number of VMs, the virtual server including at least one master VM. The system also includes a Web server to authenticate a user in response to a request for online access to a new VM on the virtual server. In addition, the system includes a cloning module, in communication with the Web server and the virtual server, to automatically clone the master VM to create a unique VM clone for the user on the virtual server responsive to the request. | 12-25-2014 |
20140380313 | METHOD AND DEVICE FOR LOADING ANDROID VIRTUAL MACHINE APPLICATION - A method and a device for loading a virtual machine application are provided herein. An exemplary method comprises: loading a management object of the virtual machine by the layer-booting object; reading the virtual machine configuration by the management object of the virtual machine; and invoking a creation function of the management object of the virtual machine by the virtual machine configuration and creating an operational instance of the virtual machine. The Android loading method and device for a virtual machine can be used to improve switching speed between instances. | 12-25-2014 |
20140380314 | MANAGEMENT SERVER, PATCH SCHEDULING METHOD, AND RECORDING MEDIUM - A non-transitory computer-readable recording medium has stored therein a patch scheduling program that causes a computer to execute a process. The process includes managing, aggregating, determining, and scheduling. The managing includes managing a system including a plurality of software that control a plurality of virtual machines. The aggregating includes aggregating virtual machines including a similar trend regarding a predetermined index thereof with a mutually-same virtual software. The determining includes determining a time period during which the virtual machines are to be moved, based on the trends of the virtual machines aggregated with the mutually-same virtual software and based on moving time of the move of the virtual machines to a different virtual software included in the plurality of virtual software. The scheduling includes scheduling applying a patch to each of the plurality of virtual software at the determined time periods. | 12-25-2014 |
20140380315 | Transferring Files Using A Virtualized Application - Approaches for transferring a file using a virtualized application. A virtualized application executes within a virtual machine residing on a physical machine. When the virtualized application is instructed to download a file stored external to the physical machine, the virtualized application displays an interface which enables at least a portion of a file system, maintained by a host OS, to be browsed while preventing files stored within the virtual machine to be browsed. Upon the virtualized application receiving input identifying a target location within the file system, the virtualized application stores the file at the target location. The virtualized application may also upload a file stored on the physical machine using an interface which enables at least a portion of a file system of a host OS to be browsed while preventing files in the virtual machine to be browsed. | 12-25-2014 |
20140380316 | TECHNIQUES FOR DYNAMIC DISK PERSONALIZATION - Techniques for dynamic disk personalization are provided. A virtual image that is used to create an instance of a virtual machine (VM) is altered so that disk access operations are intercepted within the VM and redirected to a service that is external to the VM. The external service manages a personalized storage for a principal, the personalized storage used to personalize the virtual image without altering the virtual image. | 12-25-2014 |
20150020064 | Utilizing Client Resources During Mobility Operations - A mechanism is provided n a data processing system for logical partition migration. Responsive to a virtual machine monitor initiating a logical partition migration operation to move a logical partition from a source system to a destination system, the mechanism reallocates a portion of processing resources from the logical partition to the virtual machine monitor. The virtual machine monitor uses the portion of processing resources to effect the logical partition migration operation. Responsive to completion of the logical partition migration operation, the mechanism returns the portion of processing resources to the logical partition. | 01-15-2015 |
20150020065 | DISTRIBUTED VIRTUAL MACHINE IMAGE MANAGEMENT FOR CLOUD COMPUTING - Embodiments of the present invention and provide a method for distributed virtual machine (VM) image management in a cloud computing management includes receiving in a hypervisor executing in memory of a host computer, a request for VM image data from a VM and determining whether or not the requested VM image data is present in a local cache of either the hypervisor of the host computer or in a cache of a hypervisor of a peer host. The method additionally includes retrieving the requested VM image data from a seed image in a remote data store in the cloud computing environment only when it is determined that the VM image data neither is present in the local cache of the hypervisor of the host computer nor is present in the cache of the hypervisor of the peer host. | 01-15-2015 |
20150020066 | SYSTEMS AND METHODS OF ANALYZING A SOFTWARE COMPONENT - A particular method includes receiving, at a device, a request to analyze a software component. The request indicates a target operating system. The method also includes generating a virtual machine at a computing device. The virtual machine implements the target operating system. The method further includes executing the software component in the target operating system on the virtual machine and generating data indicating effects of executing the software component on the virtual machine. | 01-15-2015 |
20150020067 | DISTRIBUTED VIRTUAL MACHINE IMAGE MANAGEMENT FOR CLOUD COMPUTING - Embodiments of the present invention and provide a method for distributed virtual machine (VM) image management in a cloud computing management includes receiving in a hypervisor executing in memory of a host computer, a request for VM image data from a VM and determining whether or not the requested VM image data is present in a local cache of either the hypervisor of the host computer or in a cache of a hypervisor of a peer host. The method additionally includes retrieving the requested VM image data from a seed image in a remote data store in the cloud computing environment only when it is determined that the VM image data neither is present in the local cache of the hypervisor of the host computer nor is present in the cache of the hypervisor of the peer host. | 01-15-2015 |
20150020068 | Utilizing Client Resources During Mobility Operations - A mechanism is provided n a data processing system for logical partition migration. Responsive to a virtual machine monitor initiating a logical partition migration operation to move a logical partition from a source system to a destination system, the mechanism reallocates a portion of processing resources from the logical partition to the virtual machine monitor. The virtual machine monitor uses the portion of processing resources to effect the logical partition migration operation. Responsive to completion of the logical partition migration operation, the mechanism returns the portion of processing resources to the logical partition. | 01-15-2015 |
20150020069 | SYSTEMS AND METHODS OF LICENSING AND IDENTIFICATION OF VIRTUAL NETWORK APPLIANCES - Systems and methods of licensing and identification of a virtual network appliance. The systems and methods obtain information specific to an instance of a virtual machine corresponding to the virtual network appliance. The instance of the virtual machine is deployed on a predetermined virtualization platform. The systems and methods can generate an identifier as well as a serial number for the virtual machine based at least on the information specific to the instance of the virtual machine, and generate a license including license data for the virtual network appliance, embedding at least the identifier for the virtual machine in the license data. The information specific to the instance of the virtual machine can include a universally unique identifier (UUID) and at least one virtual media access control (MAC) address for the virtual machine deployed on the predetermined virtualization platform. | 01-15-2015 |
20150020070 | ACCELERATED DATA OPERATIONS IN VIRTUAL ENVIRONMENTS - Systems, methods, and software described herein facilitate accelerated input and output operations with respect to virtualized environments. In an implementation, upon being notified of a guest read process initiated by a guest element running in a virtual machine to read data into a location in guest memory associated with the guest element, a computing system identifies a location in host memory associated with the location in the guest memory and initiates a host read process to read the data into the location in the host memory that corresponds to the location in the guest memory. | 01-15-2015 |
20150020071 | ACCELERATED DATA OPERATIONS IN VIRTUAL ENVIRONMENTS - Systems, methods, and software described herein facilitate accelerated input and output operations with respect to virtualized environments. In an implementation, a computing system passes a process identifier to a kernel driver for a host environment, wherein the process identifier identifies a guest process spawned in a virtual machine and wherein the kernel driver uses the process identifier to determine an allocation of host memory corresponding to guest memory for the guest process and returns the allocation of host memory. Additionally, the computing system performs a mapping of the allocation of host memory to an allocation of guest memory for the guest element. | 01-15-2015 |
20150020072 | CONTENT SPACE ENVIRONMENT REPRESENTATION - Embodiments of the invention relate to content space environment representation. An aspect of the invention includes selecting one or more platform attributes for a software content space. The software content space includes one or more noun spaces. A platform space is created for each noun space in the software content space. The platform space is compatible with the noun space. A set of user stories is generated on a computer using the software content space and platform specification compatible with existing content space system specifications. | 01-15-2015 |
20150020073 | Network Traffic Control by Association of Network Packets and Processes - An information handling system includes a plurality of processors that each includes a cache memory, and a receive side scaling (RSS) indirection table with a plurality of pointers that each points to one of the processors. A network data packet received by the information handling system determines a pointer to a first processor. In response to determining the pointer, information associated with the network data packet is transferred to the cache memory of the first processor, The information handling system also includes a process scheduler that moves a process associated with the network data packet from a second processor to the first processor, and an RSS module that directs the process scheduler to move the process and associates the first pointer with the processor in response to directing the process scheduler. In one embodiment, the processes are virtual machines so that network packets associated with a virtual machine are processed by sending an interrupt to a processor supporting executing the virtual machine. | 01-15-2015 |
20150026676 | SYSTEMS AND METHODS FOR INSTANTLY RESTORING VIRTUAL MACHINES IN HIGH INPUT/OUTPUT LOAD ENVIRONMENTS - A computer-implemented method for instantly restoring virtual machines in high input/output load environments may include (1) identifying a hypervisor that is configurable to maintain simultaneous connections to multiple datastores of a network-attached-storage system, (2) receiving a request to activate a new virtual machine on the hypervisor, (3) determining a usage of at least one connection from the hypervisor to at least one datastore of the network-attached-storage system, and (4) selecting, based on the usage of the connection, a designated connection from the hypervisor to a designated datastore of the network-attached storage system for use by the new virtual machine to store data on the network-attached-storage system. Various other methods, systems, and computer-readable media are also disclosed. | 01-22-2015 |
20150026677 | NETWORK RESOURCE MANAGEMENT SYSTEM UTILIZING PHYSICAL NETWORK IDENTIFICATION FOR LOAD BALANCING - The disclosed network resource management system employs a hardware configuration management (HCM) information handling system (IHS) that may couple to a single administered IHS or to multiple administered IHSs via an administrative network. An HCM tool in the HCM IHS may generate, modify and store hardware configuration information, including physical network identifications (PNet IDs), in an HCM database and share the HCM database with the administered IHSs. The administered IHS may be a load balancing IHS. A load balancing tool may extract hardware configuration information, including PNet IDs, from the HCM database. The load balancing tool may utilize the hardware configuration information to enable the load balancing IHS to balance adapter loads in the load balancing IHS. The load balancing tool may also utilize the hardware configuration information to enable the load balancing IHS to failover from a failing adapter to other adapters in the load balancing IHS. | 01-22-2015 |
20150026678 | CONTROL METHOD FOR COMPUTER, AND COMPUTER - A first processor group of physical processors having hardware-assisted virtualization set disabled among the plurality of physical processors; a second processor group of physical processors having the hardware-assisted virtualization set enabled among the plurality of physical processors; a first OS to which the first processor group is allocated; and a virtualization part to which the second processor group is allocated, the virtualization part is configured to: allocate a predetermined area within the memory and a predetermined one of the plurality of physical processors within the second processor group to the second OS as the virtualized processor, and boot the second OS to be provided as the virtual machine; and set a shared area, which is readable/writable by both the first OS and the virtualization part, and set interrupt routing information comprising a correspondence relationship between a logical interrupt to the second OS and a physical interrupt thereto. | 01-22-2015 |
20150026679 | Look Ahead of Links/Alter Links - A computationally-implemented method includes obtaining data from a data source, determining an acceptability of an effect of the data on at least a part of a real machine at least in part via one or more virtual machine representations of the at least a part of the a real machine, and controlling at least one operation of the at least one real machine based on the determining an acceptability of a content of the data. | 01-22-2015 |
20150026680 | Emulating Execution Of An Instruction For Discovering Virtual Topology Of A Logical Partitioned Computer System - In a logically partitioned host computer system comprising host processors (host CPUs), a facility and instruction for discovering topology of one or more guest processors (guest CPUs) of a guest configuration comprises a guest processor of the guest configuration fetching and executing a STORE SYSTEM INFORMATION instruction that obtains topology information of the computer configuration. The topology information comprising nesting information of processors of the configuration and the degree of dedication a host processor provides to a corresponding guest processor. The information is preferably stored in a single table in memory. | 01-22-2015 |
20150026681 | Virtual Switching Method, Related Apparatus, and Computer System - A virtual switching method, a related apparatus, and a computer system are provided. The method includes receiving a first message sent by a source node, where the first message is used to request a first virtual machine to perform switching processing on to-be-switched data, where the to-be-switched data is sent from the source node to a target node and at least one of the source node and the target node is a second virtual machine; and determining a second message according to an address of the target node contained in the to-be-switched data and a configured port mapping table, and sending the second message, where the second message is used to instruct the target node to acquire the to-be-switched data from a storage device of a hardware layer. | 01-22-2015 |
20150026682 | REDIRECTION OF INFORMATION FROM SECURE VIRTUAL MACHINES TO UNSECURE VIRTUAL MACHINES - The present invention is directed towards methods and systems for redirecting an access request to an unsecure virtual machine. A computing device may execute a hypervisor hosting a secure virtual machine and an unsecure virtual machine. A control virtual machine, hosted by a hypervisor executing on the computing device, may intercept a request to access an unsecure resource. The unsecure resource may include one of: a file, an application and an uniform resource locator (URL). The control virtual machine may further determine that the request originates from a secure virtual machine executing on the computing device. The control virtual machine may redirect, responsive to the determination, the request to an unsecure virtual machine executing on the computing device, whereupon the unsecure virtual machine may provide access to the requested unsecure resource. | 01-22-2015 |
20150026683 | DATA CONTROL SYSTEM FOR VIRTUAL ENVIRONMENT - Embodiments disclosed herein provide systems, methods, and computer readable media for file system access to a virtual machine environment. In a particular embodiment, a data control system comprising a processing system is provided. The processing system is configured to provide a file system interface to a virtual machine environment and receive a file system request for the first file system represented in a first format. The processing system is further configured to convert the file system request into an application program interface (API) for the virtual machine environment. | 01-22-2015 |
20150033220 | SYSTEM AND METHOD FOR EMULATING SHARED STORAGE - A system and method of emulating a shared storage device includes determining a storage unit identifier (SUID) associated with a first local storage device in a first node of a distributed computing system, updating a first path handler to intercept storage requests including the SUID and being made on the first node and forwarding the storage requests to drivers for an emulated shared storage device, associating a second local storage device in a second node of the distributed computing system with the SUID, updating a second path handler to intercept storage requests including the SUID and being made on the second node and forwarding the storage requests to the drivers for the emulated shared storage device, and intercepting storage requests including the SUID and being made on the second node and forwarding the storage requests to the drivers for the emulated shared storage device. | 01-29-2015 |
20150033221 | SANITIZATION OF VIRTUAL MACHINE IMAGES - Sanitizing a virtual machine image of sensitive data is provided. A label for a sensitivity level is attached to identified sensitive data contained within each software component in a plurality of software components of a software stack in a virtual machine image based on labeling policies. In response to receiving an input to perform a sanitization of the identified sensitive data having attached sensitivity level labels contained within software components of the software stack in the virtual machine image, the sanitization of the identified sensitive data having the attached sensitivity level labels contained within the software components of the software stack in the virtual machine image is performed based on sanitization policies. | 01-29-2015 |
20150033222 | Network Interface Card with Virtual Switch and Traffic Flow Policy Enforcement - A system includes a host computer executing virtual machines under the control of a hypervisor. A network interface card is coupled to the host machine. The network interface card implements a virtual switch with virtual ports. Each (one or more) virtual port is associated with a virtual machine. The network interface card may operate as a co-processor for the host computer by managing selected traffic flow policies, such as QoS and bandwidth provisioning on a per virtual machine basis. | 01-29-2015 |
20150033223 | SANITIZATION OF VIRTUAL MACHINE IMAGES - Sanitizing a virtual machine image of sensitive data is provided. A label for a sensitivity level is attached to identified sensitive data contained within each software component in a plurality of software components of a software stack in a virtual machine image based on labeling policies. In response to receiving an input to perform a sanitization of the identified sensitive data having attached sensitivity level labels contained within software components of the software stack in the virtual machine image, the sanitization of the identified sensitive data having the attached sensitivity level labels contained within the software components of the software stack in the virtual machine image is performed based on sanitization policies. | 01-29-2015 |
20150033224 | METHOD AND SYSTEM FOR PRESENTING AND MANAGING STORAGE SHARES - Methods and systems for managing storage shares in a virtual environment having a plurality of virtual machines are provided. The system includes a storage system for managing storage space for the storage shares and generating a quota report. The quota report shows an assigned quota for each storage share and actual storage used by each storage share. The assigned quota indicates an amount of designated storage space for each storage share. The system also includes a storage provider for obtaining the quota report from the storage system and filtering the quota report based on storage shares that are managed by the storage provider. The storage provider modifies a quota for a storage share based on a client request and notifies the storage system of the modification. | 01-29-2015 |
20150033225 | OPERATING SYSTEM SWITCHING METHOD AND APPARATUS - Apparatuses, methods and storage media associated with switching operating systems are disclosed herewith. In embodiments, an apparatus for computing may include one or more processors; and a virtual machine manager to be operated by the one or more processors to instantiate a first virtual machine with a first operating system in a background, and a second virtual machine with a second operating system in a foreground; wherein the virtual machine manager is further to place the first virtual machine, on instantiation, in background into a standby state. Other embodiments may be disclosed or claimed. | 01-29-2015 |
20150033226 | HOST SYSTEM AND METHOD FOR MANAGING DATA CONSUMPTION RATE IN A VIRTUAL DATA PROCESSING ENVIRONMENT - Embodiments disclosed herein provide systems, methods, and computer readable media for managing data consumption rate in a virtual data processing environment. In a particular embodiment, a method provides, in a cache node of a host system, identifying read completions for one or more virtual machines instantiated in the host system, with the one or more virtual machines processing one or more processing jobs. The method further provides allocating the read completions to individual processing jobs of the one or more processing jobs and accumulating the read completions on a per-job basis, with the cache node determining a data consumption rate for each processing job of the one or more processing jobs. | 01-29-2015 |
20150033227 | AUTOMATICALLY BRIDGING THE SEMANTIC GAP IN MACHINE INTROSPECTION - Disclosed are various embodiments that facilitate automatically bridging the semantic gap in machine introspection. It may be determined that a program executed by a first virtual machine is requested to introspect a second virtual machine. A system call execution context of the program may be determined in response to determining that the program is requested to introspect the second virtual machine. Redirectable data in a memory of the second virtual machine may be identified based at least in part on the system call execution context of the program. The program may be configured to access the redirectable data. In various embodiments, the program may be able to modify the redirectable data, thereby facilitating configuration, reconfiguration, and recovery operations to be performed on the second virtual machine from within the first virtual machine. | 01-29-2015 |
20150033228 | METHOD AND APPARATUS FOR RECOVERING LOCK HOLDER PREEMPTION - According to one embodiment of the present invention, the scheduling method includes an acquisition step of acquiring operation state information on a virtual CPU (vCPU) and lock information on an OS in the operation of the vCPU and of a virtual machine (VM) driving the vCPU and including the OS, and a determination step for determining whether the vCPU is in a lock holder preemption (LHP) state on the basis of the operation state information and the lock information. According to one embodiment of the present invention, the LHP can be easily and precisely known on a system using the VM. Also, even with an increase in the number of cores, scalability can be supported in a system by adjusting the pCPU to which operations of the vCPU are allocated through a scheduling scheme. In addition, a fairness hindering problem between the existing technology and the VM can be solved by adjusting the operations for each vCPU and continuously performing a critical section of a lock holder by using a time quantum allocated to a lock contender vCPU which awaits a lock release. | 01-29-2015 |
20150033229 | LAYERED MACHINE IMAGES - In certain embodiments, a computer-implemented method includes accessing user selection data that includes selections associated with computing system resources, determining two or more machine image layers from available machine image layers to instantiate on a particular computing system resource, and determining that a particular machine image layer is not cached locally on one or more memory devices of the particular computing system resource. The method includes, in response to determining that the particular machine image layer is not cached locally on one or more memory devices, accessing a stored copy of the particular machine image layer residing in memory external to the particular computing system resource and caching the stored copy of the particular machine image layer on the one or more memory devices of the particular computing system resource. The method further includes instantiating the particular machine image layer on the particular computing system resource. | 01-29-2015 |
20150033230 | Virtual Machine Allocation at Physical Resources - Communications between virtual machines are monitored to identify virtual machines that have an affinity with each other, such as where the virtual machines have greater than a threshold of communication between each other. An affinity table tracks virtual machines having an affinity relationship and is referenced upon start-up or migration of a virtual machine so that a starting-up or migrating virtual machine will run on the same processing resource as virtual machines with which it has an affinity relationship. | 01-29-2015 |
20150040121 | Bandwidth Control in Multi-Tenant Virtual Networks - Machines, systems and methods for managing quality of service (QoS) in a multi-tenant virtualized computing environment, the method comprising: collecting transmission rate statistics associated with data communicated in a virtual network, wherein at least one virtual switch monitors communications initiated by at least a virtual machine (VM) executed on a host machine serviced by the virtual switch; determining, by way of the virtual switch, profile parameters associated with a first communication initiated by the VM belonging to at least a first group, wherein a connection request is submitted by the virtual switch to a traffic controller to assist in establishing the first communication; classifying the connection request for establishing the first communication according to the profile parameters associated with the first communication; determining a first aggregated transmission rate associated with the VM that initiated the first communication based on the classifying. | 02-05-2015 |
20150040122 | AUTONOMIC VIRTUAL MACHINE SESSION LINGERING - Virtual machine sessions may be hosted by a virtualization computing platform. A portion of the virtual machine sessions that are inactive may be identified. The virtualization computing platform may continue to host the virtual machine sessions that are inactive. A determination may be made that utilization of one or more resources associated with the virtualization computing platform exceeds a threshold. Responsive to determining that utilization of the one or more resources associated with the virtualization computing platform exceeds the threshold, the virtualization computing platform may cease to host one or more of the portion of virtual machine sessions that are inactive. | 02-05-2015 |
20150040123 | METHOD AND SYSTEM FOR CREATING A VIRTUAL FILE SYSTEM FROM A UNIFIED ARCHIVE - A method for creating a virtual environment (VE) in a non-global zone (NGZ) from a unified archive (UA). An instruction is received to create a VE within a NGZ of a global zone (GZ) using an image file of the VE located in the UA. The contents of the UA are accessible via a virtual file system (VFS) having an index. A directory of the VFS corresponding to guest data of the UA is identified. The guest data is associated with the NGZ and includes the image file. A copy of the index including only portions of the index corresponding to the guest data is created. A guest VFS is created within the NGZ based on the copy. The guest VFS makes only the contents of the UA corresponding to the guest data accessible. The image file is accessed using the guest VFS and used to create the VE. | 02-05-2015 |
20150040124 | PARAVIRTULIZED CAPABILITY FOR DEVICE ASSIGNMENT - A hypervisor of a host detects a request by a guest or a hypervisor administrator to expose a device associated with the host to the guest. The hypervisor locates free space in a configuration space of the device. The hypervisor assigns a configuration space associated with the hypervisor to the located free space. The hypervisor notifies the guest of the configuration space associated with the hypervisor and a range of addresses associated with the free space. The hypervisor exposes the device to the guest. The configuration space associated with the hypervisor may be a message-signaled capability associated with the hypervisor. | 02-05-2015 |
20150040125 | ALLOWING MANAGEMENT OF A VIRTUAL MACHINE BY MULTIPLE CLOUD PROVIDERS - Embodiments of the present invention provide approaches for allowing management of a virtual machine (VM) by multiple cloud providers in a networked computing environment (e.g., a cloud computing environment). Specifically, in a typical embodiment, a system identifies a set of provisioned resources of a VM managed by a first cloud provider, generates a set of artifacts containing information for a second cloud provider to allow co-management of the VM by the first cloud provider and the second cloud provider, and provides access to the set of provisioned resources of the VM to the second cloud provider. As such, a single virtual machine may be managed by multiple (e.g., geographically distinct) cloud providers to cooperatively and selectively execute VM operations because the end product (i.e., VM) from the first and second cloud providers is the same. | 02-05-2015 |
20150040126 | Utilizing Multiple Memory Pools During Mobility Operations - A mechanism is provided in a data processing system for performing a logical partition migration utilizing multiple paths. Responsive to a virtual machine monitor initiating a logical partition migration operation to move a logical partition from a source system to a destination system, the mechanism allocates a plurality of memory pools and a plurality of threads from a mover service partition to the virtual machine monitor. The virtual machine monitor performs the logical partition migration operation utilizing each of the plurality of threads to transfer a corresponding one of the plurality of memory pools from the source system to the destination system to effect the logical partition migration operation. | 02-05-2015 |
20150040127 | VIRTUAL COMPUTING INSTANCE MIGRATION - A customer submits a set of preferences including hardware specifications for instantiating a virtual machine instance. The virtual machine instance may be instantiated in a physical host computer system that does not conform to the set of preferences. The virtual computer system service may be configured to evaluate the specifications of the available physical host computer systems to determine whether any of the available physical host computer systems conform to the set of preferences. Accordingly, the virtual computer system service may further evaluate the available physical host computer systems to determine whether available physical host computer systems comprise available slots for instantiating the existing virtual machine instance. If the one or more available physical host computer systems have available slots, the virtual computer system service may migrate the existing virtual machine instance to an available physical host computer system in order to fulfill the customer request. | 02-05-2015 |
20150040128 | Utilizing Multiple Memory Pools During Mobility Operations - A mechanism is provided in a data processing system for performing a logical partition migration utilizing multiple paths. Responsive to a virtual machine monitor initiating a logical partition migration operation to move a logical partition from a source system to a destination system, the mechanism allocates a plurality of memory pools and a plurality of threads from a mover service partition to the virtual machine monitor. The virtual machine monitor performs the logical partition migration operation utilizing each of the plurality of threads to transfer a corresponding one of the plurality of memory pools from the source system to the destination system to effect the logical partition migration operation. | 02-05-2015 |
20150040129 | SYSTEM AND METHOD FOR VIRTUAL MACHINE PLACEMENT AND MANAGEMENT IN CLUSTER SYSTEM - A system for virtual machine placement and management monitors information regarding states of physical machines and virtual machines operated in a subgroup, and relocates the virtual machines operated in the subgroup according to information regarding states of the physical machines operated in the subgroup and a placement policy of the virtual machines. | 02-05-2015 |
20150040130 | VIRTUAL HIGH PRIVILEGE MODE FOR A SYSTEM MANAGEMENT REQUEST - A computing system and a method of handling a system management request. The computing system includes a virtual high-privilege mode in a trusted domain managed by the virtual machine monitor. The virtual high-privilege mode handles the system management request. | 02-05-2015 |
20150040131 | CENTRAL PROCESSING UNIT RESOURCE ALLOCATION METHOD AND COMPUTING NODE - A method for allocating a central processing unit resource to a virtual machine, including determining, according to a change in the number of virtual machines in an advanced resource pool, the number of allocated physical cores in the advanced resource pool; and adjusting, according to the number of the allocated physical cores in the advanced resource pool, the number of allocated physical cores in a default resource pool, where the advanced resource pool and the default resource pool are resource pools that are obtained by dividing physical cores of a central processing unit according to service levels of the resource pools. | 02-05-2015 |
20150040132 | IDENTIFICATION OF VIRTUAL APPLICATIONS FOR BACKUP IN A CLOUD COMPUTING SYSTEM - Exemplary embodiments provide various techniques for identifying a virtual application for backup in a cloud computing system. A cloud service layer of the cloud computing system is queried for a listing of virtual applications. In response to the querying, an attribute associated with the virtual application is received from the cloud service layer. The attribute associated with the virtual application is then transformed into an attribute associated with a hypervisor-managed virtual machine, and this transformation of the attribute exposes the hypervisor-managed virtual machine associated with the virtual application such that the hypervisor-managed virtual machine is identifiable for backup. As a result, the virtual application can be backed up based on the attribute of the hypervisor-managed virtual machine. | 02-05-2015 |
20150046920 | REQUEST PROCESSING TECHNIQUES - A computer system implements a hypervisor which, in turn, implements one or more computer system instances and a controller. The controller and a computer system instance share a memory. A request is processed using facilities of both the computer system instance and the controller. As part of request processing, information is passed between the computer system instance and the controller via the shared memory. | 02-12-2015 |
20150046921 | APPLICATION BOOT IMAGE - Application boot images are generated for later instantiation of computer system images. A computer system partially executes executable code of an application source. A snapshot of the computer system is taken and the snapshot is used to build a repository of application boot images that can be accessed for computer system instantiation. | 02-12-2015 |
20150046922 | PER REQUEST COMPUTER SYSTEM INSTANCES - As requests are received, virtual computer systems are provisioned to process the requests. The virtual computer systems may be configured without various components typically implemented by virtual computer systems, such as traditional operating systems, network interfaces and the like. Application images for the virtual computer systems are configured so that execution of the applications can begin soon after provisioning, with minimal overhead the provisioning process contributing relatively little to any latency in processing the request. | 02-12-2015 |
20150046923 | DIFFERENTIAL VOLTAGE AND FREQUENCY SCALING (DVFS) SWITCH REDUCTION - Technologies are generally described for systems, devices and methods effective to schedule access to a core. In some examples, a first differential voltage frequency scaling (DVFS) value of a first virtual machine may be received by a virtual machine manager. A second DVFS value of a second virtual machine may be received by the virtual machine manager. A third DVFS value of a third virtual machine may be received by the virtual machine manager. The third DVFS value may be substantially the same as the first DVFS value and different from the second DVFS value. A dispatch cycle may be generated to execute the first, second and third virtual machines on the core. After execution of the first virtual machine, the dispatch cycle may require execution of the third virtual machine before execution of the second virtual machine. | 02-12-2015 |
20150046924 | TRANSPARENT MEMORY-MAPPED EMULATION OF I/O CALLS - A virtual-machine-based system provides a mechanism to implement application file I/O operations of protected data by implementing the I/O operations semantics in a shim layer with memory-mapped regions. The semantics of these I/O operations are emulated in a shim layer with memory-mapped regions by using a mapping between a process' address space and a file or shared memory object. Data that is protected from viewing by a guest OS running in a virtual machine may nonetheless be accessed by the process. | 02-12-2015 |
20150046925 | VIRTUAL MACHINE REDEPLOYMENT - One or more techniques and/or systems are disclosed for redeploying a baseline VM (BVM) to one or more child VMs (CVMs) by merely cloning virtual drives of the BVM, instead of the entirety of the parent BVM. A temporary directory is created in a datastore that has the target CVMs that are targeted for virtual drive replacement (e.g., are to be “re-baselined”). One or more replacement virtual drives (RVDs) are created in the temporary directory, where the RVDs comprise a clone of a virtual drive of the source BVM. The one or more RVDs are moved from the temporary directory to a directory of the target CVMs, replacing existing virtual drives of the target CVMs so that the target CVMs are thus re-baselined to the state of the parent BVM. | 02-12-2015 |
20150046926 | VIRTUAL MACHINE DEPENDENCY - One or more techniques and/or systems are provided for describing virtual machine dependencies. In particular, data objects, such as virtual hard drives, associated with virtual machines may be identified and/or examined to identify data structures, such as configuration files, comprising configuration data. The configuration data may be analyzed to determine dependency relationships between virtual machines to describe virtual machine dependencies. Identifying virtual machine dependencies, among other things, allows virtual machines that are no longer used to be repurposed, deleted, reset, etc. with little to no adverse effect on other virtual machines. | 02-12-2015 |
20150052516 | MANAGEMENT OF PRIORITIZING VIRTUAL MACHINES IN AN OPERATING ENVIRONMENT - Embodiments directed toward a method, system, and computer program product for placement of a plurality of virtual machines on a hardware resource are provided. The method can also include generating a user location vector for each candidate virtual machine from the plurality of candidate virtual machines by aggregating a plurality of user location metrics for each candidate virtual machine. The method can also include ranking, in response to a performance resource demanded by the plurality of candidate virtual machines being at or above a threshold of the performance resource available on the hardware resource, the candidate virtual machines as a function of an aggregate user location vector for each candidate virtual machine. The method can include selecting a subset of the candidate virtual machines for migration based on the ranking. | 02-19-2015 |
20150052517 | METHOD AND SYSTEM FOR MIGRATION OF VIRTUAL MACHINES AND VIRTUAL APPLICATIONS BETWEEN CLOUD-COMPUTING FACILITIES - The current document discloses methods and systems for extending an internal network within a first cloud-computing facility to a second cloud-computing facility and deploying a virtual machine or virtual application previously running on a first cloud-computing facility within the context of the extended internal network in the second cloud-computing facility. The currently disclosed methods and systems which provide internal-network extension and redeployment of virtual machines and virtual applications, referred to as “stretch deploy,” allow a virtual machine or virtual application formerly executing on a first cloud-computing facility to resume execution on a second cloud-computing facility, using the computational and storage facilities of the second cloud-computing facility but depending on network support from the first cloud-computing facility, without changing IP and local network addresses and the network connectivity, based on those addresses, between the virtual machines and virtual applications and other local and remote computational entities with which the virtual machines and virtual applications communicate. | 02-19-2015 |
20150052518 | METHOD AND SYSTEM FOR PRESENTING AND MANAGING STORAGE IN A VIRTUAL MACHINE ENVIRONMENT - Method and system for presenting storage in a virtual machine environment are provided. A storage volume is allocated to an existing profile, when the existing profile meets attributes for a requested storage and a new profile is generated when an existing profile does not meet the attributes and the storage volume is assigned to the new profile. | 02-19-2015 |
20150052519 | HYPERVISOR DRIVEN EMBEDDED ENDPOINT SECURITY MONITORING - Aspects of the present disclosure are directed to methods and systems of hypervisor driven embedded endpoint security monitoring. A computer implemented method may include providing one or more computer processors configured to operate a bare-metal hypervisor; launching a user OS virtual machine operatively connected to the hypervisor; launching a security virtual machine operatively connected to the hypervisor and receiving data from the security virtual machine via the hypervisor; and receiving data representative of security information from the computer processor processed by the security virtual machine. The hypervisor may include using a virtual switch for providing communications between the user OS virtual machine and the security virtual machine. The method may include using the security virtual machine to monitor malware on the user OS virtual machine. | 02-19-2015 |
20150052520 | METHOD AND APPARATUS FOR VIRTUAL MACHINE TRUST ISOLATION IN A CLOUD ENVIRONMENT - Techniques are disclosed for virtual machine trust isolation in an Infrastructure-as-a-Service (IaaS) cloud environment. More specifically, embodiments of the invention monitor levels of suspicious activity on a particular virtual machine using node agents embedded in each physical node. The node agents transmit activity data to a security and relocation engine. If a virtual machine's suspicious activity levels exceed defined suspicious activity thresholds, the security and relocation engine assigns that virtual machine to a different zone. The zones may have reduced connectivity and/or service levels. This enables administrators to more efficiently respond to security threats in the cloud environment. | 02-19-2015 |
20150052521 | METHOD AND SYSTEM FOR MIGRATION OF VIRTUAL MACHINES AND VIRTUAL APPLICATIONS BETWEEN CLOUD-COMPUTING FACILITIES AND SUBSEQUENTLY PERMANENTLY RELOCATING MIGRATED VIRTUAL MACHINES AND VIRTUAL APPLICATIONS - The current document discloses methods and systems for extending an internal network within a first cloud-computing facility to a second cloud-computing facility and deploying a virtual machine or virtual application previously running on a first cloud-computing facility within the context of the extended internal network in the second cloud-computing facility by internal-network extension and redeployment of virtual machines and virtual applications by a stretch-deploy operation, allowing the virtual machine or virtual application to resume execution on the second cloud-computing facility, using the computational and storage facilities of the second cloud-computing facility but depending on network support from the first cloud-computing facility. In addition, the current document discloses a snap operation that permanently relocates a stretch-deployed virtual machine or virtual application to the second cloud-computing facility. | 02-19-2015 |
20150052522 | Generation of DHCP Configuration Files - Some embodiments provide a method for an application operating on a host machine. The method receives a configuration of a Dynamic Host Configuration Protocol (DHCP) service for implementation within a virtualized container on the host machine. The configuration includes several database table entries. The method converts the several database table entries into a configuration file for use by a process that operates in the virtualized container. the method initializes the process in the virtualized container. The process in the virtualized container reads the configuration file in order to perform DHCP services for machines connected to at least one logical forwarding element of a logical network. | 02-19-2015 |
20150052523 | METHOD AND SYSTEM FOR MIGRATION OF VIRTUAL MACHINES AND VIRTUAL APPLICATIONS BETWEEN CLOUD-COMPUTING FACILITIES THROUGH MULTIPLEXED SECURE TUNNELS - The current document discloses methods and systems for extending an internal network within a first cloud-computing facility to a second cloud-computing facility and deploying a virtual machine or virtual application previously running on a first cloud-computing facility within the context of the extended internal network in the second cloud-computing facility. The currently disclosed methods and systems which provide internal-network extension and redeployment of virtual machines and virtual applications, referred to as “stretch deploy,” allow a virtual machine or virtual application formerly executing on a first cloud-computing facility to resume execution on a second cloud-computing facility, using the computational and storage facilities of the second cloud-computing facility but depending on network support from the first cloud-computing facility, without changing IP and local network addresses and the network connectivity, based on those addresses, between the virtual machines and virtual applications and other local and remote computational entities with which the virtual machines and virtual applications communicate. Multiplexing multiple stretch deployed L2 networks over the same secure (SSL-VPN) tunnel, terminating on organization edges. | 02-19-2015 |
20150052524 | METHOD AND SYSTEM FOR REMIGRATION OF VIRTUAL MACHINES AND VIRTUAL APPLICATIONS BETWEEN CLOUD-COMPUTING FACILITIES - The current document discloses methods and systems for extending an internal network within a source cloud-computing facility to a new target cloud-computing facility and redeploying a virtual machine or virtual application previously running on a target cloud-computing facility within the context of an extended internal network in the target cloud-computing facility. The currently disclosed methods and systems, which allow a virtual machine or virtual application formerly executing on a target cloud-computing facility to resume execution on a new target cloud-computing facility, using the computational and storage facilities of the new target cloud-computing facility but depending on network support from the source cloud-computing facility, without changing IP and local network addresses and the network connectivity, based on those addresses, between the virtual machines and virtual applications and other local and remote computational entities with which the virtual machines and virtual applications communicate. | 02-19-2015 |
20150052525 | VIRTUAL PRIVATE NETWORKS DISTRIBUTED ACROSS MULTIPLE CLOUD-COMPUTING FACILITIES - The current document discloses methods and systems for extending an internal network within a first cloud-computing facility to a second cloud-computing facility and using the extended internal network as a basis for creating virtual private clouds distributed across multiple cloud-computing facilities. In one implementation, a pool of IP addresses is allocated and distributed to end appliances of the first and second cloud-computing facilities. In this implementation, the internal network is extended via a secure tunnel between end appliances in the first and second cloud-computing facilities and the end appliances of the extended internal network are configured to route messages transmitted by a first member of the virtual private cloud executing on a first cloud-computing facility to a second member of the virtual private cloud executing on a second cloud-computing facility through the secure tunnel. | 02-19-2015 |
20150052526 | VIRTUAL-MACHINE MANAGING DEVICE AND VIRTUAL-MACHINE MANAGING METHOD - Provided is a virtual-machine managing device including: a model acquiring unit that acquires, for each server device, a performance model indicative of plural correspondent relationships between a workload amount and performance information on a workload; a performance-information acquiring unit that acquires the performance information on a virtual machine to be moved running on a current server device; a conversion unit that converts the performance information on the virtual machine to be moved into a combination of the workload amount and the workload characteristic value concerning the virtual machine to be moved, by using the performance model of the current server device; and an estimating unit that estimates performance information on the virtual machine to be moved on a destination server device serving as a candidate for a destination of movement of the virtual machine to be moved, by applying the combination converted by the conversion unit to the performance model of the destination server device. | 02-19-2015 |
20150052527 | PROVIDING A CUSTOM VIRTUAL APPLIANCE - A method, system and/or computer program product provides a custom virtual appliance. A description file of a custom virtual appliance is generated based on a user requirement. A configuration metadata of the custom virtual appliance is acquired from the description file. A list of candidate virtual appliances is created based on the configuration metadata by using conversion information, wherein the candidate virtual appliances in the list are capable of converting to a configuration that corresponds to the configuration metadata. | 02-19-2015 |
20150052528 | MANAGEMENT OF PRIORITIZING VIRTUAL MACHINES IN AN OPERATING ENVIRONMENT - Embodiments directed toward a method, system, and computer program product for placement of a plurality of virtual machines on a hardware resource are provided. The method can also include generating a user location vector for each candidate virtual machine from the plurality of candidate virtual machines by aggregating a plurality of user location metrics for each candidate virtual machine. The method can also include ranking, in response to a performance resource demanded by the plurality of candidate virtual machines being at or above a threshold of the performance resource available on the hardware resource, the candidate virtual machines as a function of an aggregate user location vector for each candidate virtual machine. The method can include selecting a subset of the candidate virtual machines for migration based on the ranking. | 02-19-2015 |
20150058837 | Method and System for Fast Provisioning of Virtual Desktop - Techniques are disclosed for provisioning a virtual desktop. A VM hosting the desktop may be joined to a domain using offline domain join and customized by modifying contents of a virtual disk of the VM, as per the customization requirements, without powering on the VM. While the VM is powered off, a composer application customizes the VM by applying system and user profile configuration changes and network configuration changes, as well as optionally creating a user data disk and pushing to the VM a script for formatting said disk during the VM's first power-on. In addition, while the VM is powered off, the composer invokes a domain joining tool to join the VM to a domain controller without having to reboot the VM. A snapshot is then taken of the VM, after which the VM is then powered on and the script for formatting the user data disk executes. | 02-26-2015 |
20150058838 | SWITCHING BETWEEN DEVICES HAVING A COMMON HOST BACKEND IN A VIRTUALIZED ENVIRONMENT - Systems and methods are disclosed for switching between an assigned device and an emulated device. An example system includes a device-specific driver and a pass-through device driver included in a hypervisor. A common host backend is bound to at most one of the device-specific driver and pass-through device driver at a time. The assigned and emulated devices access the common host backend. The system also includes a switch module that sends one or more communications to a guest to cause the guest to switch from using at most one of the assigned device or the emulated device to the other of the at most one of the assigned device or the emulated device. | 02-26-2015 |
20150058839 | Method and System for Network-Less Guest OS and Software Provisioning - Techniques are disclosed for network-less provisioning of guest operating system and software. In one embodiment, a monitor process mounts a floppy image or ISO storing a deployment tool on a virtual CD/DVD device or virtual floppy device, respectively, of a VM and powers on the VM. The deployment tool is invoked upon powering on of the VM and requests that the monitor mount an OS ISO image on the virtual CD/DVD device. In response, the monitor mounts the OS ISO and transmits an acknowledgment to the deployment tool, which initiates OS installation from the OS ISO image. After the OS installation, the deployment tool transmits a further request that monitor clean-up resources consumed during the OS installation and reboots the VM, after which a similar process may be undertaken to install software for the VM. | 02-26-2015 |
20150058840 | Sharing Resources Allocated to an Entitled Virtual Machine - A mechanism is provided for sharing resources allocated to an entitled virtual machine (VM). A blocked domain is created around the entitled VM and one or more processors allocated to the entitled VM. A first dispatching algorithm is implemented that prevents the dispatching of processes from other operating systems within other VMs to the one or more processors allocated to the entitled VM. Responsive to utilization of the one or more processors allocated to the entitled VM falling below a predetermined threshold, a second dispatching algorithm is implemented that allows dispatching of processes from the other operating systems within the other VMs to the one or more processors allocated to the entitled VM. | 02-26-2015 |
20150058841 | PROVIDING ENTROPY TO A GUEST OPERATING SYSTEM - The subject matter of this specification can be implemented in, among other things, a method including receiving, by a hypervisor of a host computer system, an entropy request from a guest operating system running on the host computer system. The method further includes identifying, by the hypervisor, an entropy source. The method further includes determining, by the hypervisor, an expected entropy usage at the host computer system. The method further includes providing, in response to the request, entropy from the entropy source to the guest operating system in view of the expected entropy usage. | 02-26-2015 |
20150058842 | Sharing Resources Allocated to an Entitled Virtual Machine - A mechanism is provided for sharing resources allocated to an entitled virtual machine (VM). A blocked domain is created around the entitled VM and one or more processors allocated to the entitled VM. A first dispatching algorithm is implemented that prevents the dispatching of processes from other operating systems within other VMs to the one or more processors allocated to the entitled VM. Responsive to utilization of the one or more processors allocated to the entitled VM falling below a predetermined threshold, a second dispatching algorithm is implemented that allows dispatching of processes from the other operating systems within the other VMs to the one or more processors allocated to the entitled VM. | 02-26-2015 |
20150058843 | VIRTUAL HADOOP MANAGER - A distributed computing application is described that provides a highly elastic and multi-tenant platform for Hadoop applications and other workloads running in a virtualized environment. Multiple instances of a distributed computing framework, such as Hadoop, may be executed concurrently. A centralized manager detects when contention for computing resources, such as memory and CPU, causes tasks to run slower on VMs executing on a given host, and scales up or scales down a cluster based on the detected resource contention. | 02-26-2015 |
20150058844 | VIRTUAL COMPUTING RESOURCE ORCHESTRATION - According to an example, a method for virtual computing resource orchestration includes receiving environmental data related to an operational characteristic of a compute resource for hosting a virtual machine (VM), receiving VM data related to an operational characteristic of the VM, and determining if the environmental data or the VM data violate predetermined threshold values respectively related to the environmental data and the VM data. The method further includes generating an event based on violation of one of the threshold values by the environmental data or the VM data, evaluating, by a processor, a rule to determine an action based on the violation of one of the threshold values, and executing the action to modify the operational characteristic of the compute resource or the operational characteristic of the VM. | 02-26-2015 |
20150058845 | METHOD AND APPARATUS FOR MANAGING POWER IN VIRTUALIZATION SYSTEM USING DIFFERENT OPERATING SYSTEMS - Provided are a power management method and apparatus that minimize power consumption in a virtualization system using different operating systems. The power management method operates on a virtualization layer, and may include: monitoring usage states of real processors actually performing tasks and usage states of virtual processors mapped with the real processors and executing operating systems or applications; predicting workload of a virtual processor; computing the utilization level of a real processor enough for supporting the predicted workload; and reconfiguring the mapping between real processors and virtual processors according to the computation result. Hence, different power management schemes of multiple operating systems or applications are managed at the virtualization layer in an integrated manner, preventing a conflict caused by application of different power management schemes. Power consumption in the overall system can be minimized through prediction of resource usage. | 02-26-2015 |
20150058846 | VIRTUAL MACHINE MONITOR CONFIGURED TO SUPPORT LATENCY SENSITIVE VIRTUAL MACHINES - A host computer has a virtualization software that supports execution of a plurality of virtual machines, where the virtualization software includes a virtual machine monitor for each of the virtual machines, and where each virtual machine monitor emulates a virtual central processing unit (CPU) for a corresponding virtual machine. A virtual machine monitor halts execution of a virtual CPU of a virtual machine by receiving a first halt instruction from a corresponding virtual machine and determining whether the virtual machine is latency sensitive. If the virtual machine is latency sensitive, then a second halt instruction is issued from the virtual machine monitor to halt a physical CPU on which the virtual CPU executes. If the virtual machine is not latency sensitive, then a system call to a kernel executing on the host computer is executed to indicate to the kernel that the virtual CPU is in an idle state. | 02-26-2015 |
20150058847 | PASS-THROUGH NETWORK INTERFACE CONTROLLER CONFIGURED TO SUPPORT LATENCY SENSITIVE VIRTUAL MACHINES - A host computer has a plurality of virtual machines executing therein under the control of a hypervisor, where the host also includes a physical network interface controller (NIC). An interrupt controller detects an interrupt generated by the physical NIC, where the interrupt corresponds to a virtual machine. If the virtual machine has exclusive affinity to one or more physical central processing units (CPUs), then the interrupt is forwarded to the virtual machine. If the virtual machine does not have exclusive affinity, then a process in the hypervisor is invoked to forward the interrupt to the virtual machine. | 02-26-2015 |
20150058848 | ENCAPSULATION OF AN APPLICATION FOR VIRTUALIZATION - Embodiments relate to a method for encapsulating a hardware application for virtualization. The method surrounds the hardware application with a service layer controller and ring interfaces. The ring interfaces dictates a virtual function that the hardware application is running. The method controls the hardware application so that the hardware application is reset in between each of a plurality of running jobs. The method tags, by the ring interfaces, each of a plurality of requests with an identifier signifying a virtual function that the respective request belongs to. The method ensures that there are not any outstanding requests following a quiesce of the hardware application. | 02-26-2015 |
20150058849 | ENCAPSULATING A VIRTUAL SERVER IN A HYPERVISOR SUBPARTITION - Embodiments relate to a method for encapsulating a virtual server in a hypervisor subpartition of a single logical partition to provide additional hardware support and advanced virtualization functions. An aspect includes running a first hypervisor and one or more additional hypervisors over a single logical partition. A request to manage a virtual server is received at the first hypervisor. The request is then analyzed to determine what resources are necessary to manage the virtual server. Based on the analyzed request, one of the first hypervisor or the one or more additional hypervisors is selected to complete the request. | 02-26-2015 |
20150058850 | PROVISIONING VIRTUAL ENVIRONMENTS BASED ON POLICIES FOR TROUBLESHOOTING PURPOSES - A method for selecting a virtual machine (VM) for problem determination utilizes a policy-based process for receiving an authorized program analysis report (APAR) containing problem type, a client information handling system (IHS) environment, a configuration, and a program version. The method determines automatically that the problem according to a provisioning policy is a candidate for provisioning a VM for analyzing the problem and searches a provisioning database for an existing VM for a system environment and configuration according to a closeness criteria. The method applies required updates to the provisioned VM, and utilizes the provisioned VM with the required updates for problem analysis. The method also may automatically create a new baseline image in the database according to expected value policy criteria that exceeds predetermined criteria. | 02-26-2015 |
20150058851 | OPERATING SYSTEM VIRTUALIZATION FOR HOST CHANNEL ADAPTERS - A host information handling system (IHS) provides virtualization of host channel adapters (HCAs). A hypervisor partitions a system memory of the host IHS into multiple logical partitions (LPARs). A particular LPAR includes a single instance of an operating system. The single instance of the operating system includes a common layer that provides virtualization of physical HCAs and sharing of the physical HCAs by multiple virtual HCAs. | 02-26-2015 |
20150058852 | INTERPRETING I/O OPERATION REQUESTS FROM PAGEABLE GUESTS WITHOUT HOST INTERVENTION - Input/output (I/O) operation requests from pageable storage mode guests are interpreted without host intervention. In a pageable mode virtual environment, requests issued by pageable storage mode guests are processed by one or more processors of the environment absent intervention from one or more hosts of the environment. Processing of the requests includes manipulating, by at least one processor on behalf of the guests, buffer state information stored in host storage. The manipulating is performed via instructions initiated by the guests and processed by one or more of the processors. | 02-26-2015 |
20150058853 | CENTRAL SWITCH FOR COUPLING VIRTUAL DESKTOPS TO PERIPHERAL DEVICES - In one embodiment, a method includes coupling a plurality of virtual machines to a plurality of peripheral devices via a central switch where the plurality of virtual machines are running a plurality of virtual desktops. A data packet is received from a virtual machine where the data packet is received in a first format compatible with a virtual desktop being run in the virtual machine. The central switch determines a peripheral device that corresponds to the virtual desktop. Then, the central switch generates a peripheral signal from the data packet that is configured to be sent to the peripheral device. The peripheral signal is in a second format compatible with the peripheral device and different from the first format. The peripheral signal is sent to the peripheral device where the peripheral device can process the peripheral signal for the virtual desktop being run in the virtual machine. | 02-26-2015 |
20150067672 | SIMULTANEOUS UTILIZATION OF A FIRST GRAPHICS PROCESSING UNIT (GPU) AND A SECOND GPU OF A COMPUTING PLATFORM THROUGH A VIRTUAL MACHINE (VM) IN A SHARED MODE AND A DEDICATED MODE RESPECTIVELY - A method includes executing a driver component on a hypervisor of a computing platform including a first graphics processing unit (GPU) and a second GPU, and executing an instance of the driver component in the VM. The method also includes providing support for hardware virtualization of the second GPU in the hypervisor and the instance of the driver component executing in the VM, defining a data path between the VM and the first GPU in a configuration register, and defining a data path between the VM and the second GPU in another configuration register. Further, the method includes providing a capability to the VM to utilize the first GPU in a shared mode with one or more other VM(s) and to simultaneously dedicatedly utilize the second GPU based on reading exposed emulated versions of the configuration register and the another configuration register and the support for the hardware virtualization. | 03-05-2015 |
20150067673 | VIRTUAL TIME CONTROL APPARATUS, METHOD, AND NON-TRANSITORY COMPUTER READABLE STORAGE MEDIUM THEREOF - A virtual time control apparatus, method, and non-transitory computer readable storage medium thereof are provided. The virtual time control apparatus includes a system timer, a real time clock, and a processing unit, wherein the processing unit is electrically connected to the system timer and the real time clock. The system timer has an original timer period, while the real time clock has an original tick period. The processing unit executes a hypervisor. The hypervisor generates a virtual timer period according to an adjustment ratio and the original timer period. The hypervisor generates a virtual tick period according to the adjustment ratio and the original tick period. | 03-05-2015 |
20150067674 | Layer 3 Service Implementation in Cloud Servers and Method - A method, computer environment and cloud server configured to facilitate communication among plural networks established in the cloud server. The cloud server ( | 03-05-2015 |
20150067675 | METHOD AND APPARATUS TO REMOTELY PARK A VIRTUAL MACHINE FROM A SOFTWARE DEFINED CLOUD - Method and Apparatus for rapid scalable unified infrastructure system management platform are disclosed by discovery of compute nodes, network components across data centers, both public and private for a user; assessment of type, capability, VLAN, security, virtualization configuration of the discovered unified infrastructure nodes and components; configuration of nodes and components covering add, delete, modify, scale; and rapid roll out of nodes and components across data centers both public and private. | 03-05-2015 |
20150067676 | METHOD AND APPARATUS FOR PERFORMING RESOURCE MANAGEMENT FOR SOFTWARE DEFINED CLOUDS - Method and Apparatus for rapid scalable unified infrastructure system management platform are disclosed by discovery of compute nodes, network components across data centers, both public and private for a user; assessment of type, capability, VLAN, security, virtualization configuration of the discovered unified infrastructure nodes and components; configuration of nodes and components covering add, delete, modify, scale; and rapid roll out of nodes and components across data centers both public and private. | 03-05-2015 |
20150067677 | METHOD AND APPARATUS FOR DEFINING VIRTUAL MACHINE PLACEMENT LOGIC THAT IS CONFIGURABLE AND RESTRICTS VIRTUAL MACHINE PROVISIONING WITHIN A SOFTWARE DEFINED CLOUD - Method and Apparatus for rapid scalable unified infrastructure system management platform are disclosed by discovery of compute nodes, network components across data centers, both public and private for a user; assessment of type, capability, VLAN, security, virtualization configuration of the discovered unified infrastructure nodes and components; configuration of nodes and components covering add, delete, modify, scale; and rapid roll out of nodes and components across data centers both public and private. | 03-05-2015 |
20150067678 | METHOD AND APPARATUS FOR ISOLATING VIRTUAL MACHINE INSTANCES IN THE REAL TIME EVENT STREAM FROM A TENANT DATA CENTER - Method and Apparatus for rapid scalable unified infrastructure system management platform are disclosed by discovery of compute nodes, network components across data centers, both public and private for a user; assessment of type, capability, VLAN, security, virtualization configuration of the discovered unified infrastructure nodes and components; configuration of nodes and components covering add, delete, modify, scale; and rapid roll out of nodes and components across data centers both public and private.. | 03-05-2015 |
20150067679 | METHOD AND APPARATUS FOR SOFTWARE DEFINED CLOUD WORKFLOW RECOVERY - Method and Apparatus for rapid scalable unified infrastructure system management platform are disclosed by discovery of compute nodes, network components across data centers, both public and private for a user; assessment of type, capability, VLAN, security, virtualization configuration of the discovered unified infrastructure nodes and components; configuration of nodes and components covering add, delete, modify, scale; and rapid roll out of nodes and components across data centers both public and private. | 03-05-2015 |
20150067680 | CONFIGURATION MANAGER AND METHOD FOR CONFIGURING A HOST SYSTEM FOR PROCESSING A PROCESSING JOB IN A VIRTUAL DATA-PROCESSING ENVIRONMENT - Described herein are systems, methods, and software for translating data requests in a data processing cluster. In one example, a method of operating a cache service to interface between a virtual machine cluster and job data associated with a job executed by the virtual machine cluster includes identifying a request initiated by the virtual machine cluster to access at least a portion of the job data in accordance with a first distributed object access protocol. The method further includes in response to the request, accessing at least the portion of the job data in accordance with a second distributed object access protocol, and presenting at least the portion of the job data to the virtual machine cluster in accordance with the first distributed object access protocol. | 03-05-2015 |
20150067681 | METHOD AND APPARATUS FOR INTELLIGENT VIRTUAL MACHINE SLEEP MODES - Method and Apparatus for rapid scalable unified infrastructure system management platform are disclosed by discovery of compute nodes, network components across data centers, both public and private for a user; assessment of type, capability, VLAN, security, virtualization configuration of the discovered unified infrastructure nodes and components; configuration of nodes and components covering add, delete, modify, scale; and rapid roll out of nodes and components across data centers both public and private. | 03-05-2015 |
20150067682 | ASSIGNMENT OF RESOURCES IN VIRTUAL MACHINE POOLS - Systems and methods are provided for assigning and associating resources in a cloud computing environment. Virtual machines in the cloud computing environment can be assigned or associated with pools corresponding to users as dedicated, standby, or preemptible machines. The various states provide users with the ability to reserve a desired level of resources while also allowing the operator of the cloud computing environment to increase resource utilization. | 03-05-2015 |
20150067683 | VIRTUAL ENVIRONMENT MANAGER - A virtual environment manager (“VEM”) simplifies the usability of virtual machines and provides users with an enhanced design for creating and/or for managing virtual machines (“VMs”). For example, a user can select description information and management information to be included in descriptors and according to which a VEM will create and manage various VM environments for various host environments. The VEM automatically creates the VM environments and host environments by sending descriptor description information and data files associated with the description information to virtual machine monitors (VMMs), which create the VM environments according to the description information. A VEM at each host may manage VM environments executed by the VMM, according to the descriptor management information. Thus, a set of descriptors to create and manage a set of VMs for a home computer may be easily modified by a user to create and manage a set of VMs for a work or laptop computer. | 03-05-2015 |
20150067684 | VIRTUAL ENVIRONMENT MANAGER - A virtual environment manager (“VEM”) simplifies the usability of virtual machines and provides users with an enhanced design for creating and/or for managing virtual machines (“VMs”). For example, a user can select description information and management information to be included in descriptors and according to which a VEM will create and manage various VM environments for various host environments. The VEM automatically creates the VM environments and host environments by sending descriptor description information and data files associated with the description information to virtual machine monitors (VMMs), which create the VM environments according to the description information. A VEM at each host may manage VM environments executed by the VMM, according to the descriptor management information. Thus, a set of descriptors to create and manage a set of VMs for a home computer may be easily modified by a user to create and manage a set of VMs for a work or laptop computer. | 03-05-2015 |
20150074661 | SYSTEM AND METHOD FOR MANAGING CONFIGURATION OF VIRTUAL SWITCHES IN A VIRTUAL MACHINE NETWORK - Techniques for managing configuration of virtual switches in a virtual machine network are disclosed. In an embodiment, a virtual machine network that includes virtual switches is configured to revert back to a saved network configuration if a configuration change causes the connection between the VM management system and a managed node to be lost. For example, before any configuration changes are made, the active configuration is saved. If the new configuration supports a working connection between the managed node and the VM management system, then the saved configuration is no longer needed and can be flushed from memory. If, however, the new configuration causes the managed node to be disconnected from the VM management system, then the system reverts back to the saved configuration that was previously known to work. The saved configuration is used to reestablish the connection so that the network continues to function. | 03-12-2015 |
20150074662 | TECHNIQUES FOR IMPROVING COMPUTATIONAL THROUGHPUT BY USING VIRTUAL MACHINES - A computer implemented method receives a request to run a group of instruction sets. Each instruction set is associated with a sequence of common instructions. The method executes the sequence of common instructions in a first virtual machine (VM) to generate a result which is stored in a first memory associated with the first VM. The method then clones a second VM that shares the first memory with the first VM. The method continues by executing a first instruction set in the second VM. Since the second VM shares memory with the first VM, the second VM can use the result stored in the first memory and the sequence of common instructions does not need to be executed on the second VM. In one example, the result is a run-time model of a circuit and the second VM runs the first instruction set on the run-time model. | 03-12-2015 |
20150074663 | VIRTUAL ENVIRONMENT MANAGER - A virtual environment manager (“VEM”) simplifies the usability of virtual machines and provides users with an enhanced design for creating and/or for managing virtual machines (“VMs”). For example, a user can select description information and management information to be included in descriptors and according to which a VEM will create and manage various VM environments for various host environments. The VEM automatically creates the VM environments and host environments by sending descriptor description information and data files associated with the description information to virtual machine monitors (VMMs), which create the VM environments according to the description information. A VEM at each host may manage VM environments executed by the VMM, according to the descriptor management information. Thus, a set of descriptors to create and manage a set of VMs for a home computer may be easily modified by a user to create and manage a set of VMs for a work or laptop computer. | 03-12-2015 |
20150074664 | METHOD AND SYSTEM FOR APPLICATION PROFILING FOR PURPOSES OF DEFINING RESOURCE REQUIREMENTS - Disclosed are a method of and system for profiling a computer program. The method comprises the steps of using a utility application to execute the computer program; and on the basis of said execution of the computer program, identifying specific performance requirements of the computer program. A profile of the computer program is determined from said identified performance requirements; and based on said determined profile, resources for the computer program are selected from a grid of computer services. | 03-12-2015 |
20150074665 | INFORMATION PROCESSING APPARATUS, CONTROL METHOD, AND COMPUTER-READABLE RECORDING MEDIUM HAVING STORED THEREIN CONTROL PROGRAM - When an access from a virtual machine to a VGA is detected, a table managing identification information of a bridge on each path from a CPU to each VGA and passage setting information indicating whether or not to permit the passage of each path is referred to, and table information and a state of each bridge are set such that the passage of the path from the CPU to an SVGA to be accessed by the corresponding virtual machine is permitted, and the access is executed. Therefore, collision of I/O addresses can be avoided while maintaining the state of connecting a plurality of VGAs with fixed I/O addresses to a plurality of virtual machines. | 03-12-2015 |
20150082300 | METHOD AND SYSTEM FOR ENABLING AN APPLICATION IN A VIRTUALIZED ENVIRONMENT TO COMMUNICATE WITH MULTIPLE TYPES OF VIRTUAL SERVERS - A method and system are introduced to enable an application in a virtualized environment to communicate with multiple types of virtual servers (e.g., VMware ESX server, Microsoft Virtual Server, etc.), yet without making any source code change to the application. An interface is provided so that an application (e.g., a storage management application) running in a virtual machine is able to communicate with the underlying virtual server to receive information regarding some physical hardware that are not virtualized by the virtual server. For example, such physical hardware may be an iSCSI Host Bus Adapter (iSCSI HBA) or a Fiber Channel Protocol Host Bus Adapter (Fcp HBA). After receiving such information, the application can access the physical hardware to provide services to other applications, such as storage management services. | 03-19-2015 |
20150082301 | Multi-Tenant Network Stack - Multi-tenant network stack techniques are described. In an implementation, a host instantiates an instance of virtual machine that is configured to serve networks service to multiple tenants and corresponding virtual networks. To do so, a framing layer of the virtual machine may be configured to obtain configuration data indicative of topology for a multi-tenant virtual networking environment from a virtual switch of a host device. The framing layer uses the configuration data to construct routing compartments and interfaces as abstractions of each virtual network in accordance with the topology. The routing compartments are isolated from each other and provide a mechanism for applications to process network input/output (I/O) in the context of a specific tenant or virtual network. The single virtual machine is able to provide services and applications to serve multiple tenants that are independent of the underlying virtualization technology. | 03-19-2015 |
20150082302 | HIGH AVAILABILITY USING DYNAMIC QUORUM-BASED ARBITRATION - Exemplary methods, apparatuses, and systems include a first virtual infrastructure manager (VIM) determining that the first VIM is to be an active manager of a plurality of virtual machines running on a plurality of host devices and that a second VIM is to be a standby manager of the plurality of virtual machines. The first VIM selects a first host device from the plurality of host devices to serve as a passive witness node in arbitrating active and standby roles between the first VIM and second VIM. The first host device runs one or more virtual machines and is managed by the active manager. The first VIM selects a first host device by confirming that the first host device is available to each of the first VIM and the second VIM. The first VIM writes a file on the first host device indicating that the first VIM is the active manager. | 03-19-2015 |
20150082303 | DETERMINING OPTIMAL METHODS FOR CREATING VIRTUAL MACHINES - A computer receives at least one requirement for a new VM. The computer identifies an existing VM to be modified during the generation of the new VM. The computer determines at least one step necessary to create the new VM configuration from the existing VM. The computer presents at least one pathway to the new VM from the existing VM. The computer receives a selection of a presented pathway to create the new VM. | 03-19-2015 |
20150082304 | VIRTUAL MACHINE MANAGER FACILITATED SELECTIVE CODE INTEGRITY ENFORCEMENT - A virtual machine manager facilitates selective code integrity enforcement. A virtual machine manager (or other higher privileged entity) can verify the integrity of code in memory pages, and a virtual processor running in kernel mode executes the code on a memory page only if the virtual machine manager (or other higher privileged entity) has verified the code integrity of that code. However, the virtual machine manager need not verify the integrity of code in memory pages when the virtual processor is running in user mode. Rather, an operating system running on the virtual processor can apply any of a variety of policies (e.g., optionally perform any of a variety of different checks or verifications of the code) to determine whether the code can be executed in user mode. | 03-19-2015 |
20150082305 | VIRTUAL SECURE MODE FOR VIRTUAL MACHINES - A virtual machine manager (e.g., hypervisor) implements a virtual secure mode that makes multiple different virtual trust levels available to virtual processors of a virtual machine. Different memory access protections (such as the ability to read, write, and/or execute memory) can be associated with different portions of memory (e.g., memory pages) for each virtual trust level. The virtual trust levels are organized as a hierarchy with a higher level virtual trust level being more privileged than a lower virtual trust level, and programs running in the higher virtual trust level being able to change memory access protections of a lower virtual trust level. The number of virtual trust levels can vary, and can vary for different virtual machines as well as for different virtual processors in the same virtual machine. | 03-19-2015 |
20150082306 | CYBER-PHYSICAL SYSTEM AND METHOD OF MONITORING VIRTUAL MACHINE THEREOF - A cyber-physical system and a method of monitoring a virtual machine thereof are provided. The cyber-physical system includes a plurality of target controllers that includes middleware operating based on different operating systems and that control different targets, and a system monitor that includes integrated middleware of analyzing and synthesizing information collected from the middleware. First virtual machines corresponding to virtualization of the target controllers and a second virtual machine corresponding to virtualization of the system monitor are independently formed through a virtual machine monitor. | 03-19-2015 |
20150082307 | DETERMINING OPTIMAL METHODS FOR CREATING VIRTUAL MACHINES - A computer receives at least one requirement for a new VM. The computer identifies an existing VM to be modified during the generation of the new VM. The computer determines at least one step necessary to create the new VM configuration from the existing VM. The computer presents at least one pathway to the new VM from the existing VM. The computer receives a selection of a presented pathway to create the new VM. | 03-19-2015 |
20150082308 | METHOD AND APPARATUS FOR NETWORK VIRTUALIZATION - A method for implementing an entity of a network by virtualizing said network entity and implementing it on one or more servers each acting as an execution unit for executing thereon one or more applications running and/or one or more virtual machines running on said execution unit, each of said application programs or virtual machines running on a server and implementing at least a part of the functionality of said network entity being called a virtual network function VNF module, wherein a plurality of said VNF modules together implement said network entity to thereby form a virtual network function VNF, said method comprising the steps of: obtaining m key performance indicators (KPI) specifying the required overall performance of the VNF, obtaining n performance characteristics for available types of execution units, determining one or more possible deployment plans based on the obtained m KPI and n performance characteristics, each deployment plan specifying the number and types of execution units, such that the joint performance of VNF modules running on these execution units achieves the required overall performance of the VNF. | 03-19-2015 |
20150082309 | SYSTEM AND METHOD FOR PROVIDING REDUNDANCY FOR MANAGEMENT CONTROLLER - Systems and methods for reducing problems and disadvantages associated with traditional approaches to providing redundancy for a management controller are provided. A method may include executing, by a hypervisor executing on a management controller, a first guest OS and second guest OS. The method may additionally include executing, by the first guest OS, one or more first management applications for managing one or more information handling resources communicatively coupled to the management controller. The second guest OS may: (i) execute one or more second management applications for managing the one or more information handling resources communicatively coupled to the management controller; (ii) execute one or more monitoring applications for monitoring redundancy status of the first guest OS and the second guest OS; (iii) sleeping the one or more second management applications; and (iv) monitoring, by the one or more monitoring applications, the operability of the first guest OS. | 03-19-2015 |
20150082310 | PROVIDING CONFIGURABLE BOOTSTRAPPING OF SOFTWARE EXECUTION - Techniques are described for facilitating execution of software programs in a configurable manner, including to configure bootstrapping operations that are performed at startup of the software programs. At least some of the software programs may be software images that each include, for example, a defined file system, an operating system, and one or more application programs. In addition, configuration of the software programs' startup may include using distinct bootstrap packages that each include their own distinct file system, such that loading of a bootstrap package within a software image includes adding the included file system of the bootstrap package to a new location within the defined file system of the software image (e.g., by mounting the included file system of the bootstrap package within the defined file system of the software image, and optionally removing the included file system of the bootstrap package after bootstrapping operations are completed). | 03-19-2015 |
20150082311 | METHOD AND SYSTEM FOR LOGGING INTO A VIRTUAL ENVIRONMENT EXECUTING ON A HOST - A method for logging into a guest virtual environment (VE) from a host VE. The method includes receiving a request to login into the guest VE from the host VE. In response to the request, creating a virtual terminal driver (VTD). The VTD comprises a host extender located in the host VE and a guest extender located in a sub-host VE. The host VE and sub-host VE are configured to communicate using a master terminal and a slave terminal. Providing the guest extender to the third VE as a virtual serial terminal (VST). Logging into the guest VE using the master terminal, the slave terminal, a virtual environment manager (VEM), and the VTD, where the VEM is managing the guest VE. | 03-19-2015 |
20150089493 | TEMPLATE PROVISIONING IN VIRTUALIZED ENVIRONMENTS - In a method for provisioning a virtual machine, a processor rates a plurality of software images that include a first software image and a second software image. A processor provisions the virtual machine with the first software image in a first state and the second software image in a second state, wherein the second software image is rated higher than the first software image. | 03-26-2015 |
20150089494 | VIRTUAL MACHINE TEMPLATE OPTIMIZATION - In an approach for optimizing a virtual machine (VM) operating system (OS) template, one or more processors obtain a list of packages in an existing VM template. The processors obtain a list of packages required to be included in the existing VM template. The processors determine differences between the list of packages in the existing VM template and the list of packages required to be included in the existing VM template. The processors update the existing VM template based on the determined differences between the list of packages in the existing VM template and the list of packages required to be included in the existing VM template. | 03-26-2015 |
20150089495 | DATA PROCESSING SYSTEMS - A data processing system | 03-26-2015 |
20150089496 | SELECTIVE ALLOCATION OF PHYSICAL COMPUTING RESOURCES AMONGST VIRTUAL MACHINES - Techniques for resource allocation are described. Some embodiments provide a computing system and method for resource allocation in a virtualized computing environment comprising at least one physical computing system hosting multiple virtual machines, that performs at least some of the described techniques. In one embodiment, a user connection server is configured to receive a request for allocation of a virtual machine, for a user. The user connection server determines an attribute value of the user. Based on the attribute value of the user, allocation of physical computing resources for the virtual machine is determined. A management server is configured to boot the virtual machine for access by the user, the virtual machine booted with the determined allocation of physical computing resources for the virtual machine. | 03-26-2015 |
20150089497 | SEPARATE, DISPOSABLE EXECUTION ENVIRONMENT FOR ACCESSING UNVERIFIED CONTENT - Methods and systems are disclosed for opening unverified content in a separate, disposable virtualized environment using a temporary virtual machine (VM). In one example, the disclosed method includes intercepting a request to open/access unverified content from a third-party remote server, and comparing the particular information/attributes of the unverified content against predetermined criteria. Then, the user device may connect using a remote presentation protocol to the temporary VM in the separate, disposable environment such that the output of the unverified content may be transported to and displayed in the separate, disposable environment. The connection with the temporary VM may be terminated and the separate, disposable environment may be wiped clean to reduce the risk of malicious code in the unverified content. | 03-26-2015 |
20150089498 | Guest-Based Quality of Service for iSCSI - A system and method for providing access to a Logical Unit mapped to an iSCSI target are described herein. In accordance with this disclosure, an initiator IQN name may be split into a physical IQN name (PIN) and a virtual IQN name (VIN). The VIN may be assigned to a virtual adapter that is created in a guest partition. The PIN may be assigned to a physical adapter (e.g., an iSCSI initiator in a hypervisor). The physical adapter may log into the iSCSI target on behalf of the virtual adapter using the VIN. The physical adapter may receive a list of available logical units associated with the iSCSI target and map the list of available logical units to the virtual adapter. Thereafter, a quality of service between the virtual adapter and the iSCSI target may be monitored. | 03-26-2015 |
20150089499 | TOPOLOGY MANAGEMENT METHOD AND SYSTEM OF VIRTUAL MACHINES - A system for managing topology of virtual machines (VMs) includes a base-information database, an information register processing unit, and a topology information database. The base-information database records internal information of all VMs of a cloud system. The information register processing unit monitors the base-information database for updating the internal information of the VMs to the topology information database. The topology information database mainly records a mapping between an alias name and a hostname of each VM, and also records a mapping between the hostname and IP address of each VM. The alias name of each VM is defined in accordance with the task assigned to the VM in the cloud system. | 03-26-2015 |
20150089500 | SYSTEM AND METHOD FOR IMPROVING TCP PERFORMANCE IN VIRTUALIZED ENVIRONMENTS - A method and system of improving TCP performance by offloading TCP processing to a protocol acceleration module is provided. For example, one or more in-sequence data packets being transmitted between a protocol sender and a protocol receiver is observed, and either the protocol sender or receiver resides within a virtualized host. A determination is made whether the protocol packet buffer within the protocol acceleration module is full. In further examples, one or more in-sequence packets are processed in an accelerated mode, where the processing includes copying the in-sequence data packets into the protocol packet buffer. | 03-26-2015 |
20150089501 | SYSTEMS AND METHODS FOR COLOCATING VIRTUAL MACHINES ON ONE OR MORE PHYSICAL INFRASTRUCTURE - This technology relates to a device and method for determining co-locatability of a plurality of virtual machines on one or more physical infrastructures. The plurality of virtual machines hosts a plurality of workloads. This involves identifying workloads which have high variability from the time series data and determining the workload capacity threshold of the identified workloads. Thereafter, the candidate workloads are selected among the identified workloads to colocate on a virtual machine based on the workload variability. After that, the total capacity required by each candidate workload pair to meet the service requirement is determined based on the workload capacity threshold. Then, an optimal sharing point of each workload of the pair with respect to the other workload of the pair is identified. Further, percentage compatibility of each workload pair is determined and finally, the candidate workloads are colocated based on the optimal sharing point and percentage compatibility. | 03-26-2015 |
20150089502 | Method and System for Providing Secure System Execution on Hardware Supporting Secure Application Execution - An application such as a virtual machine are executed securely using a software-based, full-system emulator within a hardware-protected enclave, such as an SGX enclave. The emulator may thereby be secure even against a malicious underlying host operating system. In some cases, paging is used to allow even a large application may run within a small enclave using paging. Where the application itself uses enclaves, these guest enclaves may themselves be emulated within an emulator enclave such that the guest enclave(s) are nested as sibling enclaves by the emulator. | 03-26-2015 |
20150089503 | DISPLAYING STATE INFORMATION FOR COMPUTING NODES IN A HIERARCHICAL COMPUTING ENVIROMENT - The disclosed embodiments relate to a system for monitoring a virtual-machine environment. During operation, the system identifies a parent and a set of two or more child components that are related to the parent component in the virtual-machine environment. Next, the system determines a performance metric for each child component in the set of two or more child components. The system then determines a child-component performance state for each child component in the set of two or more child components based on the performance metric for the child component and a child-component state criterion. Finally, the system determines a parent state for the parent component based on the child-component performance state for each child component in the set of two or more child components and a parent-component state criterion, wherein the parent-component state criterion includes a threshold percentage or number of child components that have a specified state. | 03-26-2015 |
20150089504 | CONFIGURING A VIRTUAL MACHINE - In some examples, a node in a distributed system may receive one or more IP addresses for use with one or more virtual machines. For example, at least one of the received IP addresses may be encoded into a respective MAC address. Further, a hypervisor implemented on the node may configure a virtual machine on the node. For instance, configuring the virtual machine may include passing the encoded MAC address to the virtual machine through the hypervisor. The virtual machine may be configured to decode the IP address from the encoded MAC address, and the IP address is assigned to the virtual machine. | 03-26-2015 |
20150095906 | RANKING VIRTUAL MACHINES BASED ON VALUE - In some embodiments of this disclosure, a computer-implemented method includes accessing a set of two or more activity logs associated with two or more virtual machines, each activity log being associated with a corresponding virtual machine. A computational capacity is calculated, by a computer processor, for each of the virtual machines based at least in part on the activity logs. A rank is calculated for each of the virtual machines, where a first rank calculated for a first virtual machine is based, at least in part, on a monetary cost of the first virtual machine and the calculated computational capacity of the first virtual machine. | 04-02-2015 |
20150095907 | FAILOVER DETECTION AND TREATMENT IN CHECKPOINT SYSTEMS - A method for treatment of a hypervisor call sequence, in a system having a plurality of hosts, includes assigning a host ID to a plurality of hosts in the system; identifying a first host ID for a host from which a first hypervisor call of a hypervisor call sequence originates; identifying a second host ID for a host from which a second hypervisor call of the hypervisor call sequence originates, wherein the second hypervisor call is a call subsequent to the first hypervisor call; and determining whether the second host ID is equal to the first host ID. | 04-02-2015 |
20150095908 | FAILOVER DETECTION AND TREATMENT IN CHECKPOINT SYSTEMS - A method for treatment of a hypervisor call sequence, in a system having a plurality of hosts, includes assigning a host ID to a plurality of hosts in the system; identifying a first host ID for a host from which a first hypervisor call of a hypervisor call sequence originates; identifying a second host ID for a host from which a second hypervisor call of the hypervisor call sequence originates, wherein the second hypervisor call is a call subsequent to the first hypervisor call; and determining whether the second host ID is equal to the first host ID. | 04-02-2015 |
20150095909 | SETTING RETRANSMISSION TIME OF AN APPLICATION CLIENT DURING VIRTUAL MACHINE MIGRATION - A method of setting retransmission time of an application client during virtual machine migration includes predicting migration memory size required by a virtual machine to be migrated based on historical access log of at least one application and memory log of the virtual machine to be migrated; computing available migration bandwidth of a host of the virtual machine to be migrated; computing virtual machine migration time based on the predicted migration memory size required by the virtual machine to be migrated and the available migration bandwidth of the host; and setting retransmission time of the application client based on the virtual machine migration time. | 04-02-2015 |
20150095910 | DATA ASSIGNMENT FOR PHYSICAL MACHINE - A data assignment method for a physical machine having multiple virtual machines (VMs) running thereon includes receiving data to be processed from a scheduler for assigning job data; storing the received data to be processed into a single queue; and in response to an idle VM being among the multiple VMs, assigning data in the queue to the idle VM to be processed by the idle VM. | 04-02-2015 |
20150100957 | VIRTUAL MACHINE JOINER - A method, system and computer readable medium for joining multiple virtual machines (VMs). The method includes identifying a first VM executing a first operating system (OS) for joining with a second VM executing a second OS, wherein the first OS and the second OS are incompatible. A new VM having a first new disk and a second new disk is created. The method includes creating a first image of a first disk associated with the first VM and a second image of a second disk associated with the second VM, installing the first image into the first new disk and the second image into the second new disk, and configuring the new VM to include a dual boot function enabling boot up of the new VM with either the first OS or the second OS. | 04-09-2015 |
20150100958 | TRAFFIC MIGRATION ACCELERATION FOR OVERLAY VIRTUAL ENVIRONMENTS - Embodiments of the invention relate to providing acceleration for traffic migration for virtual machine (VM) migration in overlay networks. One embodiment includes a method that includes migrating of a VM from a first hypervisor to a second hypervisor. The first hypervisor detects incoming encapsulated traffic sent from a third hypervisor that is targeted for the VM. The first hypervisor indicates to a service of incorrect information in the incoming encapsulated traffic for the VM. The third hypervisor is notified with updated information for the VM. | 04-09-2015 |
20150100959 | DATACENTER MANAGED THREAD AND MULTI-PROCESSING SUPPORT - Technologies are generally described for datacenter managed thread and multi-processing support. In some examples, a system may be provided for dynamic core allotment and provisioning within a server such that resources can be efficiently used to accomplish available work during lulls shorter than inter-server balancing time scales. A virtual machine manager (VMM) may enact core level provisioning and make optimal performance multi-processing information available via a virtual application programming interface (API) handler that receives and responds to messages sent to the VMM. The messages may not need to reach an external network and may therefore be exchanged at high speeds. | 04-09-2015 |
20150100960 | METHOD FOR RESUMING PROCESS AND INFORMATION PROCESSING SYSTEM - One of first and second computers computes a first time taken to transfer first data, which is stored by an application process that is executed and suspended by the second computer and to be executed by the first computer, from the second computer to the first computer. The one computes a second time on basis of a transfer time and an elapsed execution time. The transfer time is taken to transfer second data from a storage area to the first computer. The second data is used to execute the process from beginning. The elapsed execution time is taken to execute the process up to a suspend point. The one determines to resume the process from the suspend point when the first time is shorter than the second time, and re-execute the process from the beginning when the second time is shorter than the first time. | 04-09-2015 |
20150100961 | Operating Programs on a Computer Cluster - A mechanism is provided for operating programs on a computer cluster comprising cluster resources. The cluster resources comprise non-virtual real hardware resources with variable configurations and virtual resources. Each cluster resource has a configuration description and a type. Each type has a unique type identification and descriptions of operations that can be performed by the cluster resource of the each type. Each program is operable for: requesting usage of the cluster resource specifying the type and the configuration description; and requesting a modification of the variable configuration of the non-virtual real hardware resource with the variable configuration. Execution of each program requires the dedicated execution environment on the computer cluster. The generation of each dedicated execution environment requires one or more dedicated virtual resources and one or more dedicated non-virtual real hardware resources with the variable configurations. | 04-09-2015 |
20150100962 | COMPUTER-READABLE MEDIUM, APPARATUS, AND METHOD - A non-transitory computer-readable medium including a program, which when executed by a computer, causes the computer to execute a process including obtaining first information indicating a load in the computer, second information indicating a first amount of communication handled by a virtual switch executed in the computer, and third information indicating a second amount of communication between the computer and another computer; and determining whether switches from a first mode, in which the virtual machine executes a switch operation, to a second mode, in which the virtual machine and a physical switch that relays a communication of the computer cooperatively execute the switch operation, on the basis of the first information, the second information and the third information. | 04-09-2015 |
20150106802 | REPLICATING VIRTUAL MACHINES ACROSS DIFFERENT VIRTUALIZATION PLATFORMS - A first virtual machine executing in a first computer server is replicated to a second virtual machine executing in a second computer server, where the first computer server and the second computer server are connected over a network and are each connected to one or more disk storage units capable of storing files in a file system. Virtual disks of the first virtual machine on the first server are transmitted to the second server, where each transmitted virtual disk is stored as a file in a storage unit connected to the second server and corresponds to one of a plurality of virtual disks of the second virtual machine running in the second server, and where the virtual disks of the first virtual machine have a first format and the virtual disks of the second virtual machine have a second format that is different from the first format. A plurality of updates to the virtual disks of the first virtual machine is captured, and contiguous data blocks from the virtual disks of the first virtual machine that are subject to the captured updates are identified. The identified contiguous data blocks are then transmitted to the second server for storage in the virtual disks of the second virtual machine. | 04-16-2015 |
20150106803 | Richer Model of Cloud App Markets - In a cloud app market, a cloud infrastructure customer can purchase apps for performing services such as rootkit detection and network security for a customer virtual machine run by the cloud infrastructure customer. A cloud infrastructure provider executes a provider virtual machine monitor or hypervisor on cloud infrastructure. The cloud app is provided with a customer virtual machine monitor nested on the provider virtual machine monitor. The customer virtual machine, together with a nested management domain of the customer, execute on the customer virtual machine monitor. | 04-16-2015 |
20150106804 | Logical Router - Some embodiments provide a system that includes several host machines for hosting several virtual machines and a physical network for interconnecting the host machines. Each host machine includes a managed physical switching element (MPSE) including several ports for performing link layer forwarding of packets to and from a set of virtual machines running on the host machine. Each port is associated with a unique media access control (MAC) address. Each host machine includes a managed routing element (MPRE) for receiving a data packet from a port of the MPSE and performing network layer routing in order to forward the received data packet from a first virtual machine of a first network segment to a second virtual machine of a second network segment. | 04-16-2015 |
20150106805 | ACCELERATED INSTANTIATION OF CLOUD RESOURCE - The subject disclosure relates to a method for instantiating cloud resources that are provided as service virtual machines. In one embodiment, a cloud service management system maps each one of the multiple abstraction layer slots to a virtual context of a logical resource. The virtual context is hosted by a respective virtual machine that is part of a pool of virtual machines. The system identifies an available abstraction slot from the multiple abstraction layer slots and reserves the slot so that the corresponding virtual context of the logical resource can be served to a requesting device. The system then marks the available abstraction layer slot as unavailable. Systems and computer readable media are also provided. | 04-16-2015 |
20150106806 | METHODS AND APPARATUS TO MANAGE VIRTUAL MACHINES - Methods and apparatus to manage virtual machines are disclosed. An example method includes determining that a deployment of a first virtual machine has halted because the first virtual machine is dependent on a second virtual machine that has not been fully deployed and in response to detecting that the second virtual machine has been deployed, notifying, via a processor, the first virtual machine that deployment of the first virtual machine may continue. | 04-16-2015 |
20150106807 | METHODS AND APPARATUS TO MANAGE VIRTUAL MACHINES - Methods and apparatus to manage virtual machines are disclosed. An example method includes determining that a deployment of a first virtual machine has halted because the first virtual machine is dependent on a parameter of a second virtual machine, detecting that the second virtual machine has been deployed and has caused configuration information to be stored in a repository, retrieving, via a processor, the configuration information, including the parameter, from the repository, and transmitting, via the processor, the parameter to the first virtual machine to cause the first virtual machine to resume deployment. | 04-16-2015 |
20150106808 | METHODS AND APPARATUS TO MANAGE VIRTUAL MACHINES - Methods and apparatus to manage virtual machines are disclosed. An example method includes deploying a virtual machine, storing deployment information about the virtual machine, including an associated workload, in a database, retrieving configuration information, including the deployment information from the database, and displaying, via a processor, the configuration information including an indication that the virtual machine is associated with other virtual machines that are associated with the workload. | 04-16-2015 |
20150106809 | METHODS AND APPARATUS TO MANAGE VIRTUAL MACHINES - Methods and apparatus to manage virtual machines are disclosed. An example method includes storing an indication that a first system resource is associated with a first service level, storing an indication that a second system resource is associated with a second service level, during deployment of a virtual machine, determining that the virtual machine is associated with the first service level, and in response to the determining, deploying the virtual machine utilizing the first resource. | 04-16-2015 |
20150106810 | Distribution of a Service Implemented by Intra-Connected Virtual Machines - A mechanism is provided for distributing a service implemented by a plurality of infra-connected source virtual machines on a source computing environment. Characteristic information of the service comprising an indication of the intra-connected source virtual machines and of each intra-connection between the intra-connected source virtual machines is discovered. The source virtual machines are copied into corresponding target virtual machines on a target computing environment. Reconfiguration information of at least one selected target virtual machine of the target virtual machines is saved that has a source identity of the corresponding source virtual machine in the source computing environment being reconfigured into a target identity of the at least one selected target virtual machine in the target computing environment. Each communication, addressed to each source identity by each target virtual machine intra-connected to a corresponding selected target virtual machine, is redirected to the corresponding target. | 04-16-2015 |
20150106811 | SYSTEM AND METHOD FOR MANAGING A VIRTUALIZED COMPUTING ENVIRONMENT - A technique for predictive distributed resource scheduling and distributed power management includes analyzing patterns in the workload, predicting future workloads, and making recommendations for changes to the virtual computing environment. In addition, a cost-benefit analysis can be performed to determine whether the recommended change would likely result in improved performance. | 04-16-2015 |
20150106812 | CLOUD GATEWAY, CLOUD GATEWAY MANAGEMENT DEVICE, AND METHOD THEREOF - A cloud gateway management method includes: receiving a creation request for creating a cloud gateway from a user; creating a cloud gateway when the request receiving module receives the creation request, wherein the cloud gateway is a format of a virtual machine; generating a plurality of virtual network cards in the cloud gateway, each virtual network card being used to connect to a corresponding network; assigning a corresponding network address for each virtual network card; and configuring the cloud gateway according to network configuration information including the network address of each virtual network card. | 04-16-2015 |
20150106813 | METHOD AND APPARATUS FOR PROVISIONING OF RESOURCES TO SUPPORT APPLICATIONS AND THEIR VARYING DEMANDS - Embodiments of the present invention provide a method for allowing efficient allocation of data center resources in a virtualized data center. In one step, network information is obtained from a network using an application resource broker (ARB). In another step, data center information is obtained from a virtual center server using the ARB. In another step, a set of application traffic metrics and a set of virtualized infrastructure metrics are determined using the ARB based on the obtained network information and data center information respectively. The ARB is implemented on a computer system. | 04-16-2015 |
20150106814 | Systems and Algorithm For Interfacing with a Virtualized Computing Service Over a Network Using a Lightweight Client - Systems and algorithm for providing a service to a client includes defining a virtual infrastructure in which a plurality of virtual machines are running on a virtualization layer with at least one of the virtual machine executing an image processor algorithm. The image processor algorithm is configured to access framebuffer data of a specific virtual machine that includes the service to be controlled, process the framebuffer data to generate image data packets with contextual information by scanning the framebuffer data to discern the image of the virtual machine display, evaluate the framebuffer data to identify contiguous areas of activity, extract data related to the contiguous areas of activity and package the extracted data into image data packets. The image data packets are transmitted to the client for presenting on a display device of the client. | 04-16-2015 |
20150106815 | APPLICATION-SPECIFIC DATA IN-FLIGHT SERVICES ALONG A COMMUNICATION PATH SELECTED BASED ON A DIF SERVICES POLICY ASSOCIATED WITH A VM - Embodiments provide data in-flight (DIF) services to software applications such as virtual machines (VMs) at an application level without requiring modification to established storage protocols. In exemplary embodiments, a software application is associated with a DIF services policy indicating one or more DIF services to apply to the software application. Data transmitted by the software application to a destination is tagged based on the DIF services policy associated with the software application and transmitted to the destination. | 04-16-2015 |
20150113526 | METHOD AND SYSTEM FOR DISPLAYING GRAPHICS FOR A LOCAL VIRTUAL MACHINE - A computing device is provided for displaying images that are two dimensional (2D) or three dimensional (3D). The computing device includes one or more processors configured to obtain graphical data that has been generated by one or more applications associated with a first virtual machine and map one or more virtual apertures or one or more actual buffers associated with the first virtual machine to a textual buffer associated with a second virtual machine. The virtual apertures are in a virtual memory space and the actual buffers are in a physical memory space. The one or more processors are also configured to process the graphical data based on the mapping. The computing device also includes a display device configured to display the 2D or 3D images using the processed graphical data. | 04-23-2015 |
20150113527 | METHOD AND SYSTEM FOR FAST CLONING OF VIRTUAL MACHINES - A method for network cloud resource generation, including creating a template virtual machine. The method includes creating an instantiation of a virtual machine for an end user by cloning the template, and loading an application executed by the virtual machine. The method includes accessing first information associated with the end user, and loading the first information in an instantiation of the application. | 04-23-2015 |
20150113528 | VIRTUAL DESKTOP SERVICE APPARATUS AND METHOD - Disclosed is a virtual desktop service method. The virtual desktop service method includes configuring and measuring users and resources according to predetermined criteria, copying operating system files and data files to be provided to the users before use request, receiving request to use a virtual machine from any one of the users and performing user authentication and work approval, and providing an operating system file and a data file of the any one of the users, among the copied operating system files and data files, to the any one of the users according to the use request to transmit a virtual desktop environment. Consequently, the virtual desktop service method performs a service to provide a virtual machine to a user in real time. | 04-23-2015 |
20150113529 | Method, System and Apparatus for Creating Virtual Machine - A method, a system, and an apparatus for creating a virtual machine. The method includes receiving a virtual machine creation request to create a plurality of virtual machines; dividing the plurality of virtual machines into a plurality of virtual machine groups; determining a home physical rack for each virtual machine group, where one virtual machine group corresponds to one home physical rack; and creating each virtual machine group on the home physical rack of each virtual machine group. Because each virtual machine group is created on a home physical rack to which each virtual machine group belongs, each virtual machine group is equivalent to one physical rack. | 04-23-2015 |
20150113530 | Managing Virtual Appliances Supporting Multiple Profiles - A mechanism is provided for managing one or more virtual appliances on a computing system. For a specific virtual appliance, profile information indicative of a set of profiles of the specific virtual appliance is retrieved, status information indicative of a status of the computing system is retrieved, and constraint information indicative of one or more constraints applicable to one or more software programs of the specific virtual appliance on the computing system is retrieved. A determination is made of a configuration scheme of the specific virtual appliance according to the profile information, the status information, and the constraint information. The configuration scheme a specific profile of the set of profiles of the specific virtual appliance having the one or more software programs thereof compliant with the applicable constraints. The specific virtual appliance is configured according to the configuration scheme. | 04-23-2015 |
20150113531 | SYSTEM FOR MIGRATING VIRTUAL MACHINE AND METHOD THEREOF - A method for migrating a virtual machine (VM) includes: receiving a migrate request to migrate a VM of a first cloud node, obtaining configuration information of the VM of the first node and a target address, and generating a parameter file including the configuration information of the VM; obtaining system information of the VM of the first node, and creating an image file according to the system information; packeting the parameter file and the image file of the VM of the first node to obtain a packet file, and storing the packet file into a storage server of the first cloud node; transmitting the packet file to a storage server of a second cloud node according to the target address; and creating a new VM in a platform server of the second cloud node according to the configuration information and restoring the image file to the created VM. | 04-23-2015 |
20150113532 | CLOUDBOOT SYSTEM, VIRTUAL MACHINE WITH THE CLOUDBOOT SYSTEM, AND METHOD FOR STARTING UP THE VIRTUAL MACHINE - A method for starting up a virtual machine (VM) includes: receiving a startup command from a server; determining whether the VM has installed a cloudboot system; obtaining an installation software for installing the cloudboot system and executing the installation software to install the cloudboot system if the VM has not installed a cloudboot system; starting up the cloudboot system to determine a virtual platform supporting the VM, and determine a virtual driver file corresponding to the determined virtual platform, and load the virtual driver file; determining whether there is one guest operating system is stored in the VM; and starting up the guest operating system and causing the VM to enter an operating environment of the guest operating system if there is one guest operating system is stored in the VM. | 04-23-2015 |
20150113533 | CLOUD SYSTEM FOR MANAGING CREATION AND DISTRIBUTION OF TEMPLATES OF VIRTUAL MACHINES AND METHOD THEREOF - A method for managing creation and distribution of templates of virtual machines through a cloud system includes a template creator creating the template, and a template user purchasing and installing the template. The template creator creates the template by registering an account, inputting basic information of the template, purchasing a virtual machine or using a virtual machine of the template creator to create the template, creating a template design, creating the template on the virtual machine according to the template design, and uploading the template to a template library. The template user purchases and installs the template by purchasing the virtual machine, obtaining identification information of the template and of the corresponding Internet data center from an order form, obtaining a download link of the template according to the identification information, downloading the template to the corresponding Internet data center, and installing the template on the purchased virtual machine. | 04-23-2015 |
20150113534 | METHOD AND SYSTEM FOR FACILITATING APPLICATION-ORIENTED QUALITY OF SERVICE IN A FIBRE CHANNEL NETWORK - One embodiment of the present invention provides a system that facilitates quality of service (QoS) in a Fibre Channel (FC) network. During operation, a host bus adaptor (HBA) allocates the bandwidth on an FC link between the HBA and an FC switch into a plurality of logical channels, wherein a respective logical channel can transport data frames of variable length. Furthermore, a respective logical channel is associated with a dedicated buffer on the HBA. The HBA associates data frames from a logical entity associated with the HBA with a logical channel, and transmits data frames from the logical entity to the FC switch on the corresponding logical channel within the link from the HBA to the FC switch. | 04-23-2015 |
20150121365 | POST-EXECUTION INSTRUCTION TRACING OF VIRTUALIZED INSTRUCTIONS - Systems and methods for tracing an emulated execution order of non-native instructions based on natively executing code on a computing system having a native instruction set architecture are provided. One method includes, during emulated execution of non-native program code, maintaining a jump history in the computing system, the jump history including a listing of non-native jump instructions for which execution is emulated in the computing system. The method includes, for each of the non-native jump instructions included in the jump history, accessing non-native program code including the non-native instructions to determine one or more non-native instructions executed between the non-native jump instruction and a last-executed non-native jump instruction, and aggregating the non-native jump instruction and the one or more non-native instructions into an instruction trace. | 04-30-2015 |
20150121366 | VIRTUALIZATION EXCEPTIONS - Embodiments of an invention for virtualization exceptions are disclosed. In one embodiment, a processor includes instruction hardware, control logic, and execution hardware. The instruction hardware is to receive a plurality of instructions, including an instruction to enter a virtual machine. The control logic is to determine, in response to a privileged event occurring within the virtual machine, whether to generate a virtualization exception. The execution hardware is to generate a virtualization exception in response to the control logic determining to generate a virtualization exception. | 04-30-2015 |
20150121367 | Processing Systems And Methods - Example processing systems and methods are described. In one implementation, a method receives a request to perform a processing operation. The method identifies a first task associated with the processing operation and identifies a second task associated with the processing operation. A first virtual machine is invoked to perform the first task and a second virtual machine is invoked to perform the second task. The first and second virtual machines each execute an instance of a browser application to perform the first and second tasks, respectively. | 04-30-2015 |
20150121368 | Deployment Groups Analytics and Visibility - Determination and indication of operational performance of deployments in an expert integrated system that has multiple deployments, with each deployment having multiple patterns. The determination is based upon pipe analytics, with the pipe analytics being performed over a common subnet that includes both the analytics platform and the management nodes of each deployment of the multiple deployments. | 04-30-2015 |
20150121369 | GUARDED VIRTUAL MACHINES - A virtual machine (VM) is designated as a guarded VM so that restricted operations may not be performed on the VM without permission from the VM's owner. A request to perform at least one of the restricted operations on the VM is received. When the VM is a guarded VM, the request to perform at least one of the restricted operations on the VM is sent to the VM's owner. When the VM's owner at least partially approves the request, at least some of the restricted operations on the VM are enabled. | 04-30-2015 |
20150121370 | Deployment Groups Analytics and Visibility - Determination and indication of operational performance of deployments in an expert integrated system that has multiple deployments, with each deployment having multiple patterns. The determination is based upon pipe analytics, with the pipe analytics being performed over a common subnet that includes both the analytics platform and the management nodes of each deployment of the multiple deployments. | 04-30-2015 |
20150121371 | MULTI-TENANT DISTRIBUTED COMPUTING AND DATABASE - A distributed computing application is described that provides a highly elastic and multi-tenant platform for Hadoop applications and other workloads running in a virtualized environment. Deployments of a distributed computing application, such as Hadoop, may be executed concurrently with a distributed database application, such as HBase, using a shared instance of a distributed filesystem, or in other cases, multiple instances of the distributed filesystem. Computing resources allocated to region server nodes executing as VMs may be isolated from compute VMs of the distributed computing application, as well as from data nodes executing as VMs of the distributed filesystem. | 04-30-2015 |
20150121372 | VIRTUAL COMPUTER SYSTEM FOR RESTORING NETWORK CONNECTION OF LIVE-MIGRATED VIRTUAL COMPUTER - The network connection of a VM (target VM) that has been live-migrated from a first physical computer to a second physical computer is restored in a virtual computer system in which communication is performed using a certain type of information outside the jurisdiction of a virtualization mechanism. When receiving a packet from the VM, the first virtualization mechanism of the first physical computer extracts a certain type of information from the packet and registers the extracted certain type of information in a first management information unit. The first virtualization mechanism transmits the certain type of information in the first management information unit to the second virtualization mechanism of the second physical computer during live migration. The second virtualization mechanism registers the certain type of information in a second management information unit and transmits a certain type of packet including the certain type of information from at least one of one or more physical I/O devices of the second physical computer in order to restore the network connection of the target VM. | 04-30-2015 |
20150121373 | User Privacy Systems And Methods - Example processing systems and methods are described. In one implementation, a method receives a request from a user to access a website and perform an action related to the website. The method identifies multiple alias definitions associated with the user, where each alias definition describes at least one action related to at least one website. A particular alias definition is selected based on the action and the website. A virtual machine is invoked to perform the action on behalf of the user based on alias information contained in the selected alias definition. | 04-30-2015 |
20150121374 | Application Processing Systems and Methods - Example application processing systems and methods are described. In one implementation, a method receives a request to execute an application program and identifies multiple tasks associated with the application program. The method invokes multiple virtual machines to perform the multiple tasks associated with the application program. Each of the multiple tasks is assigned to a virtual machine. Task results are received from the multiple virtual machines and compiled into a single application program result. | 04-30-2015 |
20150121375 | SYSTEM AND METHOD FOR PROVIDING A PHYSICAL PLUGIN FOR USE IN A CLOUD PLATFORM ENVIRONMENT - In accordance with an embodiment, described herein are systems and methods for simulating a cloud environment on a physical platform. In an embodiment, a physical assembly is provided within a cloud environment and a physical plug-in configured to provide a virtual assembly builder-like environment on a physical platform. The physical assembly is an installable software suite including software configured to support provisioning of applications for providing services. The physical plug-in is configured to enable installation of the physical assembly on the physical platform. The physical plug-in is also configured to enable support for administering the services of the cloud platform component via the software installed from the physical assembly. | 04-30-2015 |
20150121376 | MANAGING DATA TRANSFER - A method is provided for managing payload data transfer between a first virtual machine and a second virtual machine. The first virtual machine and the second virtual machine are supported by a host environment including a plurality of virtual machines. The method includes determining whether the payload data to be transferred from the first virtual machine to the second virtual machine has a payload data size exceeding a first threshold. The method also includes selecting a transfer medium for the payload data dependent on the determination. | 04-30-2015 |
20150121377 | METHOD FOR IMPLEMENTING INTER-VIRTUAL PROCESSOR INTERRUPT, RELATED APPARATUS, AND SYSTEM - A method for implementing an inter-virtual processor interrupt is provided, which includes: when a source virtual processor needs to trigger an interrupt to a target virtual processor, writing register data that includes information about the target virtual processor and indication data used to indicate that the source virtual processor triggers the interrupt to the target virtual processor into a virtual register of a virtual advanced programmable interrupt controller (vAPIC) of the source virtual processor, so that a virtual machine monitor obtains the information about the target virtual processor and the indication data from the virtual register by means of parsing, and the virtual machine monitor injects an inter-virtual processor interrupt into the target virtual processor according to the information about the target virtual processor and the indication data. Accordingly, the embodiments of the present invention further provide a related virtual machine, a virtual controller, a computing node, and a system. | 04-30-2015 |
20150128130 | METHOD AND SYSTEM FOR PROVIDING AND DYNAMICALLY DEPLOYING HARDENED TASK SPECIFIC VIRTUAL HOSTS - Virtual host creation data used to instantiate a hardened task specific virtual host in a first computing environment is generated including hardening logic for providing enhanced security and trust for the hardened task specific virtual host and internal task specific logic for directing and/or allowing the hardened task specific virtual host to perform a specific function assigned to the hardened task specific virtual host. When task data is received indicating a task to be performed in the first computing environment requires the performance of the specific function assigned to the hardened task specific virtual host, the hardened task specific virtual host is automatically instantiated and/or deployed in the first computing environment. | 05-07-2015 |
20150128131 | MANAGING VIRTUAL MACHINE PATTERNS - Managing virtual machine patterns, including: identifying resource utilization of each virtual machine within a first virtual machine pattern having a first group of resources; determining resource requirements of one or more applications executing on one or more virtual machines within the first virtual machine pattern; based on the resource utilization and the determined resource requirements, identifying a second virtual machine pattern having a second group of resources; and deploying at least one of the one or more applications executing on the one or more virtual machines within the first virtual machine pattern onto one or more virtual machines of the second virtual machine pattern. | 05-07-2015 |
20150128132 | INTEGRATED MANAGEMENT OF CENTRALLY MODIFIED SOFTWARE SYSTEMS - Various embodiments here in provide systems, methods, and software for integrated management of centrally modified software systems. Some embodiments include a landscape virtualization management application through which software systems in the form of virtual appliances may be selected, deployed, and started. The virtual appliance in such embodiments may have been transported to an implementing organization, encapsulated in a virtual appliance, in a preconfigured and pre-modified form. | 05-07-2015 |
20150128133 | VIRTUAL APPLIANCE INTEGRATION WITH CLOUD MANAGEMENT SOFTWARE - Various embodiments here in provide systems, methods, and software for virtual appliance integration with cloud management software. Some embodiments include a landscape virtualization management application through which software systems in the form of virtual appliances may generated and deployed. Further, metadata included in a data structure of a virtual appliance enable integration with existing cloud management software to allow viewing and searching for available virtual appliances and selecting, deployment, and management thereof. | 05-07-2015 |
20150128134 | ADJUSTING PAUSE-LOOP EXITING WINDOW VALUES - In a method for adjusting a Pause-loop exiting window value, one or more processors execute an exit instruction for a first virtual CPU (vCPU) in a virtualized computer environment based on the first vCPU exceeding a first Pause-loop exiting (PLE) window value. The one or more processors initiate a first directed yield from the first vCPU to a second vCPU in the virtualized computer environment. The one or more processors determine whether the first directed yield was successful. The one or more processors adjust the first PLE window value based on the determination of whether the first directed yield was successful. | 05-07-2015 |
20150128135 | MANAGING A TEMPLATE IN AN OPERATOR GRAPH - Embodiments of the disclosure provide a method, system, and computer program product for managing a template on an operator graph. The method for managing a template on an operator graph can include receiving the template for processing a stream of tuples. The template can have a plurality of template elements operating on one or more computer processors. The method can include calculating a resource requirement of a template element from the template. The method can include calculating a resource availability of a virtual machine. The method can include allocating the template onto the operator graph. The operator graph can have a plurality of processing elements operating on one or more computer processors. The method can also include mapping the template element from the template onto the virtual machine as a function of the resource requirement of the template element and the resource availability of the virtual machine. | 05-07-2015 |
20150128136 | GRAPHICS PROCESSING UNIT CONTROLLER, HOST SYSTEM, AND METHODS - A graphics processing unit controller configured to be communicatively coupled to one or more graphics processing units and one or more virtual machines, comprising: a unit collection module which is configured to store information regarding the available resources of one or more graphics processing units; and a scheduler module configured to allocate at least part of the one or more graphics processing units to the execution of a compute kernel in response to receipt of a request for the execution of the compute kernel, wherein the scheduler is configured to allocate at least part of the one or more graphics processing units based on a comparison between the available resources of the one or more graphics processing units and required resources for the execution of the compute kernel. | 05-07-2015 |
20150128137 | INFORMATION PROCESSING APPARATUS AND METHOD OF CONTROLLING INFORMATION PROCESSING APPARATUS - An information processing apparatus includes: a VM including a driver that is configured to perform data input/output using an input/output device; a hypervisor configured to manage the VM and perform a synchronization process between the information processing apparatus and another information processing apparatus which form a pair; a monitoring unit configured to monitor an access made between a memory and the input/output device; a notification unit configured to notify the hypervisor of detection of a reading access in a case where the reading access relating to data output from the memory to the input/output device is detected by the monitoring unit; and a suppression unit configured to suppress the data output in a case where the hypervisor is in the middle of execution of the synchronization process when the hypervisor receives the notification from the notification unit. Accordingly, the input/output access performance of the VM is improved. | 05-07-2015 |
20150128138 | DECENTRALIZED MANAGEMENT OF VIRTUALIZED HOSTS - One or more embodiments of the present invention provide a technique for effectively managing virtualized computing systems with an unlimited number of hardware resources. Host systems included in a virtualized computer system are organized into a scalable, peer-to-peer (P2P) network in which host systems arrange themselves into a network overlay to communicate with one another. The network overlay enables the host systems to perform a variety of operations, which include dividing computing resources of the host systems among a plurality of virtual machines (VMs), load balancing VMs across the host systems, and performing an initial placement of a VM in one of the host systems. | 05-07-2015 |
20150128139 | VIRTUAL MACHINE DEPLOYMENT METHOD, RECORDING MEDIUM, AND INFORMATION PROCESSING APPARATUS - An information processing apparatus | 05-07-2015 |
20150128140 | PROVISIONING A PASS-THROUGH DISK IN A VIRTUALIZED COMPUTING ENVIRONMENT - A physical host machine determines that a storage device from a network storage system is available to the host machine as a pass-through disk. Virtualization software running on the host machine assigns the pass-through disk to a temporary resource group on the host machine. The pass-through disk is logically attached to the virtual machine running on the host machine and made available to an operating system and application running on the virtual machine. | 05-07-2015 |
20150128141 | TEMPLATE VIRTUAL MACHINES - There is provided a method of providing a template virtual machine. An exemplary method comprises creating a template virtual machine comprising an image of an operating system and software running on the operating system. The template virtual machine does not include machine-specific identifiers. The template virtual machine is booted into a virtual machine environment. Subsequently, machine-specific identifiers for the template virtual machine are updated. The template virtual machine may then be booted with machine-specific identifiers into a service instance to perform a function determined by the software running on the operating system such that uniform code pages representing the operating system and software running on the operating system are shared among virtual machines in the virtual machine environment. | 05-07-2015 |
20150135173 | VIRTUAL MACHINE MIGRATION WITH SWAP PAGES - Migration of a virtual machine to a new host is coordinated while data that is mapped into virtual (swap) memory of a source instance of the virtual machine (or guest) is properly handled. Sharing rights for one or more swap devices can be modified to facilitate the use of the swap devices by a new host and a corresponding (target) instance of virtual machine running on the new host. | 05-14-2015 |
20150135174 | LIGHTWEIGHT SNAPSHOTS FOR VIRTUAL DISKS - A snapshot manager in a virtual machine monitor receives a write request comprising data from a guest operating system of a virtual machine, wherein the write request is directed to a sector of a virtual disk associated with the virtual machine. The snapshot manager writes the data from the guest operating system of the virtual machine to the sector in a base image of the virtual disk, the base image comprising a current version of the virtual disk, wherein the virtual disk comprises the base image and a overlay image, the overlay image comprising a snapshot of the base image at a previous point in time. | 05-14-2015 |
20150135175 | VIRTUAL MACHINE MIGRATION WITH SWAP PAGES - Migration of a virtual machine to a new host is coordinated while data that is mapped into virtual (swap) memory of a source instance of the virtual machine (or guest) is properly handled. Sharing rights for one or more swap devices can be modified to facilitate the use of the swap devices by a new host and a corresponding (target) instance of virtual machine running on the new host. | 05-14-2015 |
20150135176 | REACTIVE LOOP SENSING IN MULTI-DATACENTER DEPLOYMENTS - Technologies are generally provided for reactive loop sensing in multi-datacenter deployments. In some examples, tagged metrics from deployment elements on different datacenter or platform providers may be used by a stability module to generate a synthetic generalized deployment model that aliases multiple system elements into general state vectors. The state vectors may include a transfer vector on the border between each datacenter or platform, and the feedback from the metrics may cause the states of the datacenters/platforms to match the deployment's unobserved variables allowing stability analysis before failure. For example, the metrics may be associated with a portion of the deployment on one of the multiple datacenters. The stability analysis module may compare the received metrics with model metrics derived from a model of the multi-datacenter deployment to determine the stability of the deployment and/or adjust the model for increased stability. | 05-14-2015 |
20150135177 | METHOD AND SYSTEM FOR VIRTUAL PORT COMMUNICATIONS - A method and system may provide virtual port communications. A data frame, containing a destination identifier in a destination field and payload, may be modified by inserting a first virtual machine tag therein. The first virtual machine tag may include a first virtual port identifier for identifying a first logical interface of a first virtual machine on a virtual machine host. | 05-14-2015 |
20150135178 | MODIFYING VIRTUAL MACHINE COMMUNICATIONS - In one implementation, a host platform implemented on a computing device hosting one or more virtual machines determines that a communication generated by a virtual machine and intended for another virtual machine is to be transmitted to a network appliance. Consequently, the host platform modifies the communication generated by the virtual machine. | 05-14-2015 |
20150135179 | REMOTE PROVISIONING OF VIRTUAL MACHINES - In a virtual machine environment, virtual machines may be remotely provisioned on a virtual machine host. A server application on a machine remote from a network of the virtual machine host may receive provisioning parameters for a new virtual machine through a server application such as a web application. A client application is provided within the network of the virtual machine host which receives the provisioning parameters and triggers the provisioning of the new virtual machine on the virtual machine host. | 05-14-2015 |
20150135180 | REMOTE MANAGEMENT OF VIRTUAL MACHINES HOSTED IN A PRIVATE NETWORK - Management of virtual machines within a private network may be provided from a server application, such as a web application, on a machine remote from a private network. The server application receives management commands and communications the management commands in a vendor independent format to a client application within the private network. The client application receives the management commands, instantiates the management commands into a vendor specific definition and redirects the management commands to the virtual machine host for appropriate execution. | 05-14-2015 |
20150143362 | Enabling a Secure Environment Through Operating System Switching - Described systems and methods allow a host system, such as a computer or a smartphone, to enable a secure environment, which can be used to carry out secure communications with a remote service provider, for applications such as online banking, e-commerce, private messaging, and online gaming, among others. A hypervisor oversees a switch between an insecure environment and the secure environment, in response to a user input, or in response to an event such as receiving a telephone call. Switching from the insecure to the secure environment comprises transitioning the insecure environment to a sleeping state and loading the secure environment from a memory image (snapshot) saved to disk, after checking the integrity of the snapshot. Switching from the secure to the insecure environment comprises transitioning the secure environment into a sleeping state and waking up the insecure environment. | 05-21-2015 |
20150143363 | METHOD AND SYSTEM FOR MANAGING VIRTUAL MACHINES IN DISTRIBUTED COMPUTING ENVIRONMENT - The disclosed embodiments illustrate methods and systems for managing virtual machines in a distributed computing environment. The method includes determining an estimated execution time for each job type in one or more jobs received from users and subscribing to virtual machines from the distributed computing environment to execute jobs of each type. The virtual machines are subscribed based on service level agreement (SLA) terms, quality of service (QoS) metrics, and/or the estimated execution time. Further, an actual job execution time for each job executed on an associated subscribed virtual machine is monitored. Thereafter, one or more under-performing virtual machines are identified based on the actual execution time, the estimated execution time, and a tolerance value for each type of job. The one or more under-performing virtual machines are released, while a new set of virtual machines are re-subscribed, such that the SLA terms and the QoS metrics are met. | 05-21-2015 |
20150143364 | MANAGEMENT OF VIRTUAL MACHINE MIGRATION IN AN OPERATING ENVIRONMENT - Embodiments directed toward a method, and a system. A method can be directed toward deciding whether to accept a virtual machine migration on a host. The method can include receiving a request to host a migrated virtual machine. The method can include determining if the host is overcommitted. The method can include selecting a low priority virtual machine in response to the host being overcommitted. The method can include determining if a resource for the low priority virtual machine can be reduced to accommodate the migrated virtual machine. The method can include reducing the resources for the low priority virtual machine in response to the resources being able to be reduced. The method can include accepting the request to host the migrated virtual machine in response to the reduction of the resources. | 05-21-2015 |
20150143365 | INCREASING PERFORMANCE OF A STREAMING APPLICATION BY RUNNING EXPERIMENTAL PERMUTATIONS - A streams manager monitors performance of a streaming application, and when the performance needs to be improved, the streams manager automatically requests virtual machines from a cloud manager. In response, the cloud manager provisions one or more virtual machines in a cloud. The streams manager builds a permutation of a flow graph for the streaming application using the virtual machine(s), runs the permutation in parallel with the corresponding portion of the flow graph, and compares the performance of the original portion of the flow graph to the performance of the permutation. When the performance of the permutation exceeds the performance of the original part of the flow graph, the streams manager modifies the flow graph so the permutation replaces the original part of the flow graph. In this manner a streaming application can dynamically evolve to increase its performance as needed. | 05-21-2015 |
20150143366 | OPTIMIZING PLACEMENT OF VIRTUAL MACHINES - Provided is a method of identifying a virtual machine for placement in a cloud computing environment, wherein the cloud computing environment comprises multiple cloud computing systems. Workload requests of the virtual machine are segregated into fixed workload request and flexible workload request. An optimal cloud computing system is selected in the cloud computing environment to perform fixed workload request and/or flexible workload request of the virtual machine. | 05-21-2015 |
20150143367 | RESOURCE ALLOCATION IN CLOUD ENVIRONMENT - A method for resource allocation in a cloud environment detects a metrics parameter of at least a first virtual machine among a plurality of virtual machines inside a virtual system in the cloud environment; calculates a key performance indicator according to the metrics parameter, the key performance indicator indicating running status of at least the first virtual machine; and reallocates resources among at least the first virtual machine and other virtual machines within the virtual system based on the key performance indicator through the cloud environment in response to the key performance indicator indicating that at least the first virtual machine is overloaded. | 05-21-2015 |
20150143368 | Physical to Virtual Network Transport Function Abstraction - When the physical network is transitioned into a virtual network, functionality provided by physical ports are no longer available in the virtual machine (“VM”) environments. Physical to virtual network transport function abstraction may be implemented to provide software applications running in the VM with state information or similar information necessary for the software applications to continue running, without the physical ports that would provide such information in a physical system. In some embodiments, a virtual machine manager might send first information to a virtual infrastructure manager, which might send second information to a virtualized application manager or orchestrator. The virtualized application manager or orchestrator might in turn send third information to a virtualized application running in a virtual machine or container. The first, second, and/or third information might include state information (e.g., state change information) that enable software applications running in the VM to continue running, without physical ports. | 05-21-2015 |
20150143369 | Communications Method and System - A communications method and system. When a central control point learns that a virtual machine of a tenant is deployed on a first server, the central control point delivers, to the first server, a first local virtual local area network identifier (VLAN ID) pre-configured for the tenant, so that a virtual switch (vSwitch) of the first server stores a correspondence between the tenant and the first local VLAN ID and can control, according to the correspondence, communication between virtual machines of the tenant in a ToR switch to which the first server belongs; the central control point delivers, to a first ToR, a first mapping relationship that is between a global VLAN ID and the first local VLAN ID and is pre-configured for the tenant, so that the first ToR can control communication between virtual machines of the tenant in different servers according to the first mapping relationship. | 05-21-2015 |
20150143370 | IMAGE INSTANCE MAPPING - A method and system for image instance mapping is provided. The method includes receiving from change agents on virtual machine instances periodic monitoring data indicating changes for each virtual machine instance. The periodic monitoring data is analyzed and unique updates are applied to the virtual machine instances. High level semantic updates to the virtual machine instances are identified and updates associated with a golden master image are tracked. High level semantic updates to the golden master image are identified and in response, a version tree configured to track drift of each virtual machine instance with respect to golden master image is maintained. | 05-21-2015 |
20150143371 | TRANSLATING MEDIA ACCESS CONTROL (MAC) ADDRESSES IN A NETWORK HIERARCHY - In one embodiment a method includes receiving a packet including a destination media access control (MAC) address field having a MAC address of a hypervisor and a destination Internet protocol (IP) address field having an IP address of a virtual machine (VM) coupled to the hypervisor. The method further determines a MAC address of the VM using the IP address of the VM and applies the VM MAC address to the destination MAC address field of the packet to forward the packet to the VM. | 05-21-2015 |
20150143372 | METHOD FOR LIVE MIGRATION OF VIRTUAL MACHINES - A method and system for an assisted live migration of virtual machines are provided. The method monitoring, by an advisory server, at least a workload of physical machines in a datacenter; determining if at least one physical machine is overloaded based on the monitored workload; for each of the at least one physical machine determined to be overloaded, selecting at least one virtual machine resides in the respective physical machine, wherein the selection is based at least on a current load of the virtual machine; and initiating a live migration of the selected virtual machine when the current load is lower than a comfort load level. | 05-21-2015 |
20150143373 | HIGH-PERFORMANCE VIRTUAL MACHINE NETWORKING - A virtual machine (VM) runs on system hardware, which includes a physical network interface device that enables transfer of packets between the VM and a destination over a network. A virtual machine monitor (VMM) exports a hardware interface to the VM and runs on a kernel, which forms a system software layer between the VMM and the system hardware. Pending packets (both transmit and receive) issued by the VM are stored in a memory region that is shared by, that is, addressable by, the VM, the VMM, and the kernel. Rather than always transferring each packet as it is issued, packets are clustered in the shared memory region until a trigger event occurs, whereupon the cluster of packets is passed as a group to the physical network interface device. Optional mechanisms are included to prevent packets from waiting too long in the shared memory space before being transferred to the network. An interrupt offloading mechanism is also disclosed for use in multiprocessor systems such that it is in most cases unnecessary to interrupt the VM in order to request a VMM action, and the need for VMM-to-kernel context transitions is reduced. | 05-21-2015 |
20150143374 | SECURING AN INTERNET ENDPOINT USING FINE-GRAINED OPERATING SYSTEM VIRTUALIZATION - Approaches for executing untrusted software on a client without compromising the client using micro-virtualization to execute untrusted software in isolated contexts. A template for instantiating a virtual machine on a client is identified in response to receiving a request to execute an application. After the template is identified, without human intervention, a virtual machine is instantiated, using the template, in which the application is to be executed. The template may be selected from a plurality of templates based on the nature of the request, as each template describe characteristics of a virtual machine suitable for a different type of activity. When the client determines that the application has ceased to execute, the client ceases execution of the virtual machine without human intervention. | 05-21-2015 |
20150149995 | IMPLEMENTING DYNAMIC VIRTUALIZATION OF AN SRIOV CAPABLE SAS ADAPTER - A method, system and computer program product are provided for implementing dynamic virtualization of a Single Root Input/Output Virtualization (SRIOV) capable Serial Attached SCSI (SAS) adapter. The SRIOV SAS adapter includes a plurality of virtual functions (VFs). Each individual Host Bus Adapter (HBA) resource is enabled to be explicitly assigned to a virtual function (VF); and each VF being enabled to be assigned to a system partition. Multiple VFs are enabled to be assigned to a single system partition. | 05-28-2015 |
20150149996 | HYPERVISOR DYNAMICALLY ASSIGNED INPUT/OUTPUT RESOURCES FOR VIRTUAL DEVICES - Systems and methods are disclosed for assigning an I/O resource to a virtual PCI device. An example system includes an I/O resource table that stores a pool of one or more available I/O resources for assignment to one or more virtual PCI devices. The system also includes a hypervisor that maintains the pool of I/O resources, assigns one or more I/O resources from the pool to a virtual PCI device, and notifies a guest running on a virtual machine that the one or more I/O resources is assigned to the virtual PCI device. The hypervisor and virtual machine are executable on a host, and the virtual PCI device is exposed to the guest. | 05-28-2015 |
20150149997 | FACILITATING EXECUTION OF MMIO BASED INSTRUCTIONS - A hypervisor of a host receives an indication of an exit from a guest to the hypervisor and a memory-mapped input output (MMIO) address that caused the exit to hypervisor. The hypervisor walks a page table of the guest to identify a guest address associated with the MMIO address that caused the exit to the hypervisor. The hypervisor decodes an instruction of the guest referenced by the guest address to determine a type of operation that the guest intended to execute and a location of MMIO information. The hypervisor records, in a page table entry for the MMIO address of a host page table, an association with the type of operation and the location of the MMIO information. The hypervisor executes the operation on behalf of the guest based on the type of the operation and the MMIO information. | 05-28-2015 |
20150149998 | USING ALTERNATE PORT NAME FOR UNINTERRUPTED COMMUNICATION - In a method for communicating in a computing environment, a first computer establishing communication with a first virtual computer through a first virtual port using a primary port name for the first virtual port, wherein the first virtual computer is executing on a second computer. The first computer acquires an alternate port name for the first virtual port from the first virtual computer executing on the second computer. The first computer determines that the first virtual computer is not accessible using the primary port name for the first virtual port, wherein the first virtual computer is migrated to execute on a third computer. The first computer establishes communication with the first virtual computer executing on the third computer using the alternate port name of the first virtual port. | 05-28-2015 |
20150149999 | VIRTUAL MACHINE GROUP MIGRATION - A plurality of virtual machines (VMs) is migrated from a source group to a destination group in such as way as to achieve consistency and either availability or group preservation. Execution of VMs in the source group is selectively delayed during state migration so that memory transfer of all the VMs in the group will converge roughly at the same time. After VM state transfer to the destination group, execution switch-over is coordinated using different handshake and acknowledgement messages, passed either through a “leader” VM in each group, or directly between source-destination VM pairs. | 05-28-2015 |
20150150000 | USING ALTERNATE PORT NAME FOR UNINTERRUPTED COMMUNICATION - In a method for communicating in a computing environment, a first computer establishing communication with a first virtual computer through a first virtual port using a primary port name for the first virtual port, wherein the first virtual computer is executing on a second computer. The first computer acquires an alternate port name for the first virtual port from the first virtual computer executing on the second computer. The first computer determines that the first virtual computer is not accessible using the primary port name for the first virtual port, wherein the first virtual computer is migrated to execute on a third computer. The first computer establishes communication with the first virtual computer executing on the third computer using the alternate port name of the first virtual port. | 05-28-2015 |
20150150001 | HOST RESERVATION SYSTEM - A provisioning server receives a reserve command with a set of criteria and searches for a host matching the criteria. Upon finding such a host, the provisioning server reserves the host for performing a virtualization test. Upon receiving or generating a release command, the provisioning server releases the host. | 05-28-2015 |
20150150002 | TIERED EVICTION OF INSTANCES OF EXECUTING PROCESSES - In one example embodiment, an instance of a virtual machine to evict may be identified. A determination may be made within a predetermined range of probabilities, that processing on the identified instance of the virtual machine will resume within a predetermined range of time. Thus, the identified instance of the virtual machine may be softly evicted by allocating processing resources away from the identified instance of the virtual machine, receiving an instruction to resume the processing on the identified instance of the virtual machine, and restoring the processing on the identified instance of the virtual machine. | 05-28-2015 |
20150150003 | METHOD FOR TARGETED RESOURCE VIRTUALIZATION IN CONTAINERS - A method and computer program product for targeted container virtualization, where only separate components of a computer system or a server are virtualized. The OS kernel and other server resources are not virtualized. Only selected components—applications or resources are targeted for virtualization instead of virtualization of the entire system. Targeted virtualization provides for more flexible container isolation from each other and from a host node. This, in turn, provides for optimized more flexible cloud infrastructure. Each element within a container virtualization model is optional in terms of virtualization. The element's virtualization option can be turned on and off by an administrator or by a client who owns the container. | 05-28-2015 |
20150150004 | DETERMINING VIRTUAL MACHINE PLACEMENT - A technique includes providing a candidate configuration for virtual machines specifying where the virtual machines are stored in a plurality of hardware containers. The candidate configuration is selectively modified to generate another candidate configuration specifying where the virtual machines are stored in the plurality of hardware containers based at least in part on communication capacities that are associated with the hardware containers. The placement of the virtual machines is determined based at least in part on the selective modification. | 05-28-2015 |
20150150005 | METHOD OF CONFIGURING A VIRTUAL APPLICATION - A method of modifying a virtual application configuration to include modifications made at runtime by a virtual application that is implemented by a first virtualized application file that was created previously using the virtual application configuration. At runtime, the virtual application implemented by the first virtualized application file stores modifications to its configuration in a sandbox memory location. The method includes reading the modifications from the sandbox memory location. Then, the modifications are written to the virtual application configuration. The modified virtual application configuration is then used to create a second virtualized application file that includes the modifications stored in the sandbox memory location. | 05-28-2015 |
20150150006 | ENFORCEMENT OF COMPLIANCE POLICIES IN MANAGED VIRTUAL SYSTEMS - Techniques are disclosed for controlling and managing virtual machines and other such virtual systems. VM execution approval is based on compliance with policies controlling various aspects of VM. The techniques can be employed to benefit all virtual environments, such as virtual machines, virtual appliances, and virtual applications. For ease of discussion herein, assume that a virtual machine (VM) represents each of these environments. In one particular embodiment, a systems management partition (SMP) is created inside the VM to provide a persistent and resilient storage for management information (e.g., logical and physical VM metadata). The SMP can also be used as a staging area for installing additional content or agentry on the VM when the VM is executed. Remote storage of management information can also be used. The VM management information can then be made available for pre-execution processing, including policy-based compliance testing. | 05-28-2015 |
20150150007 | SESSION MONITORING OF VIRTUAL DESKTOPS IN A VIRTUAL MACHINE FARM - Disclosed are techniques for determining the status of virtual machine sessions on a computing device for a user by reading from a memory location written to by a program executing within a virtual machine. The memory location is preferably a registry key that contains the status of a remote user session operating on a guest operating system operational on the virtual machine, the virtual machine executing in a virtual environment comprising a plurality of virtual machines operating on a computing device. | 05-28-2015 |
20150150008 | METHOD AND SYSTEM FOR THE PROTECTED STORAGE OF DOWNLOADED MEDIA CONTENT VIA A VIRTUALIZED PLATFORM - A method and system for the protected storage of downloaded media content via a virtualized platform. A method comprises downloading content to a special purpose virtual machine and then storing the downloaded content at a location, where the location is only accessible via the special purpose virtual machine. The stored content is then streamed over a virtual network to a general purpose virtual machine, where the special purpose virtual machine and the general purpose virtual machine exist on the same personal computer (PC). | 05-28-2015 |
20150293772 | VIRTUAL SWITCH AND VIRTUAL SWITCH PORT MANAGEMENT FOR VM AVAILABILITY - Techniques for virtual switch and virtual switch port management for VM availability in a cluster are described. In one example embodiment, a determination is made as to whether a virtual switch port on a first virtual switch associated with a first VM network is available for powering on the VM on a first host computing system. Based on the outcome of the determination either further determination is then made as to whether a virtual switch port on a second virtual switch associated with the first VM network is available to power on the VM on a second host computing system or migration of the VM in a power-off state is initiated to the second host computing system and powered-on on the second host computing system via the virtual switch port on the second virtual switch associated with the first VM network associated. | 10-15-2015 |
20150293773 | VIRTUAL MACHINES - A method for use in a computer network comprises a proxy separately establishing a connection with a virtual machine VM running on a first server and establishing a connection with a client to enable the client to access the VM on the first server. Upon the proxy detecting a disconnection with the VM on the first server, it obtains information of a current position of the VM from a management platform, and, once the proxy obtains the information, if the information indicates that the VM is running on a second server, the proxy establishes a connection with the second server based on the obtained information so as to enable the client to access the VM on the second server. | 10-15-2015 |
20150293774 | DATA PROCESSING SYSTEMS - A data processing system includes one or more processors that each execute one or more operating systems that include one or more applications; an accelerator that provides a shared resource for a plurality of the applications; a storage area accessible by the processors and the accelerator; and one or more input/output interfaces for control of, or the submission of tasks to, the accelerator. To initialise one of the input/output interfaces, one of the one or more processors is capable of sending a first signal to the accelerator; the accelerator is capable of writing one or more selected pieces of information representative of one or more capabilities of the accelerator to the storage area and sending a second signal to the processor; the processor is capable of reading the one or more selected pieces of information from the storage area; and the accelerator is capable of configuring the input/output interface. | 10-15-2015 |
20150293775 | DATA PROCESSING SYSTEMS - A data processing system comprises one or more processors that each execute one or more operating systems. Each operating system includes one or more applications. The system also comprises an accelerator that provides a shared resource for a plurality of the applications, an input/output module comprising one or more input/output interfaces for the submission of tasks to the accelerator, a hypervisor that manages the allocation of the input/output interfaces to the one or more operating systems and a storage area accessible by the hypervisor and the accelerator. The accelerator is capable of writing one or more selected pieces of information representative of one or more scheduling statistics of the accelerator periodically to the storage area without having received a request for the one or more selected pieces of information from the hypervisor. | 10-15-2015 |
20150293776 | DATA PROCESSING SYSTEMS - A data processing system includes one or more processors that each execute one or more operating systems. Each operating system includes one or more applications. An accelerator provides a shared resource for a plurality of the applications and has one or more input/output interfaces for the submission of tasks to the accelerator from an application. A hypervisor manages the allocation of the input/output interfaces to the one or more operating systems and a hypervisor interface enables communication between the hypervisor and the accelerator. The system is capable of being configured such that an operating system that has been allocated an input/output interface is capable of communicating with the accelerator via the input/output interface independently of the hypervisor. A memory management unit is capable of providing an isolated region of a memory for use by the operating system whilst the operating system retains its allocated input/output interface. | 10-15-2015 |
20150293778 | VIRTUAL DISPERSIVE NETWORKING SYSTEMS AND METHODS - A method for network communications from a first device to a second device includes communicating data from the first device to the second device by spawning a first virtual machine for a first network connection that virtualizes network capabilities of the electronic device, and using the virtualized network capabilities of the first virtual machine, transmitting a plurality of packets for communication to a first network address and port combination associated with the second device. The method further includes repeatedly changing to a respective another network address and port combination by repeatedly spawning a respective another virtual machine for a respective another network connection that virtualizes network capabilities of the electronic device, and using the virtualized network capabilities of the spawned respective another virtual machine, transmitting a plurality of packets for communication to the respective another network address and port combination associated with the second device. | 10-15-2015 |
20150293790 | METHOD AND SYSTEM FOR DRIVING VIRTUAL MACHINE - Provided herein a method for driving a virtual machine, the method including providing a plurality of virtual machines and a virtual machine monitor configured to manage the plurality of virtual machines; generating, by the plurality of virtual machines, memory management information, that is information on memory being used by the plurality of virtual machines; and determining, by the virtual machine monitor, whether or not a virtual machine is a victim virtual machine from which memory needs to be retrieved or whether or not the virtual machine is a beneficiary virtual machine where memory needs to be allocated, based on the memory management information. | 10-15-2015 |
20150293830 | DISPLAYING STORAGE PERFORMANCE INFORMATION - Virtual machine data records are obtained from a virtual system manager that manages one or more virtual machines. Storage data records are obtained from a storage controller. The virtual machine data records include one or more particular virtual machine data records relating to a particular virtual machine and identify a particular volume that is configured for use by the particular virtual machine. The storage data records including one or more particular storage data records that specify performance information associated with the particular volume. Based on information in the particular virtual machine data records and information in the particular storage data records, it is determined that the particular storage data records are related to the particular volume used by the particular virtual machine. Graphical user interface(s) displaying virtual machine information relating to the particular virtual machine in association with volume performance information relating to the particular volume are displayed. | 10-15-2015 |
20150293836 | CREATING SOFTWARE PERFORMANCE TESTING ENVIRONMENT BASED ON VIRTUAL MACHINE - A method for creating a software performance testing environment based on a virtual machine, wherein the method comprises: in response to obtaining a hard disk read/write request triggered by a virtual CPU of the virtual machine, notifying a virtual CPU scheduler to record a CPU time quota t1 already consumed by the virtual CPU in a current CPU schedule period; in response to detecting completion of hard disk read/write processing corresponding to the hard disk read/write request, predicting a hard disk read/write latency t corresponding to the hard disk read/write request in a target environment; notifying the virtual CPU scheduler to determine a CPU time quota already consumed by the virtual CPU in the current CPU schedule period based on the recorded CPU time quota t1 and the hard disk read/write latency t; and adjusting a system clock of the virtual machine based on the determined CPU time quota already consumed by the virtual CPU in the current CPU schedule period. The method may obtain, in the created software performance testing environment, a software performance testing result consistent with the result obtained under a highly configured server in the target environment. | 10-15-2015 |
20150301842 | DETERMINING OPTIMAL METHODS FOR CREATING VIRTUAL MACHINES - A computer receives at least one requirement for a new VM. The computer identifies an existing VM to be modified during the generation of the new VM. The computer determines at least one step necessary to create the new VM configuration from the existing VM. The computer presents at least one pathway to the new VM from the existing VM. The computer receives a selection of a presented pathway to create the new VM. | 10-22-2015 |
20150301843 | Content-Based Swap Candidate Selection - Techniques for building a list of swap candidate pages for host swapping are provided. In one embodiment, a host system can determine a swap target virtual machine (VM) and a target number of swap candidate pages. The host system can further select a memory page from a memory space of the swap target VM and can check whether the memory page is sharable or compressible. If the memory page is sharable or compressible, the host system can add the memory page to the list of swap candidate pages. | 10-22-2015 |
20150301844 | SHADOW VNICS FOR THE CONTROL AND OBSERVABILITY OF IO VIRTUAL FUNCTIONS - A method for controlling a network interface controller (NIC). The method includes receiving, by a host operating system (OS) executing on a computer system, an instruction to map the NIC virtual function (VF) to a first virtual machine executing on the computer system. The method further includes allocating, according to the NIC VF, first NIC resources on a physical NIC operatively connected to the computer system, mapping the NIC VF to the first virtual machine, creating, in the host OS, a shadow virtual NIC for the first NIC resources allocated to the NIC VF, assigning the shadow virtual NIC to the first virtual machine, receiving, by the physical NIC, a first packet targeting the first virtual machine, and sending the first packet directly to the first virtual machine. | 10-22-2015 |
20150301845 | Method And System For Closing Application - A method and system for closing an application program are provided. The method comprises: a deployment platform determining a virtual machine relevant to an application system according to configuration information of the application system when the application system is to be closed; and the deployment platform sending an indication message for closing the application system to the virtual machine relevant to the application system, wherein, the indication message for closing the application system is used for indicating the virtual machine relevant to the application system to close application programs in the application system in sequence. Through the above-mentioned technical scheme, the deployment platform indicates a virtual machine relevant to an application system required to be closed to close application programs in the application system in sequence, which makes multiple application programs of the application system deployed on multiple virtual machines can be closed in sequence. | 10-22-2015 |
20150301846 | Automated Network Configuration of Virtual Machines in a Virtual Lab Environment - Methods, systems, and computer programs for creating virtual machines (VM) and associated networks in a virtual infrastructure are presented. The method defines virtual network templates in a database, where each virtual network template includes network specifications. A configuration of a virtual system is created, which includes VMs, virtual lab networks associated with virtual network templates, and connections from the VMs to the virtual lab networks. Further, the configuration is deployed in the virtual infrastructure resulting in a deployed configuration. The deployment of the configuration includes instantiating in the virtual infrastructure the VMs of the configuration, instantiating in the virtual infrastructure the virtual lab networks, retrieving information from the database, and creating and executing programming instructions for the VMs. The database information includes the network specifications from the virtual network templates associated with the virtual lab networks, and network resources for the virtual lab networks from a pool of available network resources. The programming instructions are created for the particular Guest Operating System (GOS) running in each VM based on the GOS and on the retrieved database information. When executed in the corresponding VM GOS, the programming instructions configure the VMs network interfaces with the corresponding network specifications. | 10-22-2015 |
20150301847 | Environment Virtualization - An environment virtualization infrastructure (EVI) is made up of storage, network, and compute elements which are virtualized in a virtual platform that is implemented on a hardware platform. In some embodiments, the EVI is dynamic and is expressed as a collection of downloadable data structures. The virtual platform can include an EVI with a definable topology and an emulator that configures various components of the EVI automatically. In some embodiments, the emulator is invoked via an Application Programming Interface. The EVI can be implemented as a Software as a Service. In some embodiments, the EVI includes virtual environments that have routers, switches, operating systems, and software applications. | 10-22-2015 |
20150301848 | METHOD AND SYSTEM FOR MIGRATION OF PROCESSES IN HETEROGENEOUS COMPUTING ENVIRONMENTS - Migrating a process from a source system with a source operating system to a target system with a target operating system is provided, where the source and target systems or source and target operating system are incompatible. The migrating includes: employing an emulator at the target system to execute code associated with the process being migrated, the emulator performing: translating of system calls and runtime library calls for the source operating system to calls of the target operating system using a system call translator and runtime library translator; translating source application code associated with the process into binary target application code executable on the target system, using a compiler where the source application code has not been translated; and executing the translated binary target application code on the target system, and discontinuing emulation of the process at the target system once the executing begins. | 10-22-2015 |
20150301849 | APPARATUS AND METHOD FOR VALIDATING APPLICATION DEPLOYMENT TOPOLOGY IN CLOUD COMPUTING ENVIRONMENT - The present invention relates to an apparatus and a method for validating application deployment topology in a cloud environment. There is provided an apparatus for validating application deployment topology in a cloud environment comprising: a topology skeleton generator configured to generate, based on multiple VMs and script packages running on the VMs created by a user and required to deploy an application as well as running order of script packages and data dependency between script packages set by the user, a topology skeleton that comprises at least scripts of script packages of respective VMs and running order of the script packages; and a simulator configured to simulate a runtime environment in the cloud environment at the apparatus, thereby validating the running order and data dependency with respect to the topology skeleton, wherein the simulator is installed in the apparatus by using a simulator installation package retrieved from the cloud environment. | 10-22-2015 |
20150301850 | APPARATUS AND METHOD FOR PROVIDING VIRTUALIZATION SERVICES - An apparatus and method for providing virtualization services in a mobile device are provided. The virtualization service providing apparatus includes an installer module configured to receive a hypervisor image and an agent for installing the hypervisor image, from a host server, a virtualization service module configured to store the hypervisor image and the agent and to transmit a request for rebooting the mobile device, in response to determining that the hypervisor image and the agent are authenticated by an authentication server, and a power management module configured to receive the request, and to reboot the mobile device. | 10-22-2015 |
20150301851 | MANAGING A SERVER TEMPLATE - A non-transitory computer-readable storage medium may comprise instructions for managing a server template stored thereon. When executed by at least one processor, the instructions may be configured to cause at least one computing system to at least convert the server template to a corresponding virtual machine, manage the corresponding virtual machine, and convert the corresponding virtual machine back into a template format. | 10-22-2015 |
20150301852 | SYSTEM FOR DOWNLOADING AND EXECUTING A VIRTUAL APPLICATION - A virtual process manager for use with a client application. Both the virtual process manager and the client application are installed on a client computing device. The client application is configured to receive a user command to execute a virtual application at least partially implemented by a virtualized application file stored on a remote computing device. In response to the user command, the client application commands to the virtual process manager to execute the virtualized application file. Without additional user input, the virtual process manager downloads the virtualized application file from the remote computing device and executes the virtual application at least partially implemented by the downloaded virtualized application file on the client computing device. The client application may comprise a conventional web browser or operating system shell process. | 10-22-2015 |
20150301868 | SHARED RESOURCE SEGMENTATION - Methods and systems for resource segmentation include dividing a time horizon to be partitioned into time slots based on a minimum partition size; determining resource usage for multiple virtual machines in each of the plurality of time slots; determining a set of partitioning schemes that includes every possible partitioning of the time slots into a fixed number of partitions; for each partitioning scheme in the set of partitioning schemes, determining a cost using a processor based on a duration of each partition and a resource usage metric; and selecting a partitioning scheme that has a lowest cost. | 10-22-2015 |
20150309816 | ADMINISTERING VIRTUAL MACHINES IN A DISTRIBUTED COMPUTING ENVIRONMENT - In a distributed computing environment that includes hosts which each execute a VMM, with each VMM supporting execution of one or more VMs, administering a the VMs may include: assigning, by a VMM manager, the VMMs of the distributed computing environment to a logical tree topology, including assigning one of the VMMs as a root VMM of the tree topology; and executing, amongst the VMMs of the tree topology, an allgather operation, including: sending, by the root VMM, to other VMMs in the tree topology, a request to retrieve VMs supported by the other VMMs; pausing, by each of the other VMMs, a VM supported by the VMM; providing, by each of the other VMMs as a response to the root VMM's request, the paused VM; and broadcasting, by the root VM to the other VMMs as a set of VMs, the received VMs. | 10-29-2015 |
20150309818 | METHOD OF VIRTUAL MACHINE MIGRATION USING SOFTWARE DEFINED NETWORKING - The present invention relates to a method of virtual machine migration, which uses the protocol of the software defined networking technology. When a virtual machine is migrated across domains, the local controller will be notified rapidly for submitting the information of the virtual machine to the switch in advance. Thereby, without modifying the network configuration, the migrated virtual machine can provide service continuously; the optimal routing is achieved and thus improving the problem of triangle routing effectively. | 10-29-2015 |
20150309819 | CORRELATING A UNIQUE IDENTIFIER OF AN INDEPENDENT SERVER NODE WITH A LOCATION IN A PRE-CONFIGURED HYPER-CONVERGED COMPUTING DEVICE - A pre-configured hyper-converged computing device for supporting a virtualization infrastructure includes a first independent server node at a first location comprising a first server node unique identifier, a second independent server node at a second location comprising a second server node unique identifier. The first server node unique identifier correlates to the first location. The second server node unique identifier correlates to the second location such that an exact location of the first or second independent server node are determined within the pre-configured hyper-converged computing device. | 10-29-2015 |
20150309820 | Mobile Device With Virtual Interfaces - Mobile devices, systems and methods are described with a plurality of virtual machines, wherein each virtual machine executes a separate virtual interface, or guest operating system. Each guest operating system corresponds to a different virtual device having its own contact list, applications, and so on. A virtual “device” can be controlled by an employer or service provider, and is a secure space that provides authenticated applications that are walled off from another virtual device. A host operating system provides a hardware abstraction layer. A proxy server on the host operating system receives an incoming signal from a remote device on the external network, and routes the incoming signal to one of the first and second virtual machines based on a call context. A method and computer program product for providing a plurality of virtual interfaces on a mobile device are also disclosed. | 10-29-2015 |
20150309823 | ADMINISTERING VIRTUAL MACHINES IN A DISTRIBUTED COMPUTING ENVIRONMENT - In a distributed computing environment that includes hosts that execute a VMM, with each VMM supporting execution of one or more VMs, administering VMs may include: assigning, by a VMM manager, the VMMs of the distributed computing environment to a logical tree topology, including assigning one of the VMMs as a root VMM of the tree topology; and executing, amongst the VMMs of the tree topology, a scatter operation, including: pausing, by the root VMM one or more executing VMs; storing, by the root VMM in a buffer, a plurality of VMs to scatter amongst the other VMMs of the tree topology; and sending, by the root VMM, to each of the other VMMs of the tree topology a different one of the VMs stored in the buffer. | 10-29-2015 |
20150309824 | ADMINISTERING VIRTUAL MACHINES IN A DISTRIBUTED COMPUTING ENVIRONMENT - In a distributed computing environment that includes hosts that execute a VMM, where each VMM supports execution of one or more VMs, administering VMs may include: assigning, by a VMM manager, the VMMs of the distributed computing environment to a logical tree topology, including assigning one of the VMMs as a root VMM of the tree topology; and executing, amongst the VMMs of the tree topology, a broadcast operation, including: pausing, by the root VMM, execution of one or more VMs supported by the root VMM; sending, by the root VMM, to other VMMs in the tree topology, a message indicating a pending transfer of the paused VMs; and transferring the paused VMs from the root VMM to the other VMMs. | 10-29-2015 |
20150309825 | METHOD AND SYSTEM FOR SUPPORTING A CHANGE IN STATE WITHIN A CLUSTER OF HOST COMPUTERS THAT RUN VIRTUAL MACHINES - A method for supporting a change in state within a cluster of host computers that run virtual machines is disclosed. The method involves identifying a change in state within a cluster of host computers that run virtual machines, determining if predefined criteria for available resources within the cluster of host computers can be met by resources available in the cluster of host computers, and determining if predefined criteria for available resources within the cluster of host computers can be maintained after at least one different predefined change in state. In an embodiment, the steps of this method may be implemented in a non-transitory computer-readable storage medium having instructions that, when executed in a computing device, causes the computing device to carry out the steps. | 10-29-2015 |
20150309826 | METHOD AND SYSTEM FOR GENERATING REMEDIATION OPTIONS WITHIN A CLUSTER OF HOST COMPUTERS THAT RUN VIRTUAL MACHINES - A method for adjusting the configuration of host computers in a cluster on which virtual machines are running in response to a failed change in state is disclosed. The method involves receiving at least one reason a change in state failed the present check or the future check, associating the at least one reason with at least one remediation action, wherein the remediation action would allow the change in state to pass both a present check and a future check, assigning the at least one remediation action a cost, and determining a set of remediation actions to perform based on the cost assigned to each remediation action. In an embodiment, the steps of this method may be implemented in a non-transitory computer-readable storage medium having instructions that, when executed in a computing device, causes the computing device to carry out the steps. | 10-29-2015 |
20150309827 | CONVERTING VIRTUAL MACHINE I/O REQUESTS - Systems, computer readable mediums, and techniques are described for converting virtual machine input/output (I/O) requests. One of the techniques includes obtaining access request data for one or more virtual machines (VMs) executing on a physical machine, wherein the access request data characterizes data access requests received from the one or more VMs; classifying, using the access request data, each of the one or more VMs as having either a sequential data access pattern or a random data access pattern; receiving a first I/O request packet from a first VM of the one or more VMs; determining that the first VM has been classified as having a random data access pattern; and splitting the first I/O request packet into a plurality of second I/O request packets based at least in part on determining that the first VM has been classified as having a random data access pattern. | 10-29-2015 |
20150309828 | HYPERVISOR MANAGER FOR VIRTUAL MACHINE MANAGEMENT - Adaptive virtual servers with hypervisor managers may be used to manage several hypervisors, including hypervisors of different types. An adaptive virtual server may monitor resource utilization of virtual machines and dynamically assign resources to the virtual machines. Dynamic allocation of resources may improve efficiency for usage of available resources and improve performance of the virtual machines. Further, an adaptive virtual server may allocate resources to a virtual machine from multiple hypervisors, including hypervisors of different types. | 10-29-2015 |
20150309829 | PROVIDING EXCESS COMPUTE RESOURCES WITH VIRTUALIZATION - A main operating system interface engine can be configured to receive instructions from a main operating system of one or more host systems and can manage a virtualized operating system on the one or more host systems, the virtualized operating system appearing distinct from the main operating system to a user of the one or more host systems. A virtualization environment management engine can manage a virtualization environment, the virtualization environment using the virtualized operating system. A virtual machine management engine can manage one or more virtual machine instances in the virtualization environment, each of the one or more virtual machine instances operative to provide virtualized resources of the one or more host systems for a compute access system coupled to the one or more host systems. | 10-29-2015 |
20150309830 | ESTIMATING MIGRATION COSTS FOR MIGRATING LOGICAL PARTITIONS WITHIN A VIRTUALIZED COMPUTING ENVIRONMENT BASED ON A MIGRATION COST HISTORY - Responsive to a hypervisor determining that insufficient local resources are available for reservation to meet a performance parameter for at least one resource specified in a reservation request for a particular logical partition managed by the hypervisor in a host system, the hypervisor identifies another logical partition managed by the hypervisor in the host system that is assigned at the least one resource meeting the performance parameter specified in the reservation request. The hypervisor estimates a first cost of migrating the particular logical partition and a second cost of migrating the another logical partition to at least one other host system communicatively connected in a peer-to-peer network based on at least one previously recorded cost stored by the host system of migrating a previous logical partition to the at least one other host system. | 10-29-2015 |
20150309831 | FIGHT-THROUGH NODES WITH DISPOSABLE VIRTUAL MACHINES AND ROLLBACK OF PERSISTENT STATE - A server system receives messages from client computing devices. Each of the messages corresponds to a transaction. The server system assigns each respective transaction to a respective fresh virtual machine. Furthermore, the server system performs, as part of a respective virtual machine processing a respective transaction, a modification associated with the respective transaction to a shared database. The shared database is persisted independently of the plurality of virtual machines. In response to determining that processing of the respective transaction is complete, the server system discards the respective virtual machine. In response to a trigger, such as determining that the respective transaction is associated with a cyber-attack, the server system uses checkpoint data associated with the respective transaction to roll back the modifications associated with the respective transaction to the shared database. | 10-29-2015 |
20150309832 | Isolation Method for Management Virtual Machine and Apparatus - An isolation method for a management virtual machine and an apparatus, which resolves problems that performance of communication between service components is deteriorated, more resources are required for running a virtual machine, and security of the service components is relatively low. The method includes: acquiring a guest identifier; searching, according to the guest identifier, the management virtual machine for a kernel virtual machine; when the kernel virtual machine is not found in the management virtual machine, creating the kernel virtual machine in the management virtual machine; dividing a service provided for a guest virtual machine by the kernel virtual machine into multiple service components; and running the multiple service components in execution environments corresponding to permission of the service components, where the kernel virtual machine includes the multiple execution environments, and the multiple execution environment have different permission. | 10-29-2015 |
20150309841 | INFORMATION PROCESSING SYSTEM, METHOD OF CONTROLLING INFORMATION PROCESSING SYSTEM AND STORAGE MEDIUM - An information processing system includes: a plurality of processors configured to cause a virtual machine on each processor to be operated or terminated; a plurality of storage devices; and a control device configured to: collect processing loads of the respective processors, cause a first virtual machine which operates on a first processor in which a frequency of a processing load exceeding a first threshold exceeds a first proportion, to be terminated, cause a second processor which is stopped among the plurality of processors, to be operated, and cause a first storage device which is coupled with the terminated first virtual machine among the plurality of storage devices, to be coupled with the operated second processor. | 10-29-2015 |
20150310056 | VIRTUAL BLOCK DEVICES - Methods, systems, and apparatus, including computer programs encoded on a computer storage medium, for virtual block storage. In one aspect, a method includes receiving a request to initialize a virtual machine, the virtual machine having an associated virtual block device; accessing a file map comprising a plurality of file map entries; determining file map entries corresponding to blocks of data allocated to the virtual block device and one or more files in which the blocks of data allocated to the virtual block device are stored; determining that a particular one of the blocks allocated to the virtual block device has been written to a new position not associated with the particular block in the file map; and updating the position associated with the particular block to the new position. | 10-29-2015 |
20150317082 | Automatically Managing the Storage of a Virtual Machine - Mechanisms are provided for automatically expanding a virtual storage of a virtual machine. The virtual machine monitors a usage of the virtual storage of the virtual machine. The virtual machine determines, based on the monitoring of the usage of the virtual storage, whether to expand the virtual storage of the virtual machine. In response to the virtual machine determining to expand the virtual storage of the virtual machine, a virtual machine manager executes one or more operations to expand the virtual storage. The monitoring and determining may be performed by a virtual storage management agent executing within the virtual machine and which may send an expansion request to an authorization engine to request expansion of the virtual storage. | 11-05-2015 |
20150317173 | OPTIMIZATION OF VIRTUAL MACHINES - A resource reservoir is provided. The resource reservoir is a pool of resources that are allocated to and shared between a plurality of reserve virtual machines. A reserve virtual machine of the plurality of reserve virtual machines corresponds to a primary virtual machine from a plurality of primary virtual machines. Each reserve virtual machine of the plurality of reserve virtual machines fulfills a resource requirement from the resource reservoir. The sum of the resources requirements of the plurality of reserve virtual machines is greater than a sum of total resources within the resource reservoir. In response to determining a decrease in performance of the primary virtual machine, at least a portion of workload of the primary virtual machine is applied to the corresponding reserve virtual machine. The resources used by the reserve virtual are indicated as unavailable to the remaining reserve virtual machines from the resource reservoir. | 11-05-2015 |
20150317174 | HOST PROVIDING SYSTEM AND HOST PROVIDING METHOD - A host providing system includes a physical host managing node which controls one or more physical servers and can manage a usage state of a physical host formed in the physical server, and thus the physical server for forming a physical host can be controlled in the system. In addition, a single physical host managing node is selected depending on instance type information included in an instance starting request from a user terminal, and the instance starting request is sent to the selected physical host managing node. Accordingly, it is possible to provide a physical instance complying with a user's request as a physical host. | 11-05-2015 |
20150317175 | VIRTUAL MACHINE SYNCHRONIZATION SYSTEM - A virtual machine synchronization system for continually synchronizing, when an image file in a primary virtual machine is updated, an image file of a standby virtual machine with a difference from the image file before an update. A representative embodiment of the present invention includes: a management server; a primary agent which acquires a difference when an image file of a primary virtual machine is updated, and transmits the difference to the management server; and a standby agent which acquires, from the management server, a difference to be synchronized with an image file of a standby virtual machine, and synchronizes with the image file of the standby virtual machine. When the difference is received from the primary agent, the management server registers the difference in a primary machine condition table, and when a request for the difference to be synchronized with the image file of the standby virtual machine is received from the standby agent, the management server acquires the difference which is recorded in the primary machine condition table and transmits the difference to the standby agent. | 11-05-2015 |
20150317176 | SYSTEMS AND METHODS FOR ENABLING VALUE ADDED SERVICES FOR EXTENSIBLE STORAGE DEVICES OVER A NETWORK VIA NVME CONTROLLER - A new approach is proposed that contemplates systems and methods to support a plurality of value-added services for storage operations on a plurality of remote storage devices virtualized as extensible/flexible storages and NVMe namespace(s) via an NVMe controller in real time. First, the NVMe controller virtualizes and presents the remote storage devices to one or more VMs running on a host attached to the NVMe controller as logical volumes so that each of the VMs running on the host can perform read/write operations on the emote storage devices as if they were local storage devices. The NVMe controller then monitors and meters the resources consumed by the activities/operations by the VMs to the virtualized remote storage devices as well as the data being transmitted during such operations in real time and creates analytics for billing purposes. In addition, the NVMe controller performs one or more of crypto operations, checksum operations, and compression and/or decompression operations on the data written to and/or read from the remote storage devices by the VMs as part of the value-added services to improve security, integrity, and efficient transmission of the data. | 11-05-2015 |
20150317177 | SYSTEMS AND METHODS FOR SUPPORTING MIGRATION OF VIRTUAL MACHINES ACCESSING REMOTE STORAGE DEVICES OVER NETWORK VIA NVME CONTROLLERS - A new approach is proposed that contemplates systems and methods to support (live or quiesced) migration of virtual machines (VMs) accessing a set of remote storage devices over a network via non-volatile memory express (NVMe) controllers from a current host to a destination host. At the time of the VM migration, a first virtual NVMe controller running on a first physical NVMe controller enables a first VM running on the current host to access and perform a plurality of storage operations to one or more logical volumes mapped to the remote storage devices over the network as if they were local storage volumes. During the VM migration process, the current host puts the first virtual NVMe controller serving the first VM into a quiesce state, captures and saves an image of states of the first virtual NVMe controller on the first host. A second virtual NVMe controller is then created on a second physical NVMe controller using the saved image, wherein the second virtual NVMe controller is configured to serve a second VM on the destination host and has exactly the same states as the first virtual NVMe controller. The second virtual NVMe controller then initiates and/or resumes the storage operations to the remote storage devices without being interrupted by the migration of the first VM on the first host to the second VM on the second host. | 11-05-2015 |
20150317178 | SYSTEM AND METHOD FOR KERNEL ROOTKIT PROTECTION IN A HYPERVISOR ENVIRONMENT - A system and method for rootkit protection in a hypervisor environment includes modules for creating a soft whitelist having entries corresponding to each guest kernel page of a guest operating system in a hypervisor environment, wherein each entry is a duplicate page of the corresponding guest kernel page, generating a page fault when a process attempts to access a guest kernel page, and redirecting the process to the corresponding duplicate page. If the page fault is a data page fault, the method includes fixing the page fault, and marking a page table entry corresponding to the guest kernel page as non-executable and writeable. If the page fault is an instruction page fault, the method includes marking a page table entry corresponding to the guest kernel page as read-only. Redirecting changing a machine page frame number in a shadow page table of the hypervisor to point to the corresponding duplicate page. | 11-05-2015 |
20150317179 | EFFICIENT INPUT/OUTPUT-AWARE MULTI-PROCESSOR VIRTUAL MACHINE SCHEDULING - Computerized methods, computer systems, and computer-readable media for governing how virtual processors are scheduled to particular logical processors are provided. A scheduler is employed to balance a CPU-intensive workload imposed by virtual machines, each having a plurality of virtual processors supported by a root partition, across various logical processors that are running threads and input/output (I/O) operations in parallel. Upon measuring a frequency of the I/O operations performed by a logical processor that is mapped to the root partition, a hardware-interrupt rate is calculated as a function of the frequency. The hardware-interrupt rate is compared against a predetermined threshold rate to determine a level of an I/O-intensive workload being presently carried out by the logical processor. When the hardware-interrupt rate surpasses the predetermined threshold rate, the scheduler refrains from allocating time slices on the logical processor to the virtual machines. | 11-05-2015 |
20150317180 | Determining Placement Fitness For Partitions Under A Hypervisor - A technique for determining placement fitness for partitions under a hypervisor in a host computing system having non-uniform memory access (NUMA) nodes. In an embodiment, a partition resource specification is received from a partition score requester. The partition resource specification identifies a set of computing resources needed for a virtual machine partition to be created by a hypervisor in the host computing system. Resource availability within the NUMA nodes of the host computing system is assessed to determine possible partition placement options. A partition fitness score of a most suitable one of the partition placement options is calculated. The partition fitness score is reported to the partition score requester. | 11-05-2015 |
20150324214 | INTERPRETING I/O OPERATION REQUESTS FROM PAGEABLE GUESTS WITHOUT HOST INTERVENTION - Input/output (I/O) operation requests from pageable storage mode guests are interpreted without host intervention. In a pageable mode virtual environment, requests issued by pageable storage mode guests are processed by one or more processors of the environment absent intervention from one or more hosts of the environment. Processing of the requests includes manipulating, by at least one processor on behalf of the guests, buffer state information stored in host storage. The manipulating is performed via instructions initiated by the guests and processed by one or more of the processors. | 11-12-2015 |
20150324215 | MIGRATION OF APPLICATIONS BETWEEN AN ENTERPRISE-BASED NETWORK AND A MULTI-TENANT NETWORK - A method of migrating applications from an enterprise-based network to a multi-tenant network of a compute service provider may include receiving a request to migrate an application running on a first virtual machine instance within the enterprise-based network. Dependencies of the application may be determined by identifying at least a second virtual machine instance within the enterprise-based network, where the at least second virtual machine instance associated with the application. Resource monitoring metrics associated with hardware resources used by the first virtual machine instance and the at least second virtual machine instance may be received. The first and at least second virtual machine instances may be migrated from the enterprise-based network to at least one virtual machine at a server within the multi-tenant network based on the monitoring metrics, thereby migrating the application from the enterprise-based network to the multi-tenant network. | 11-12-2015 |
20150324216 | SELF-REPAIRING CONFIGURATION SERVICE FOR VIRTUAL MACHINE MIGRATION - Techniques for self-repairing configuration service are described. An apparatus may comprise a self-repairing configuration service component to execute a self-repairing configuration service for a guest operating system executing on a virtual machine. The self-repairing configuration service to detect a guest operating system executing on the virtual machine, detect a change to a host hypervisor of the virtual machine, and reconfigure one or more network interfaces and one or more disks of the virtual machine for the guest operating system upon startup of the guest operating system on the virtual machine in response to the change in the host hypervisor of the virtual machine. Other embodiments are described and claimed. | 11-12-2015 |
20150324217 | TECHNIQUES FOR VIRTUAL MACHINE SHIFTING - Techniques for virtual machine shifting are described. An apparatus may comprise shifting component operative to shift a virtual machine (VM) between a hypervisor having one type of hypervisor platform and a destination hypervisor having an alternative type of hypervisor platform through use of a clone of the VM. The shifting is bi-directional between the host and the destination hypervisor. The apparatus may comprise a universal application programming interface (API) used for reconfiguring one or more network interfaces and one or more disks of the VM onto the destination hypervisor. Other embodiments are described and claimed. | 11-12-2015 |
20150324218 | Method for monitoring an electronic security module - A method and a computer program are provided for implementing memory accesses. A hypervisor is used for this purpose, via which the memory access takes place. | 11-12-2015 |
20150324219 | REGISTERING AND ACCESSING VIRTUAL SYSTEMS FOR USE IN A MANAGED SYSTEM - Techniques are disclosed for controlling and managing virtual machines and other such virtual systems. VM execution approval is based on compliance with policies controlling various aspects of VM. The techniques can be employed to benefit all virtual environments, such as virtual machines, virtual appliances, and virtual applications. For ease of discussion herein, assume that a virtual machine (VM) represents each of these environments. In one particular embodiment, a systems management partition (SMP) is created inside the VM to provide a persistent and resilient storage for management information (e.g., logical and physical VM metadata). The SMP can also be used as a staging area for installing additional content or agentry on the VM when the VM is executed. Remote storage of management information can also be used. The VM management information can then be made available for pre-execution processing, including policy-based compliance testing. | 11-12-2015 |
20150324220 | Virtualization Congestion Control Framework - Novel tools and techniques are provided for implementing a virtualization congestion control framework. In one aspect, a method might include a hypervisor assigning application resources of a virtual machine (“VM”), which operates on a host computing system, with maximum allowable settings to each software application to be executed on the VM. The hypervisor or an orchestrator might determine a running mode of the host computing system, and might execute the software application(s) using running mode attributes of the determined running mode. The hypervisor or the orchestrator might monitor application resource utilization, and, based on a determination that application resource utilization has changed, might modify allocation of application resources to each of the software application(s). In some cases, the hypervisor or the orchestrator might monitor for mass congestion indicators, and, based on a determination that a mass congestion indicator is present, might modify the running mode of the host computing system. | 11-12-2015 |
20150324227 | TECHNIQUES FOR VIRTUAL MACHINE MIGRATION - Techniques for virtual machine migration are described. An apparatus may comprise a script generation component operative to generate a first script, the first script to migrate a guest operating system running on a first virtual machine to run on a second virtual machine. The first script may be operative to collect configuration information of the guest operating system, generate a second script based on the collected configuration information, and configure the guest operating system to execute the second script, the configuration information collected while the guest operating system is running on the first virtual machine, the guest operating system configured for the execution of the second script to occur while the guest operating system is running on the second virtual machine. Other embodiments are described and claimed. | 11-12-2015 |
20150324232 | RESOURCE MANAGEMENT METHOD AND APPARATUS FOR VIRTUAL MACHINE SYSTEM, AND VIRTUAL MACHINE SYSTEM - An embodiment of the present invention provides a resource management method for a virtual machine system, where the method includes: obtaining, by a virtual resource management platform, a QoS constraint parameter of a virtual machine cluster and a current operating status statistical indicator of the virtual machine cluster, and according to the QoS constraint parameter of the virtual machine cluster and the current operating status statistical indicator of the virtual machine cluster, adjusting physical resources scheduling policy of a physical device platform or performing physical resource scheduling on the physical device platform. The method may ensure QoS of a cloud application. | 11-12-2015 |
20150326658 | APPARATUS AND METHOD FOR CONTROLLING VIRTUAL MACHINE MIGRATIONS BASED ON FLOW INFORMATION - An apparatus controls migration of a virtual machine between plural servers in a communication network. The apparatus acquires flow information by classifying, for each of virtual machines, pieces of data transmitted and received in each of the plural servers into flows having respective different destinations. The apparatus selects, based on the flow information, a migration target which is a virtual machine to be migrated from a first server whose number of virtual machines in operation is relatively large to a second server whose number of virtual machines in operation is relatively small, from among virtual machines in operation in the first server, by giving a higher priority to a virtual machine whose matching number of flows is larger, where the matching number of flows indicates a number of flows that are currently processed by both the virtual machine and the second server. | 11-12-2015 |
20150331702 | DEPLOYING A PORTION OF A STREAMING APPLICATION TO ONE OR MORE VIRTUAL MACHINES ACCORDING TO COST - A streams manager monitors cost of one or more VMs hosting a streaming application, determines when cost can be reduced, and requests a cloud manager provision one or more VMs that have a lower cost. In response, the cloud manager provisions the VM(s) that have the lower cost. The streams manager then deploys a portion of the streaming application to the VM(s), thereby reducing the cost of running the streaming application. | 11-19-2015 |
20150331703 | System and Method for Resizing a Virtual Desktop Infrastructure using Virtual Desktop Infrastructure Monitoring Tools - A method includes launching a virtual machine based upon an image on a virtualization host, associating the virtual machine with a user, monitoring a metric of the virtual machine that measures usage of a resource of the virtual machine, determining that the metric has exceeded a threshold more than an allowable number of times in a time period, determining an additional amount of the resource to increase in the virtual machine, updating the image to include the additional amount of the resource, launching a second virtual machine based upon the updated image, and associating the second virtual machine with the user. | 11-19-2015 |
20150331704 | AGILE VM LOAD BALANCING THROUGH MICRO-CHECKPOINTING AND MULTI-ARCHITECTURE EMULATION - Methods and systems for agile load balancing include detecting an increased load for a first primary virtual machine (VM) on a first node that has a plurality of additional primary VMs running on a processor; deactivating one or more of the additional primary VMs, reducing said one or more deactivated VMs to a secondary state, to free resources at the first node for the first primary VM; and activating secondary VMs, located at one or more additional nodes, that correspond to the one or more deactivated VMs, raising said secondary VMs to a primary state. Activation and deactivation through micro-checkpointing may involve nodes of different CPU architectures during transient periods of peak load. | 11-19-2015 |
20150331705 | ALLOCATING HYPERVISOR RESOURCES - In an approach to allocating hypervisor resources for virtual machine deployment, one or more computer processors determine one or more hierarchically grouped computing resources within a hypervisor. The one or more computer processors receive a selection of one or more hierarchically grouped computing resources. The one or more computer processors apply deployment constraints for a workload to the selected one or more hierarchically grouped computing resources. The one or more computer processors determine, based, at least in part, on the deployment constraints for the workload, one or more computing resources from the one or more hierarchically grouped computing resources. | 11-19-2015 |
20150331706 | ALLOCATING HYPERVISOR RESOURCES - In an approach to allocating hypervisor resources for virtual machine deployment, one or more computer processors determine one or more hierarchically grouped computing resources within a hypervisor. The one or more computer processors receive a selection of one or more hierarchically grouped computing resources. The one or more computer processors apply deployment constraints for a workload to the selected one or more hierarchically grouped computing resources. The one or more computer processors determine, based, at least in part, on the deployment constraints for the workload, one or more computing resources from the one or more hierarchically grouped computing resources. | 11-19-2015 |
20150331707 | METHOD AND CLOUD MANAGEMENT NODE FOR ENABLING A VIRTUAL MACHINE - A method and a cloud management node for enabling usage of a virtual machine in a distributed cloud of resources for processing and storing of data. When receiving a VM image from a client with a set of files of an operating system for running the virtual machine, the cloud management node distributes the VM image to a plurality of local data centers forming the distributed cloud. In return, the cloud management node receives local identifications of the VM image from the local data centers, and creates a mapping between the received local identifications and a global identification of the VM image. The global identification is then returned to the client, thereby enabling the client to change the VM image in the local data centers by referring to the global identification in communication with the cloud management node. | 11-19-2015 |
20150331708 | USE OF SNAPSHOTS TO REDUCE RISK IN MIGRATION TO A STANDARD VIRTUALIZED ENVIRONMENT - During a process of migrating a source system into a standardized virtual environment, virtual machine instances of the source system executing in a hypervisor are snapshotted as virtual machine images in an operational repository of the hypervisor. The virtual machine images in the operational repository are short-term snapshots. From time to time during the migration process, long-term snapshots of the source system are created by checking given ones of the virtual machine images from the hypervisor operational repository into an image library as image objects. | 11-19-2015 |
20150331709 | SYSTEM AND METHOD FOR ASSISTING VIRTUAL MACHINE INSTANTIATION AND MIGRATION - A system and method for instantiation of a virtual machine (VM) in a datacenter includes providing a network appliance in a location for listening to management information traffic. Indices are created for data center images in the network appliance. VM instantiation requests are intercepted in the network appliance. Locations from which blocks for the VM should be fetched based upon network cost are determined. VM image blocks are populated from the locations. | 11-19-2015 |
20150331722 | RDMA (REMOTE DIRECT MEMORY ACCESS) DATA TRANSFER IN A VIRTUAL ENVIRONMENT - In an embodiment, a method is provided. In an embodiment, the method provides determining that a message has been placed in a send buffer; and transferring the message to an application on a second virtual machine by bypassing use of an operating system to process the message by directly placing the message in an application memory space from which the application can retrieve the message. | 11-19-2015 |
20150334696 | RESOURCE PROVISIONING METHOD - Embodiments of the present invention provides a resource provisioning method, including: receiving, by a first data center, a resource request message, where the first data center is any data center that receives the resource request message in the system; obtaining, by the first data center and by analyzing the resource request message, at least two destination data centers that provision resources; creating a virtual network; creating a virtual machine for the at least two destination data centers; and adding the virtual machine to the virtual network. By implementing the method, the resource utilization of a data center is improved, administration, maintenance, and operation are simplified, and an occurrence probability of network connection fault or traffic congestion is reduced. | 11-19-2015 |
20150339136 | LOW LATENCY CONNECTIONS TO WORKSPACES IN A CLOUD COMPUTING ENVIRONMENT - A computing system providing virtual computing services may generate and manage remote computing sessions between client devices and virtual desktop instances (workspaces) hosted on the service provider's network. The system may implement a virtual private cloud for a workspaces service that extends out to gateway components in multiple, geographically distributed point of presence (POP) locations. In response to a client request for a virtual desktop session, the service may configure a virtual computing resource instance for the session and establish a secure, reliable, low latency communication channel (over a virtual private network) between the resource instance and a gateway component at a POP location near the client for communication of a two-way interactive video stream for the session. The availability zone containing the POP location may be different than one hosting the resource instance for the session. Client devices may connect to the gateway component over a public network. | 11-26-2015 |
20150339141 | MEMORY MANAGEMENT FOR VIRTUAL MACHINES - Embodiments of the disclosure relate to managing a memory of a server hosting a plurality of virtual machines. Aspects include receiving a plurality of data pages from each of the plurality of virtual machines to be stored in the memory, filtering each the plurality of data pages into one of a plurality of pools of data pages including a pool of potentially identical data pages, and evaluating the data pages in the pool of potentially identical data pages to identify one or more duplicate data pages and one or more similar data pages. Aspects further include coalescing data pages identified as duplicate data pages and encoding differences for data pages identified as similar pages. | 11-26-2015 |
20150339143 | APPLICATION AWARE STORAGE RESOURCE MANAGEMENT - A virtualization platform can discover capabilities of data devices connected in a virtual infrastructure. The virtualization platform allows a user (e.g., system administrator) to specify a policy profile for a virtual machine in terms of performance and data handling parameters. The virtualization platform can provision a virtual machine comprising data devices having a combined capability that matches the policy profile. Enforcement of a policy profile can be made when I/O is performed by a virtual machine. | 11-26-2015 |
20150339144 | MAINTAINING VIRTUAL HARDWARE DEVICE ID IN A VIRTUAL MACHINE - An example method to maintain services in a cloned virtual machine (VM) includes detecting a cloning operation of a virtual machine, the cloning operation causing the cloned virtual machine to be generated from a source virtual machine having a first virtual network interface card (VNIC) with a first machine access control (MAC) address, the cloned virtual machine having a second VNIC with a second MAC address unique from the first MAC address, and causing a device identifier associated with the second VNIC of the cloned virtual machine to be changed to match a device identifier associated with the first VNIC of the source virtual machine, the device identifier of the cloned virtual machine being generated by a guest operating system running in the cloned virtual machine upon detection of the presence of the second VNIC with the second MAC address. | 11-26-2015 |
20150339145 | VIRTUAL MACHINE SERVICE SYSTEM AND VIRTUAL MACHINE SERVICE PROVIDING METHOD THEREOF - Disclosed is a virtual machine service system, including: one or more virtual machines connected to a network through connection with virtual network interfaces provided from a first network card or connection with a second network card; a management module monitoring network usage of one or more virtual machines and reallocating the virtual network interfaces to one or more virtual machines according to a monitoring result; and an agent module providing to the management module network connection information of one or more virtual machines. | 11-26-2015 |
20150339146 | AN ARRANGEMENT CONFIGURED TO ALLOCATE ONE OR MORE RESOURCES OF ONE OR MORE COMPUTING DEVICES TO A VIRTUAL MACHINE - An arrangement configured to allocate one or more resources of one or more computing devices to a virtual machine, the arrangement comprising: an interface configured to receive a request for the allocation of one or more resources to the virtual machine, the request including information regarding one or more computer programs to be operated by or as a part of the virtual machine; and a hypervisor module configured to use the information regarding one or more computer programs to identify economic information associated with at least one of the computer programs, and to allocate one or more resources to the virtual machine based at least in part on the economic information. | 11-26-2015 |
20150339147 | AN ARRANGEMENT CONFIGURED TO ALLOCATE NETWORK INTERFACE RESOURCES TO A VIRTUAL MACHINE - An arrangement configured to allocate network interface resources to a virtual machine, the arrangement comprising: an interface configured to receive a first network interface requirement for a first virtual machine; and a hypervisor module configured to compare the first network interface requirement to stored network interface information for one or more network interfaces associated one or more computing devices, and to allocate resources of at least one of the one or more network interfaces to the first virtual machine such that the first network interface requirement is met. | 11-26-2015 |
20150339148 | CREATING VIRTUAL MACHINES - A method to create virtual machines is provided. An initially empty primary copy-on-write (COW) image of a base disk image is created, and an initially empty secondary COW image of the primary COW image is created. A virtual machine is booted from the secondary COW image. Writes by the virtual machine are stored in the secondary COW image. When the secondary COW image reaches a capacity threshold, data of the secondary COW image is committed into the primary COW image and then the secondary COW is cleared of data. | 11-26-2015 |
20150339150 | VIRTUAL COMPUTER MANAGEMENT PROGRAM, VIRTUAL COMPUTER MANAGEMENT METHOD, AND VIRTUAL COMPUTER SYSTEM - A non-transitory computer-readable storage medium storing a program therein for causing a computer to execute a process that includes extracting, when a virtual computer running on one of physical computers is booted, time correction information corresponding to an attribute of a boot target virtual computer from time correction registration information which include the time correction information corresponding to the attribute of the virtual computer; and transmitting a boot command for booting the boot target virtual computer, based on the extracted time correction information, to the one of physical computers. | 11-26-2015 |
20150339151 | NETWORK RESOURCE MANAGEMENT SYSTEM UTILIZING PHYSICAL NETWORK IDENTIFICATION FOR LOAD BALANCING - The disclosed network resource management system employs a hardware configuration management (HCM) information handling system (IHS) that may couple to a single administered IHS or to multiple administered IHSs via an administrative network. An HCM tool in the HCM IHS may generate, modify and store hardware configuration information, including physical network identifications (PNet IDs), in an HCM database and share the HCM database with the administered IHSs. The administered IHS may be a load balancing IHS. A load balancing tool may extract hardware configuration information, including PNet IDs, from the HCM database. The load balancing tool may utilize the hardware configuration information to enable the load balancing IHS to balance adapter loads in the load balancing IHS. The load balancing tool may also utilize the hardware configuration information to enable the load balancing IHS to failover from a failing adapter to other adapters in the load balancing IHS. | 11-26-2015 |
20150339152 | System and Method for Pre-Boot Authentication of a Secure Client Hosted Virtualization in an Information Handling System - A client hosted virtualization system (CHVS) includes a processor to execute code, a component, and a non-volatile memory. The non volatile memory includes BIOS code and code to implement a virtualization manager. The virtualization manager is operable to initialize the CHVS, launch a virtual machine on the CHVS, and assign the component to the virtual machine, such that the virtual machine has control of the component. The CHVS is configurable to execute the BIOS and not the virtualization manager, or to execute the virtualization manager and not the BIOS. | 11-26-2015 |
20150339153 | DATA FLOW AFFINITY FOR HETEROGENOUS VIRTUAL MACHINES - A method and technique for data flow affinity for heterogeneous virtual machines includes: connecting first and second logical partitions to a shared virtual adapter, the first logical partition having a maximum receive unit (MRU) different than a MRU of the second logical partition; responsive to receiving data by the shared virtual adapter destined for the first or second logical partitions, performing transmission control protocol (TCP) segmentation offload processing of the data by the shared virtual adapter utilizing the MRU of the first logical partition as the transmission unit for data destined for the first logical partition and utilizing the MRU of the second logical partition as the transmission unit for data destined for the second logical partition; and disabling large receive offload (LRO) processing of data by a physical adapter if either of the first or second logical partitions does not support LRO. | 11-26-2015 |
20150339156 | MANAGING VIRTUAL MACHINE MIGRATION - Systems and method for the management of migrations of virtual machine instances are provided. A migration manager monitors a resource usable for migration of a virtual machine instance in order to predict availability of the migration resource. When migration of a virtual machine instance is desired, the migration manager schedules the migration to occur at a future point in time identified based on the predicted availability of the migration resource. | 11-26-2015 |
20150339157 | SYSTEM AND METHOD FOR STORAGE AND DEPLOYMENT OF VIRTUAL MACHINES IN A VIRTUAL SERVER ENVIRONMENT - Described herein are systems and methods for storage and deployment of VMs in a virtual server environment. A VM deployment module executing on a server may produce VM container objects representing VMs, a VM container object comprising VM data describing a VM and vdisk configuration data. The VM deployment module may also later produce VMs on a server using the VM container objects. The VM deployment module may do so by producing a vdisk from a VM container object, the vdisk comprising the VM and vdisk configuration data. Rather than configuring the vdisk as typically done to make the vdisk useable to the server, the vdisk configuration data is used to make the vdisk useable and the VM data on the vdisk immediately accessible to the server. As such, the VM data may be immediately read to produce a VM on the server, thus providing rapid deployment of VMs. | 11-26-2015 |
20150339161 | NETWORK RESOURCE MANAGEMENT SYSTEM UTILIZING PHYSICAL NETWORK IDENTIFICATION FOR CONVERGING OPERATIONS - The disclosed network resource management system employs a hardware configuration management (HCM) information handling system (IHS) that may couple to a single administered IHS or to multiple administered IHSs via an administrative network. An HCM tool in the HCM IHS may generate, modify and store hardware configuration information, including physical network identifications (PNet IDs), in an HCM database and share the HCM database with the administered IHSs. The administered IHS may be a remote direct memory access (RDMA) enabled network interface controller (RNIC) converging IHS. An RNIC converging tool may extract hardware configuration information, including PNet IDs, from the HCM database. The RNIC converging tool may utilize the hardware configuration information, including PNet IDs, to enable the RNIC converging IHS to communicate over a network with RDMA protocols. | 11-26-2015 |
20150339165 | IDENTIFYING MEMORY DEVICES FOR SWAPPING VIRTUAL MACHINE MEMORY PAGES - Systems and methods for identifying memory devices for swapping virtual machine memory pages. An example method may comprise: determining a workload type associated with a workload being executed by a virtual machine running on a computer system; identifying a memory device associated with the workload type; and allocating a memory block on the identified memory device for swapping memory pages of the virtual machine. | 11-26-2015 |
20150339166 | MEMORY MANAGEMENT FOR VIRTUAL MACHINES - Embodiments of the disclosure relate to managing a memory of a server hosting a plurality of virtual machines. Aspects include receiving a plurality of data pages from each of the plurality of virtual machines to be stored in the memory, filtering each the plurality of data pages into one of a plurality of pools of data pages including a pool of potentially identical data pages, and evaluating the data pages in the pool of potentially identical data pages to identify one or more duplicate data pages and one or more similar data pages. Aspects further include coalescing data pages identified as duplicate data pages and encoding differences for data pages identified as similar pages. | 11-26-2015 |
20150339167 | VIRTUAL COMPUTER SYSTEM AND SCHEDULING METHOD - A scheduling method whereby a virtualization unit, which has multiple nodes containing physical CPUs and physical memories, and which operates a virtual computer by generating logical partitions from the computer resources of the multiple nodes, allocates a physical CPU to the logical CPU. The multiple nodes are coupled via an interconnect, and the virtualization unit selects the physical CPU to be allocated to the logical CPU, and measures performance information related to the performance when the physical memory is accessed from the logical CPU. When the performance information satisfies a prescribed threshold value the physical CPU allocated to the logical CPU is selected from the same node as that of the previously allocated physical CPU, and when the performance information does not satisfy the prescribed threshold value the physical CPU allocated to the logical CPU is selected from a different node than the node of the previously allocated physical CPU. | 11-26-2015 |
20150341221 | Customizing Network Configuration of Virtual Machines Using Subnet Mapping Rules - Techniques disclosed herein provide disaster recovery IP customization based on rules associated with virtual networks that virtual machines (VMs) are connected to. In one embodiment, the rules are applied to each recovered VM to dynamically derive new TCP/IP settings at a recovery site based on TCP/IP settings used at a protected site and the virtual networks that the VMs is connected to at the protected and recovery sites. In particular, the rules may be defined in IP mapper objects associated with mappings between protected site networks and recovery site networks. During disaster recovery, the protected-site-to-recovery-site network mapping for a vNIC of a recovered VM is determined and rules that are defined in the IP mapper object associated with such a network mapping are evaluated to generate new TCP/IP settings. | 11-26-2015 |
20150347165 | VIRTUAL MACHINE TEMPLATE MANAGEMENT - Systems and methods for managing virtual machine templates. An example method may comprise: identifying, by a computer system, a virtual machine snapshot represented by a volume chain comprising one or more copy-on-write (COW) volumes; and creating, based on the virtual machine snapshot, a virtual machine template represented by a new COW volume, wherein the new COW volume comprises data from the COW volumes of the volume chain. | 12-03-2015 |
20150347166 | PARAVIRTUALIZED MIGRATION COUNTER FOR MIGRATING A VIRTUAL CPU TO A DIFFERENT PHYSICAL CPU - An application associated with a virtual processor running on a physical processor reads a first value of a counter and a second value of the counter. The counter is indicative of a migration status of the virtual processor with respect to the physical processor. Responsive to determining that the first value of the counter does not equal the second value of the counter, the application ascertains whether a value of a hardware parameter associated with the physical processor has changed during a time interval. The migration status indicates a count of the number of times the virtual processor has migrated a first physical processor to a second physical processor. The application determines the validity of a value of a performance monitoring unit derived from the hardware parameter in view of the application ascertaining whether the value of the hardware parameter has changed during the time interval. | 12-03-2015 |
20150347167 | Setup of Management System in a Virtualization System - Implementations for setup of management system in a virtualization system are disclosed. A method of the disclosure includes installing, by a setup program of a host machine, a virtual machine (VM) on the host machine in view of configuration information obtained by the setup program, verifying that an operating system (OS) is installed on the VM, verifying that a management system is installed on the VM, confirming that the management system is responsive, when responsiveness of the management system is confirmed, sending a command to the management system to add the host machine to a list of host machines associated with the management system, and restarting the VM when a confirmation that the host machine is added to the list of the management system is received from the management system, wherein the management system is to manage the VM and one or more other VMs of the host machine. | 12-03-2015 |
20150347169 | SCHEDULER LIMITED VIRTUAL DEVICE POLLING - A hypervisor executing on a computer system identifies a request of a guest operating system of a virtual machine in a shared device. The shared device comprises a shared memory space between a virtual processor of the virtual machine and the hypervisor. The hypervisor processes the request of the guest operating system and polls the shared device for additional requests. Upon determining that there are no additional requests in the shared device to be processed, the hypervisor identifies the virtual processor of the virtual machine associated with the device and determines the execution state of that virtual processor. The hypervisor disables polling the shared device for requests upon determining that the virtual processor is not executing. | 12-03-2015 |
20150347170 | GROUPING VIRTUAL MACHINES IN A CLOUD APPLICATION - An application is deployed to a cloud computing environment, where the application is executed using a plurality of virtual machines, including a first virtual machine, that execute on hosts in the cloud computing environment. To deploy the application, an application identifier is generated and a first virtual machine identifier is generated for the first virtual machine. The first virtual machine is then instantiated in the cloud computing environment. A second virtual machine identifier for the first virtual machine is then generated. An association among the application identifier, the first virtual machine identifier, and the second virtual machine identifier is then created. | 12-03-2015 |
20150347171 | INTELLIGENT DETECTION OF INCONSISTENT ADVANCED CONFIGURATION AND POWER INTERFACE (ACPI) TABLE INSTANCES IN VIRTUALIZED SYSTEMS - A system and methods are disclosed for detecting inconsistent instances of a system table in a virtualized computer system. In accordance with one embodiment, a processing device decompiles a first binary representing a first instance of a system table, to obtain a first string of symbols. The processing device also decompiles a second binary representing a second instance of the system table that is associated with guest firmware of a first virtual machine, to obtain a second string of symbols. When there is a difference between the first string of symbols and the second string of symbols and the difference is unrelated to a compiler version and a temporary variable name, a signal indicating an inconsistency between the first instance and the second instance is generated. | 12-03-2015 |
20150347172 | VIRTUAL MACHINE (VM) SEQUENCER AND SCHEDULER TO AVOID BOOT STORM - In one aspect, a system includes at least one virtualization server configured to executed a hypervisor, and a storage server in communication to the at least one virtualization server. The storage server stores a plurality of virtual machine (VM) operating systems (OS's). The storage server comprises computer executable code, including a VM scheduler service (VMSS) module. The VMSS module is configured to determine a scheduled start time for each of the VM OS's based on configuration data corresponding to the VM OS's, generate a command based on the scheduled start time for each of the VM OS's, and send the command to the at least one virtualization server. Upon receiving the command, the hypervisor executed at the at least one virtualization server requests data of one of the VM OS's at the corresponding scheduled start time to start the one of the VM OS's. | 12-03-2015 |
20150347173 | METHOD, SYSTEM AND DEVICE FOR MANAGING SOFTWARE ON VIRTUAL MACHINE IN CLOUD ENVIRONMENT - A method for managing software on a virtual machine in a cloud environment is provided. The method includes: a host transmits a software management request to a virtual machine; the host acquires a software management script from a shared storage server according to a request for the management script returned by the virtual machine after the software management request is received; and the host transmits the acquired software management script to the virtual machine and indicates the virtual machine to execute the software management script. a system and a device for managing software on the virtual machine in a cloud environment are also provided. By the schemes of the present invention, the operation of needing to configure an IP address to the virtual machine when the virtual machine software is managed is avoided. Meanwhile, the problem that the software of a virtual machine not configured with an IP address in a cloud environment cannot be managed is solved. | 12-03-2015 |
20150347174 | Method, Apparatus, and System for Migrating Virtual Machine - A method, an apparatus, and a system for migrating a virtual machine. In this solution, a to-be-migrated virtual machine is determined from a server with a largest temperature value at a current time, and the to-be-migrated virtual machine is migrated to a destination server. Because a temperature value of the destination server available after the to-be-migrated virtual machine is migrated to the destination server is less than the largest temperature value of the server with the largest temperature value at the current time available before the virtual machine is migrated out from the server with the largest temperature value at the current time, a largest temperature value of a server in a data center is reduced; in addition, during refrigeration, less energy is consumed if the largest temperature value is smaller. Therefore, this solution reduces energy consumed in a refrigeration process. | 12-03-2015 |
20150347175 | SOFTWARE-DEFINED NETWORKING (SDN) FOR MANAGEMENT OF TRAFFIC BETWEEN VIRTUAL PROCESSORS - An aspect includes receiving, at a software-defined networking (SDN) controller, an inquiry from a virtual switch executing on a host machine. The inquiry includes a request to identify a flow of a data packet received at the virtual switch from a source virtual processor. The source virtual processor is either a logical partition (LPAR) or a virtual machine (VM) executing under control of a hypervisor on the host machine. A destination virtual processor associated with the data packet is determined by the SDN controller. In addition, the SDN controller identifies the flow between the source virtual processor and the destination virtual processor. The flow includes a least one virtual port in the virtual switch. The SDN controller instructs the virtual switch to send the data packet from the source virtual processor to the destination virtual processor via the identified flow. | 12-03-2015 |
20150347194 | Managing a Virtual Machine Instance - The present invention relates to mechanisms for managing VM instances. More specifically, the mechanisms provide at least one VM instance in a resource pool. A configuration requirement is provided with respect to a virtual machine, the configuration requirement describing a first amount of application resources to be installed on the virtual machine. Based on the configuration requirement, a resource tree is built describing a dependency relation between the first amount of application resources. At least one VM instance corresponding to a sub-path of the resource tree is provided in the resource pool, where on each VM instance in the at least one VM instance there are installed application resources included in the sub-path. | 12-03-2015 |
20150355892 | Resources provisioning based on a set of discrete configurations - Machines, systems and methods for deploying virtual machines (VMs), the method comprises maintaining N message queues corresponding to N VM flavors, wherein the N VM flavors are associated with N distinguishable configuration requirements for supporting placement of at least a first VM on at least a first host with limited resources; wherein a first host from among a plurality of hosts subscribes to a first message queue from among the N message queues, in response to determining that the first host has the resources that match the respective configuration requirements for supporting the first VM placed on the first message queue; receiving a VM placement request for the first VM, wherein the VM placement request comprises information indicating a first VM flavor associated with a first message queue; communicating arrival of the VM request to one or more hosts subscribing to the first message queue. | 12-10-2015 |
20150355919 | System and Method for Real Time Virtualization - A system includes a plurality of compute modules and a first processor configured to implement a virtualization layer, where the virtualization layer is configured to support real time jobs. The system also includes a hardware support layer coupled between the plurality of compute modules and the virtualization layer, where the hardware support layer is configured to provide an interface between the virtualization layer and the plurality of compute modules. | 12-10-2015 |
20150355922 | SELECTING A HOST FOR A VIRTUAL MACHINE USING A HARDWARE MULTITHREADING PARAMETER - A cloud manager monitors available resources on host computer systems, including a number of hardware threads supported by CPUs on the host computer systems. The cloud manager receives a request to provision a virtual machine (VM) that includes a hardware multithreading parameter that specifies the amount of hardware multithreading required on the host computer system. The cloud manager then selects a host computer system for the VM taking the hardware multithreading parameter into consideration. | 12-10-2015 |
20150355923 | CONFIGURING VIRTUAL MACHINES IN A CLOUD COMPUTING PLATFORM - There is provided a virtual machine control method that includes the ability to configure a virtual machine's behavior when certain conditions are met. The conditions may include configuring a virtual machine based on an amount of time of inactivity. The conditions may include configuring a virtual machine based on exceeding a cost for a given time frame. The control method removes the need to have a user manually monitor and shut down unused virtual machines. Accordingly, the virtual machine may be automatically commanded to shut down if it is inactive beyond a threshold amount of time. The control method may also provide a method of calculating the projected cost of running a virtual machine. | 12-10-2015 |
20150355924 | Decentralized Demand-Based Virtual Machine Migration Management - Embodiments perform decentralized virtual machine (VM) migration decisions. By comparing a set of VM-specific rules with current statistics (e.g., resource usage), one host determines whether to migrate the VM and lazily selects another host to receive the VM. The rules define, for example, threshold values for resource usage. The host makes the migration decision and performs the migration without input from a centralized server. In this manner, migration decisions are offloaded to migration modules executing on each host for reactive and/or proactive migration. Proactive migration involves migrating a VM before the VM violates its rules. | 12-10-2015 |
20150355925 | ADAPTIVE VIRTUAL MACHINE REQUEST APPROVER - An adaptive request handler (ARH) receives a virtual machine (VM) request from a user and determines whether to automatically approve the VM request using a tolerance that defines an allowable amount of deviation from preset resource specifications. In some embodiments, the ARH adaptively varies the tolerance based on one or more monitored factors, such as an aggregate system resource utilization by and/or a billing history of the user or a group that includes the user. In some embodiments, the VM request is based on a template selected by the user from among a plurality of templates eligible for automatic approval, wherein a plurality of tolerances each defines an allowable amount of deviation from preset resource specifications of a respective one of the eligible templates. The ARH may, in some embodiments, vary each of the plurality of tolerances independently based on one or more monitored factors. | 12-10-2015 |
20150355926 | SELECTING A HOST FOR A VIRTUAL MACHINE USING A HARDWARE MULTITHREADING PARAMETER - A cloud manager monitors available resources on host computer systems, including a number of hardware threads supported by CPUs on the host computer systems. The cloud manager receives a request to provision a virtual machine (VM) that includes a hardware multithreading parameter that specifies the amount of hardware multithreading required on the host computer system. The cloud manager then selects a host computer system for the VM taking the hardware multithreading parameter into consideration. | 12-10-2015 |
20150355927 | AUTOMATIC VIRTUAL MACHINE RESIZING TO OPTIMIZE RESOURCE AVAILABILITY - In one embodiment, a configuration associated with an application may be ascertained, where the configuration indicates a number of instances and a first instance type. Requests associated with the application may be routed among two or more sets of instances, where each of the two or more sets of instances have a different, corresponding instance type of two or more instance types including the first instance type. Metrics associated with the routing of requests to each of the two or more sets of instances may be obtained. The metrics may be analyzed to identify an optimal instance type for the application. Further requests associated with the application may be routed to a set of the number of instances having the optimal instance type. | 12-10-2015 |
20150355928 | PLACEMENT OF VIRTUAL CPUS USING A HARDWARE MULTITHREADING PARAMETER - A cloud manager monitors available resources on host computer systems, including a number of hardware threads supported by CPUs on the host computer systems. The cloud manager receives a request to provision a virtual machine (VM) that includes a hardware multithreading parameter that specifies whether hardware multithreading is allowed on the host computer system. The cloud manager then selects a host computer system for the VM taking the hardware multithreading parameter into consideration. The VM is then placed on the selected host computer system using the hardware multithreading parameter. | 12-10-2015 |
20150355929 | PROVISIONING VIRTUAL CPUS USING A HARDWARE MULTITHREADING PARAMETER IN HOSTS WITH SPLIT CORE PROCESSORS - A cloud manager monitors available resources on host computer systems, including a number of hardware threads supported by CPUs on the host computer systems and whether or not the CPUs have split core enabled. The cloud manager receives a request to provision a virtual machine (VM) that includes a hardware multithreading parameter that specifies whether hardware multithreading is allowed on the host computer system. The cloud manager then selects a host computer system for the VM taking into consideration the hardware multithreading parameter, the hardware threads supported by the CPU, and the split core settings. The VM is then placed on the selected host computer system using the hardware multithreading parameter. The result is more efficient utilization of CPU resources in a host for a virtual machine. | 12-10-2015 |
20150355930 | PLACEMENT OF VIRTUAL CPUS USING A HARDWARE MULTITHREADING PARAMETER - A cloud manager monitors available resources on host computer systems, including a number of hardware threads supported by CPUs on the host computer systems. The cloud manager receives a request to provision a virtual machine (VM) that includes a hardware multithreading parameter that specifies whether hardware multithreading is allowed on the host computer system. The cloud manager then selects a host computer system for the VM taking the hardware multithreading parameter into consideration. The VM is then placed on the selected host computer system using the hardware multithreading parameter. | 12-10-2015 |
20150355931 | PROVISIONING VIRTUAL CPUS USING A HARDWARE MULTITHREADING PARAMETER IN HOSTS WITH SPLIT CORE PROCESSORS - A cloud manager monitors available resources on host computer systems, including a number of hardware threads supported by CPUs on the host computer systems and whether or not the CPUs have split core enabled. The cloud manager receives a request to provision a virtual machine (VM) that includes a hardware multithreading parameter that specifies whether hardware multithreading is allowed on the host computer system. The cloud manager then selects a host computer system for the VM taking into consideration the hardware multithreading parameter, the hardware threads supported by the CPU, and the split core settings. The VM is then placed on the selected host computer system using the hardware multithreading parameter. The result is more efficient utilization of CPU resources in a host for a virtual machine. | 12-10-2015 |
20150355932 | ADAPTIVE VIRTUAL MACHINE REQUEST APPROVER - An adaptive request handler (ARH) receives a virtual machine (VM) request from a user and determines whether to automatically approve the VM request using a tolerance that defines an allowable amount of deviation from preset resource specifications. In some embodiments, the ARH adaptively varies the tolerance based on one or more monitored factors, such as an aggregate system resource utilization by and/or a billing history of the user or a group that includes the user. In some embodiments, the VM request is based on a template selected by the user from among a plurality of templates eligible for automatic approval, wherein a plurality of tolerances each defines an allowable amount of deviation from preset resource specifications of a respective one of the eligible templates. The ARH may, in some embodiments, vary each of the plurality of tolerances independently based on one or more monitored factors. | 12-10-2015 |
20150355933 | SYSTEM AND METHODS FOR GENERATING AND MANAGING A VIRTUAL DEVICE - Embodiments of the present disclosure may be configured to permit development and validation of a device driver or a device application program by using improved virtual devices. Such improved virtual devices may facilitate driver development without use of physical devices or hardware prototypes. In various embodiments, advanced validation of a device-driver combination may be permitted that would be difficult to achieve even with a physical device. Certain embodiments also may detect inconsistencies between virtual and physical devices, which may be used to improve drivers and device application programs and increase compatibility of such drivers and device application programs with physical devices. | 12-10-2015 |
20150355934 | METHOD FOR GENERATING CONFIGURATION INFORMATION, AND NETWORK CONTROL UNIT - A method for generating configuration information includes: a network control unit receives a virtual machine association message, where the VM association message includes an identifier of a first VM and an identifier of a first virtual built-in network element (NE), where a state of the first VM changes and the first virtual built-in NE detects that the state of the first VM changes; and the network control unit determines first information according to the identifier of the first VM, where the first information includes at least one of: a first forwarding entry, a location information mapping entry of the first VM, and a first network policy. According to the method, a network control unit determines first information according to an identifier of a first VM whose state changes and whose identifier is included in a VM association message, network configuration efficiency and network performance are improved. | 12-10-2015 |
20150355950 | RESOURCE ALLOCATION FOR VIRTUAL MACHINES AND LOGICAL PARTITIONS - A computer determines that a utilization level of a resource has satisfied a threshold. The computer scales the allocation of the resource to the furthest of the current allocation of the resource plus a parameter and of a historical limit. The computer determines if the scaled allocation of the resource is outside the historical limit and if so, sets the historical limit equal to the scaled allocation of the resource. The computer determines whether the scaling of the allocation of the resource will result in an allocation oscillation. The computer determines if the scaled allocation of the resource is outside a boundary parameter and if so, sets the allocation of the resource equal to the boundary parameter. | 12-10-2015 |
20150363216 | METHOD AND SYSTEM FOR MANAGING HOSTS THAT RUN VIRTUAL MACHINES WITHIN A CLUSTER - Embodiments of a non-transitory computer-readable storage medium and a computer system are disclosed. In an embodiment, a non-transitory computer-readable storage medium containing program instructions for managing host computers that run virtual machines into host-groups within a cluster is disclosed. When executed, the instructions cause one or more processors to perform steps including determining if a virtual machine entity needs additional resources and, if the virtual machine entity needs additional resources, mapping a host computer to a host-group with which the virtual machine entity is associated. | 12-17-2015 |
20150363217 | TECHNIQUES FOR UTILIZING A RESOURCE FOLD FACTOR IN PLACEMENT OF PHYSICAL RESOURCES FOR A VIRTUAL MACHINE - A technique for assigning physical resources of a data processing system to a virtual machine (VM) includes reading, by a hypervisor executing on the data processing system, a fold factor attribute for the VM. The fold factor attribute defines an anticipated usage of physical resources of the data processing system by the VM. The technique also includes mapping based on a value of the fold factor attribute, by the hypervisor, allocated virtual processors of the VM to the physical resources to maximize processor core access to local memory for ones of the allocated virtual processors that are anticipated to be utilized. | 12-17-2015 |
20150363218 | TECHNIQUES FOR UTILIZING A RESOURCE FOLD FACTOR IN PLACEMENT OF PHYSICAL RESOURCES FOR A VIRTUAL MACHINE - A technique for assigning physical resources of a data processing system to a virtual machine (VM) includes reading, by a hypervisor executing on the data processing system, a fold factor attribute for the VM. The fold factor attribute defines an anticipated usage of physical resources of the data processing system by the VM. The technique also includes mapping based on a value of the fold factor attribute, by the hypervisor, allocated virtual processors of the VM to the physical resources to maximize processor core access to local memory for ones of the allocated virtual processors that are anticipated to be utilized. | 12-17-2015 |
20150363219 | OPTIMIZATION TO CREATE A HIGHLY SCALABLE VIRTUAL NETORK SERVICE/APPLICATION USING COMMODITY HARDWARE - A method of deployment of virtual machines (VMs) including receiving traffic having characteristics from clients and based on the traffic, dynamically bringing up son VMs and when the traffic goes down, removing the son VMs. Sharing a cache between the son VMs by the VMs directly accessing the cache when receiving traffic from existing clients and performing encryption/decryption for new clients. | 12-17-2015 |
20150363221 | METHOD OF MANAGING TENANT NETWORK CONFIGURATION IN ENVIRONMENT WHERE VIRTUAL SERVER AND NON-VIRTUAL SERVER COEXIST - A non-virtual server and a virtual server make up the same tenant in an environment, in which virtual servers created by dividing a single physical server into a plurality of computer environments coexist with a non-virtual server that directly uses a single physical server as a computer environment without using server virtualization. A management computer is provided with virtual switch management information that shows a correlation between the virtual servers and an internal network to which a relevant virtual server connects, and physical switch management information that shows a correlation between the non-virtual server and an internal network to which the non-virtual server connects. The management computer creates a virtual server that belongs to the same tenant as a physical instance, identifies a first internal network to which the non-virtual server connects, and configures the tenant so that the relevant virtual server is connected to the first internal network. | 12-17-2015 |
20150363222 | SYSTEM, METHOD AND COMPUTER PROGRAM PRODUCT FOR DATA PROCESSING AND SYSTEM DEPLOYMENT IN A VIRTUAL ENVIRONMENT - In one embodiment, a method for deploying a data processing system in a virtual environment includes deploying a data processing system call interface in a virtual machine in a virtualization environment, the system call interface being configured to trigger a locally called data processing instruction. The method also includes deploying a data processing driver in a virtual machine management platform in the virtualization environment, the data processing driver being configured to read the data processing instruction triggered by the system call interface. Moreover, the method includes deploying a data processing instruction optimizer in the virtualization environment, the optimizer being configured to optimize the data processing instruction read by the deployed data processing driver. | 12-17-2015 |
20150363224 | MOBILE AND REMOTE RUNTIME INTEGRATION - An application program may be analyzed to identify candidate classes or methods that may be executed using a remote computing node. Candidate classes or methods may be translocated to the remote computing node based on performance characteristics of the device on which the application program is running, the environment in which the device operates, and on the performance and availability of the remote computing node. An application program publisher may be assigned instances of virtual machines that may be dedicated to hosting translocated classes and methods. | 12-17-2015 |
20150363238 | RESOURCE MANAGEMENT IN A VIRTUALIZED COMPUTING ENVIRONMENT - According to examples of the present disclosure, a method is provided to perform resource management in a virtualized computing environment. The method may comprise monitoring multiple first virtual machines to update a status of each first virtual machine based on a resource consumption level of resources allocated to the first virtual machine. The method may further comprise: in response to receiving a request to allocate resources to a second virtual machine, selecting at least one of the multiple first virtual machines with an inactive status to satisfy the request. Resources allocated to the selected at least one of the multiple first virtual machines may then be released and reallocated to the second virtual machine. | 12-17-2015 |
20150363240 | SYSTEM FOR CONTROLLING RESOURCES, CONTROL PATTERN GENERATION APPARATUS, CONTROL APPARATUS, METHOD FOR CONTROLLING RESOURCES AND PROGRAM - A system for controlling the resources includes a control pattern generation unit for generating a plurality of control patterns from a virtual system model, produced by modeling the behaviors of a network element and a server of a virtual system operating on a virtual datacenter, and from a resource allocation change policy stipulating a policy or policies of change of allocation of resources to the virtual systems. The control patterns prove candidates for control commands to network resources and server resources of the virtual systems. The system for controlling the resources also includes a control unit for carrying out prediction of a service level, using the control patterns, selecting such control pattern that satisfies the service level of the virtual systems and that also satisfies a preset standard or reference for selection, from among the control patterns, with the use of the result of prediction, and putting the control pattern selected to use. | 12-17-2015 |
20150370486 | DYNAMIC STORAGE MANAGEMENT USING VIRTUAL STORAGE APPLIANCES - The techniques introduced here provide for efficient management of storage resources in a modern, dynamic data center through the use of virtual storage appliances. Virtual storage appliances perform storage operations and execute in or as a virtual machine on a hypervisor. A storage management system monitors a storage system to determine whether the storage system is satisfying a service level objective for an application. The storage management system then manages (e.g., instantiates, shuts down, or reconfigures) a virtual storage appliance on a physical server. The virtual storage appliance uses resources of the physical server to meet the storage related needs of the application that the storage system cannot provide. This automatic and dynamic management of virtual storage appliances by the storage management system allows storage systems to quickly react to changing storage needs of applications without requiring expensive excess storage capacity. | 12-24-2015 |
20150370489 | CONSISTENT UNMAPPING OF APPLICATION DATA IN PRESENCE OF CONCURRENT, UNQUIESCED WRITERS AND READERS - Free storage blocks previously allocated to a logical block device are released back to an underlying storage system supporting the logical block device in a manner that does not conflict with write operations that may be issued to the free storage blocks at about the same time. According to a first technique, write operations on the same storage blocks to be released are paused until the underlying storage system has completed the releasing operation or, if the write operations are issued earlier than when the underlying storage system actually performs the releasing operation, such storage blocks are not released. According to a second technique, a special file is allocated the free storage blocks, which are then made available for safe releasing. | 12-24-2015 |
20150370582 | AT LEAST ONE USER SPACE RESIDENT INTERFACE BETWEEN AT LEAST ONE USER SPACE RESIDENT VIRTUAL APPLIANCE AND AT LEAST ONE VIRTUAL DATA PLANE - In an embodiment, circuitry may be provided that may execute at least one interface process in a user space of a host. The host, in operation, also may have a kernel space. The at least one process may provide at least one interface, at least in part, between at least one virtual appliance and at least one virtual data plane. The at least one virtual data plane may facilitate communication between at least one physical device and the at least one virtual appliance via the at least one interface. The at least one physical device may appear to the at least one virtual appliance, when the at least one virtual appliance communicates with the at least one physical device via the at least one interface, as at least one local device. The at least one virtual appliance and the at least one interface may be resident in the user space. | 12-24-2015 |
20150370587 | COMPUTER-READABLE RECORDING MEDIUM HAVING STORED THEREIN OUTPUTTING PROGRAM, OUTPUT APPARATUS AND OUTPUTTING METHOD - A computer is caused to execute a process including acquiring operating information relating to an operation situation within a predetermined period of a virtual machine operating on an information processing apparatus from a management machine that performs acquisition of the operating information and activation control of the virtual machine, and outputting, where a first period within which operating information of the virtual machine is not acquired is included in the predetermined period, operation actual results of the virtual machine within the first period based on operating information of the management machine within the first period and operating information of the virtual machine acquired at least at one of preceding and succeeding timings to the first period. | 12-24-2015 |
20150370588 | SELECTING OPTIMAL HYPERVISOR PLATFORMS THAT SATISFY APPLICATION WORKLOAD REQUIREMENTS - A method, system and computer program product for selecting hypervisor platforms that are best suited to process application workloads. Attribute requirements for an application workload, such as high CPU capacity, high power and low cost, are received. A ranking algorithm is then applied to a list of pools of compute nodes to identify an ordered list of pools of compute nodes that are best suited for satisfying the attribute requirements of the application workload by comparing hypervisor characteristics of the pools of compute nodes with the attribute requirements of the application workload. Each pool of compute nodes runs on a particular hypervisor platform which has a unique combination of characteristics that correspond to a combination of a set of attribute requirements (e.g., medium CPU/memory/disk capacity; high CPU and memory performance). In this manner, the hypervisor platforms that are best suited for satisfying the application workload requirements are identified. | 12-24-2015 |
20150370589 | CACHING GRAPHICS OPERATION OUTPUTS - Exemplary methods, apparatuses, and systems receive a first instruction set from a first virtual machine (VM), the first instruction set including a request to perform an operation on an input. A first identifier is generated based upon the operation and the input. The first identifier is mapped to a stored copy of the input, the operation, and an output resulting from a processor performing the operation. In response to receiving a second instruction set from a second VM, a second identifier is generated based upon the input and operation received within the second instruction set. In response to determining that the second identifier matches the stored first identifier, it is further determined that the input and operation of the first instruction set matches the input and operation of the second instruction set. A copy of the stored output is returned to the second VM. | 12-24-2015 |
20150370590 | HYPERVISOR CONTEXT SWITCHING USING A TRAMPOLINE SCHEME IN PROCESSORS HAVING MORE THAN TWO HIERARCHICAL PRIVILEGE LEVELS - In a virtualized computer system operable in more than two hierarchical privilege levels, components of a hypervisor, which include a virtual machine kernel and virtual machine monitors (VMMs), are assigned to different privilege levels. The virtual machine kernel operates at a low privilege level to be able to exploit certain features provided by the low privilege level, and the VMMs operate at a high privilege level to support execution of virtual machines. Upon determining that a context switch from the virtual machine kernel to a VMM is to be performed, the computer system exits the low privilege level, and enters the high privilege level to execute a trampoline that supports context switches to VMMs, such as state changes, and then the VMM. The trampoline is deactivated after execution control is switched to the VMM. | 12-24-2015 |
20150370591 | HYPERVISOR CONTEXT SWITCHING USING A REDIRECTION EXCEPTION VECTOR IN PROCESSORS HAVING MORE THAN TWO HIERARCHICAL PRIVILEGE LEVELS - In a virtualized computer system operable in more than two hierarchical privilege levels, components of a hypervisor, which include a virtual machine kernel and virtual machine monitors (VMMs), are assigned to different privilege levels. The virtual machine kernel operates at a low privilege level to be able to exploit certain features provided by the low privilege level, and the VMMs operate at a high privilege level to support execution of virtual machines. Upon determining that a context switch from the virtual machine kernel to a VMM is to be performed, the computer system exits the low privilege level, and enters the high privilege level to execute a trampoline that supports context switches to VMMs, such as state changes, and then the VMM. The trampoline is deactivated after execution control is switched to the VMM. | 12-24-2015 |
20150370592 | HYPERVISOR CONTEXT SWITCHING USING TLB TAGS IN PROCESSORS HAVING MORE THAN TWO HIERARCHICAL PRIVILEGE LEVELS - In a virtualized computer system operable in more than two hierarchical privilege levels, components of a hypervisor, which include a virtual machine kernel and virtual machine monitors (VMMs), are assigned to different privilege levels. The virtual machine kernel operates at a low privilege level to be able to exploit certain features provided by the low privilege level, and the VMMs operate at a high privilege level to support execution of virtual machines. Upon determining that a context switch from the virtual machine kernel to a VMM is to be performed, the computer system exits the low privilege level, and enters the high privilege level to execute a trampoline that supports context switches to VMMs, such as state changes, and then the VMM. The trampoline is deactivated after execution control is switched to the VMM. | 12-24-2015 |
20150370593 | SYSTEM CONSTRUCTION DEVICE AND SYSTEM CONSTRUCTION METHOD - In the case of constructing systems having configurations different each other by using a virtual machine including a common component, a binary file of the virtual machine depending on the plural systems to be constructed is generate, efficiently. The system construction device | 12-24-2015 |
20150370594 | OPTIMIZING RUNTIME PERFORMANCE OF AN APPLICATION WORKLOAD BY MINIMIZING NETWORK INPUT/OUTPUT COMMUNICATIONS BETWEEN VIRTUAL MACHINES ON DIFFERENT CLOUDS IN A HYBRID CLOUD TOPOLOGY DURING CLOUD BURSTING - A method, system and computer program product for optimizing runtime performance of an application workload. Network input/output (I/O) operations between virtual machines of a pattern of virtual machines servicing the application workload in a private cloud are measured over a period of time and depicted in a histogram. A score is generated for each virtual machine or group of virtual machines in the pattern of virtual machines based on which range in the ranges of I/O operations per seconds (IOPS) depicted in the histogram has the largest sample size and the number of virtual machines in the same pattern that are allowed to be in the public cloud. In this manner, the runtime performance of the application workload is improved by minimizing the network input/output communications between the two cloud environments by migrating those virtual machine(s) or group(s) of virtual machines with a score that exceeds a threshold value. | 12-24-2015 |
20150370595 | IMPLEMENTING DYNAMIC VIRTUALIZATION OF AN SRIOV CAPABLE SAS ADAPTER - A method, system and computer program product are provided for implementing dynamic virtualization of a Single Root Input/Output Virtualization (SRIOV) capable Serial Attached SCSI (SAS) adapter. The SRIOV SAS adapter includes a plurality of virtual functions (VFs). Each individual Host Bus Adapter (HBA) resource is enabled to be explicitly assigned to a virtual function (VF); and each VF being enabled to be assigned to a system partition. Multiple VFs are enabled to be assigned to a single system partition. | 12-24-2015 |
20150370596 | SYSTEM AND METHOD FOR LIVE MIGRATION OF A VIRTUALIZED NETWORKING STACK - A method and apparatus are provided in which a source and target perform bidirectional forwarding of traffic while a migration guest is being transferred from the source to the target. In some examples, the migration guest is exposed to the impending migration and takes an action in response. A virtual network programming controller informs other devices in the network of the change, such that those devices may communicate directly with the migration guest on the target host. According to some examples, an “other” virtual network device in communication with the controller and the target host facilitates the seamless migration. In such examples, the forwarding may be performed only until the other virtual machine receives an incoming packet from the target host, and then the other virtual machine resumes communication with the migration guest on the target host. | 12-24-2015 |
20150370607 | BLUEPRINT-DRIVEN ENVIRONMENT TEMPLATE CREATION IN A VIRTUAL INFRASTRUCTURE - A system for blueprint-driven environment template creation in a virtual infrastructure comprises a processor and a memory. The processor is configured to receive a blueprint, receive an environment template configuration, and build an environment template using the blueprint and the environment template configuration. The environment template is for provisioning an environment. The environment is for deploying an application. The memory is coupled to the processor and is configured to provide the processor with instructions. | 12-24-2015 |
20150378753 | ROLLING RESOURCE CREDITS FOR SCHEDULING OF VIRTUAL COMPUTER RESOURCES - A network-based virtual computing resource provider may offer virtual compute instances that implement rolling resource credits for scheduling virtual computing resources. Work requests for a virtual compute instance may be received at a virtualization manager. A resource credit balance may be determined for the virtual compute instance. The resource credit balance may accumulate resource credits in rolling fashion, carrying over unused credits from previous time periods. Resource credits may then be applied when generating scheduling instructions to provide to a physical resource to perform the work requests, such as a physical CPU in order to increase the utilization of the resource according to the number of credits applied. Applied resource credits may then be deducted from the credit balance. | 12-31-2015 |
20150378755 | AFFINITY OF VIRTUAL PROCESSOR DISPATCHING - In an embodiment, a request is received for a first partition to execute on a first virtual processor. If the first physical processor is available at a first node, the first virtual processor is dispatched to execute at the first physical processor at the first node that is the home node of the first virtual processor. If the first physical processor is not available, a determination is made whether the first physical processor is assigned to a second virtual processor and a home node of the second virtual processor is not the first node. If the first physical processor is assigned to a second virtual processor and the home node of the second virtual processor is not the first node, execution of the second virtual processor is stopped on the first physical processor and the first virtual processor is dispatched to the first physical processor. | 12-31-2015 |
20150378759 | DETERMINING STATUS OF MIGRATING VIRTUAL MACHINES - Systems and techniques are described for determining the status of migrating virtual machines. A described technique includes initiating a migration of one or more virtual machines from a source host that is located at a source site to a destination host that is located at a destination site. A determination is made that a fault has occurred during the migration of the one or more virtual machines. In response to determining that the error has occurred, a determination is made, for each virtual machine of the one or more virtual machines, whether the virtual machine has been fully migrated from the source host to the destination host. | 12-31-2015 |
20150378760 | NETWORK-BASED SIGNALING TO CONTROL VIRTUAL MACHINE PLACEMENT - A virtualized computing environment includes a plurality of host computers, each host being connected to a physical network and having a hypervisor executing therein. To provision a virtual machine requiring a connection to a virtual network in one of the hosts, a candidate host for hosting the virtual machine, the candidate host having the virtual network configured therein, is selected. A request is then made for a status of the virtual network to the candidate host. The status of the virtual network is then received from the candidate host. If the virtual network is available, then the virtual machine is deployed to the candidate host. If the virtual network is not available, then a second candidate host is selected for hosting the virtual machine. | 12-31-2015 |
20150378761 | Maintaining High Availability During Network Partitions for Virtual Machines Stored on Distributed Object-Based Storage - Techniques are disclosed for maintaining high availability (HA) for virtual machines (VMs) running on host systems of a host cluster, where each host system executes a HA module in a plurality of HA modules and a storage module in a plurality of storage modules, where the host cluster aggregates, via the plurality of storage modules, locally-attached storage resources of the host systems to provide an object store, where persistent data for the VMs is stored as per-VM storage objects across the locally-attached storage resources comprising the object store, and where a failure causes the plurality of storage modules to observe a network partition in the host cluster that the plurality of HA modules do not. In one embodiment, a host system in the host cluster executing a first HA module invokes an API exposed by the plurality of storage modules for persisting metadata for a VM to the object store. If the API is not processed successfully, the host system: (1) identifies a subset of second HA modules in the plurality of HA modules; (2) issues an accessibility query for the VM to the subset of second HA modules in parallel, the accessibility query being configured to determine whether the VM is accessible to the respective host systems of the subset of second HA modules; and (3) if at least one second HA module in the subset indicates that the VM is accessible to its respective host system, transmits a command to the at least one second HA module to invoke the API on its respective host system. | 12-31-2015 |
20150378762 | MONITORING AND DYNAMIC CONFIGURATION OF VIRTUAL-MACHINE MEMORY-MANAGEMENT - The current document is directed to methods and systems for monitoring the performance of memory management in virtual machines. By accurately measuring the performance of memory management in virtual machines, a virtualization layer can dynamically reconfigure virtual machines to use more optimal memory-management methods, intelligently schedule execution of virtual machines to increase memory-management performance, and migrate virtual machines among different servers and computer systems to increase memory-management performance. | 12-31-2015 |
20150378763 | METHODS AND APPARATUS TO MANAGE MONITORING AGENTS - Methods and apparatus to manage monitoring agents. A disclosed example involves determining if a virtual machine has a first service installed that corresponds to a service rule, the service rule to specify a service identifier and a configuration for a monitoring agent. The method also involves determining if the virtual machine has the monitoring agent corresponding to the first service. The method also involves, in response to determining if the first service matches the service identifier specified by the service rule and that the monitoring agent is not installed on the virtual machine, initiating installation of the monitoring agent on the virtual machine. | 12-31-2015 |
20150378764 | CAPACITY RISK MANAGEMENT FOR VIRTUAL MACHINES - An access data collector collects access assignment data characterizing active access assignment operations of a hypervisor in assigning host computing resources among virtual machines for use in execution of the virtual machines. Then, a capacity risk indicator calculator calculates a capacity risk indicator characterizing a capacity risk of the host computing resources with respect to meeting a prospective capacity demand of the virtual machines, based on the access assignment data | 12-31-2015 |
20150378765 | METHODS AND APPARATUS TO SCALE APPLICATION DEPLOYMENTS IN CLOUD COMPUTING ENVIRONMENTS USING VIRTUAL MACHINE POOLS - Methods and apparatus are disclosed to scale application deployments in cloud computing environments using virtual machine pools. An example method disclosed herein includes displaying a user-selectable control to specify whether the application is to be scaled in accordance with a scaling policy, based on selection of the user-selectable control, storing, in a blueprint of the application, an indication of whether the application is to be scaled in accordance with the scaling policy, based on the indication in the blueprint, preparing a virtual machine pool in the computing environment, the virtual machine pool including a virtual machine provisioned for use in a scaling operation, in response to a request to scale the application deployed in a deployment environment, determining whether configuration information satisfies a scaling requirement, and based on the determination, performing the scaling operation in accordance with the request to scale by transferring the virtual machine to the deployment environment. | 12-31-2015 |
20150378766 | LIVE MIGRATION OF VIRTUAL MACHINES WITH MEMORY STATE SHARING - Embodiments described herein rapidly migrate child virtual machines (VM) by leveraging shared memory resources between parent and child VMs. In a first, proactive phase, parent VMs are migrated to a plurality of potential target hosts. In a second, reactive phase, after a request is received to migrate a child VM to a selected target host, memory blocks that are unique to the child VM are migrated to the selected target host. In some examples, memory blocks are compressed and decompressed as needed. In other examples, the operation environment is modified. Aspects of the disclosure offer a high performance, resource efficient solution that outperforms traditional approaches in areas of software compatibility, stability, quality of service control, resource utilization, and more. | 12-31-2015 |
20150378767 | USING ACTIVE/ACTIVE ASYNCHRONOUS REPLICATED STORAGE FOR LIVE MIGRATION - Examples perform live migration of VMs from a source host to a destination host. The disclosure changes the storage environment, directly or through a vendor provider, to active/active synchronous and, during migration, migrates only data which is not already stored at the destination host. The source and destination VMs have concurrent access to storage disks during migration. After migration, the destination VM executes, with exclusive access to the storage disks, and the system is returned to the previous storage environment (e.g., active/active asynchronous). | 12-31-2015 |
20150378768 | LOCATION MANAGEMENT IN A VOLUME ACTION SERVICE - Systems, methods, and software described herein manage volumes and virtual machines using a location database gathered from a hypervisor management system. In one example, a method of operating a volume attachment service to manage volumes and virtual machines includes transferring a location request to a hypervisor management service to identify locations of one or more virtual machines. The method further provides, receiving the locations of the one or more virtual machines and storing the locations in a location database. The method also includes identifying a volume action request for a first virtual machine, and directing the volume action request to a hypervisor of the first virtual machine based on the locations in the location database. | 12-31-2015 |
20150378769 | METHODS AND SYSTEMS FOR PROVISIONING A VIRTUAL RESOURCE IN A MIXED-USE SERVER - A method for provisioning a virtualized resource includes directing, by a provisioning machine, a server-executed hypervisor to provision a virtual machine. The provisioning machine directs generation of an organizational unit within a first organizational unit within a multi-tenant directory service separated from a second organizational unit in the multi-tenant directory service by a firewall. The provisioning machine associates the virtual machine with the first organizational unit. The provisioning machine establishes at least one firewall rule on the virtual machine restricting communications to the virtual machine to communications from explicitly authorized machines, which including at least one other machine within the organizational unit. The provisioning machine receives a request to provision a virtualized resource for at least one user. The provisioning machine updates data associated with the organizational unit to include an identification of the at least one user. The provisioning machine directs the virtual machine to host the virtualized resource. | 12-31-2015 |
20150378770 | VIRTUAL MACHINE BACKUP - A virtual machine backup method includes utilizing a log to indicate updates to memory of a virtual machine when the updates are evicted from a cache of the virtual machine. A guard band is determined that indicates a threshold amount of free space for the log. A determination is made that the guard band will be or has been encroached upon corresponding to indicating an update in the log. A backup image of the virtual machine is updated based, at least in part, on a set of one or more entries of the log, wherein the set of entries is sufficient to comply with the guard band. The set of entries is removed from the log. | 12-31-2015 |
20150378771 | USING A DELTA QUERY TO SEED LIVE MIGRATION - Examples perform live migration of objects such as VMs from a source host to a destination host. The disclosure exposes the contents of the storage disk at the destination host, compares the storage disk of the destination host to the source host, and during migration, migrates only data which is not already stored at the destination host. The source and destination VMs have concurrent access to storage disks during migration. After migration, the destination VM executes, with exclusive access to the storage disks. | 12-31-2015 |
20150378772 | SUPPORTING FLEXIBLE DEPLOYMENT AND MIGRATION OF VIRTUAL SERVERS VIA UNIQUE FUNCTION IDENTIFIERS - A management system and method that generally allocates a virtual function to a virtual function definition of a virtual server, where the virtual function definition of the virtual server is previously assigned with a unique function identifier, and assigns the unique function identifier to the virtual function in response to the allocating of the virtual function, where the unique function identifier causes a discovery of the virtual function by the virtual server. | 12-31-2015 |
20150378783 | LIVE MIGRATION WITH PRE-OPENED SHARED DISKS - Examples perform live migration of virtual machines (VM) from a source host to a destination host. The live migration performs time-consuming operations before the source host is stunned, reducing the downtime apparent to users. Some examples contemplate pre-copying memory from the source VM to the destination VM, and the opening of disks on the destination VM before stunning the source VM. | 12-31-2015 |
20150378785 | USING ACTIVE/PASSIVE ASYNCHRONOUS REPLICATED STORAGE FOR LIVE MIGRATION - The disclosure describes performing live migration of objects such as virtual machines (VMs) from a source host to a destination host. The disclosure changes the storage environment, directly or through a vendor provider, to active/passive synchronous or near synchronous and, during migration, migrates only data which has not already been replicated at the destination host. The source and destination VMs have concurrent access to storage disks during migration. After migration, the destination VM executes with exclusive access to the storage disks, and the system is returned to the previous storage environment of active/passive asynchronous. | 12-31-2015 |
20160004548 | NOTIFICATION CONVERSION PROGRAM AND NOTIFICATION CONVERSION METHOD - A non-transitory computer-readable storage medium storing a notification conversion program causing a computer to execute a process includes determining, in response to acquisition of a first notification transmitted from a first virtual machine, a type of first management software capable of executing the first notification by referring to a first storage unit storing therein first information in which a notification transmitted from a virtual machine and a type of management software capable of executing a notification transmitted from a virtual machine are associated with each other, converting the first notification into a second notification executable by second management software that has acquired the first notification, based on the determined type of the first management software; and executing the second notification obtained by the conversion. | 01-07-2016 |
20160004549 | METHOD AND APPARATUS TO CONCEAL THE CONFIGURATION AND PROCESSING OF THE REPLICATION BY VIRTUAL STORAGE - A computer comprises a memory, and a processor being operable to manage a relationship between an image of a virtual machine and a plurality of storage systems forming a virtual storage system, and storing the relationship in the memory. The processor is operable to create a new image of the virtual machine in a target storage system of the plurality of storage systems based on the relationship, the new image of the virtual machine to be used to deploy the virtual machine in the target storage system. When the relationship indicates that the target storage system does not have the image, the processor is operable to copy the image from another storage system of the plurality of storage system to the target storage system and to create a new image of the virtual machine in the target storage system from the copied image in the target storage system. | 01-07-2016 |
20160004550 | VIRTUALIZATION SYSTEM - A virtualization system includes: a virtualizing means for activating a virtual machine to which identification information identifying the virtual machine is assigned and which is capable of executing a predetermined function; a plurality of virtual machines activated by the virtualizing means; and a correspondence table creating means for creating a function correspondence table in which the identification information assigned to each of the virtual machines is associated with a function to be executed by the virtual machine among functions that can be executed by the virtual machines. The virtual machine specifies an associated function in the function correspondence table on the basis of the identification information assigned to the virtual machine, and executes the specified function. | 01-07-2016 |
20160004551 | RESOURCE MANAGEMENT SYSTEM AND RESOURCE MANAGEMENT METHOD - The integrated resource management unit | 01-07-2016 |
20160004552 | COMPUTER SYSTEM AND CONTROL METHOD THEREFOR - The performance of a virtual machine is maintained, by migrating an appropriate target virtual machine for migration to an appropriate destination resource, in response to a load on the virtual machine. | 01-07-2016 |
20160004553 | INFORMATION PROCESSING SYSTEM AND METHOD FOR RELOCATING APPLICATION - An application owned by an information processing device of any of a plurality of bases connected to each other via a network and each having an information processing device for operating the application by a virtual machine unit is, including the virtual machine unit, relocated to another base. The migration of the virtual machine unit that executes the application is controlled, the information processing device of the base that is a relocation destination is determined, and a base-by-base and application-by-application backup generation is grasped for each application. As bases for backing up data required to execute the application, information processing devices of a plurality of bases are selected, and the data is moved to each of the information processing devices and stored. | 01-07-2016 |
20160004554 | INFORMATION PROCESSING DEVICE AND RESOURCE ALLOCATION METHOD - A device includes a storage which has stored therein setting information that specifies, for each virtual machine to be created, the number of arithmetic processing unit cores that have to be allocated to a virtual machine, and group information that represents a plurality of virtual machines operating in cooperation as a group, from among the virtual machines represented by the setting information, and a virtual machine monitor, when a first virtual machine has been created, from among the virtual machines represented by the setting information, which refers to the setting information and the group information so as to allocate as many arithmetic processing unit cores as the setting information specifies to the first virtual machine, according to a rule that takes account of a decrease in operation performance of all the operable virtual machines that is associated with a failure occurring in any of the arithmetic processing units. | 01-07-2016 |
20160006617 | CLOUD APPLICATION BANDWIDTH MODELING - According to an example, a cloud bandwidth modeling system may determine components for an application, create a vertex for each component in a graph representing a bandwidth model for the application, determine bandwidth requirements between each component, and create directed edges between the components to represent the bandwidth requirements. | 01-07-2016 |
20160011777 | DATA STORAGE DEVICE HAVING A VIRTUAL MACHINE | 01-14-2016 |
20160011890 | COMPATIBILITY METHOD AND APPARATUS | 01-14-2016 |
20160011891 | Engine for Virtual Machine Resources | 01-14-2016 |
20160011892 | APPLICATION DISCOVERY IN VIRTUAL MACHINES USING TEMPORAL CLUSTERING OF INSTALLATION FILES | 01-14-2016 |
20160011893 | MANAGING GENERATED TRACE DATA FOR A VIRTUAL MACHINE | 01-14-2016 |
20160011894 | METHODS AND APPARATUS TO CONFIGURE VIRTUAL RESOURCE MANAGERS FOR USE IN VIRTUAL SERVER RACK DEPLOYMENTS FOR VIRTUAL COMPUTING ENVIRONMENTS | 01-14-2016 |
20160011895 | VIRTUAL MACHINE CONTEXT MANAGEMENT | 01-14-2016 |
20160011896 | Mega Data Center Using Mini-cloud Host in Residential Environment | 01-14-2016 |
20160011897 | METHOD AND SYSTEM FOR INTEGRATING AND IMPLEMENTING VIRTUAL SERVICE PACKAGES ACROSS DIFFERENT SERVICE VIRTUALIZATION TOOLS | 01-14-2016 |
20160011898 | VIRTUAL MACHINE STORAGE | 01-14-2016 |
20160011899 | SOFTWARE SAFE SHUTDOWN SYSTEM, SOFTWARE SAFE SHUTDOWN METHOD, AND PROGRAM | 01-14-2016 |
20160011900 | METHODS AND APPARATUS TO TRANSFER PHYSICAL HARDWARE RESOURCES BETWEEN VIRTUAL RACK DOMAINS IN A VIRTUALIZED SERVER RACK | 01-14-2016 |
20160011913 | Safe consolidation and migration | 01-14-2016 |
20160019074 | DISTRIBUTED CLOUD COMPUTING ELASTICITY - A method comprising, in a cloud computing system: receiving a new job at the cloud computing system; sampling VMs (Virtual Machines) of the cloud computing system for the load currently handled by each of the VMs; if the load currently handled by the VMs is within operational bounds, sending the new job to one of the VMs which currently handles the highest load compared to other ones of the VMs; and if the load currently handled by the VMs is beyond operational bounds, sending the new job to one of the VMs which currently handles the lowest load compared to other ones of the VMs. | 01-21-2016 |
20160019075 | VIRTUAL MACHINE SUSPENSION IN CHECKPOINT SYSTEM - Performing a checkpoint includes determining a checkpoint boundary of the checkpoint for a virtual machine, wherein the virtual machine has a first virtual processor, determining a scheduled hypervisor interrupt for the first virtual processor, and adjusting, by operation of one or more computer processors, the scheduled hypervisor interrupt to before or substantially at the checkpoint boundary. | 01-21-2016 |
20160019076 | PROVENANCE IN CLOUD COMPUTING SYSTEMS - A method comprises pairing a virtual machine instance with a virtual agent that is registered with registry in an execution environment. In this regard, upon instantiating the virtual machine and the corresponding virtual agent, the virtual agent monitors for transaction(s), e.g., a specific invoked method, on that execution environment. The virtual agent is also configured for generating an event in response to detecting the transaction. The virtual agent provides a unique signature associated with the event, which identifies the origin of the virtual machine instance. Still further, the virtual agent is configured for forwarding the event to the registry for collating with other events so as to produce composite end-to-end logs of processes in a manner that enables provenance. | 01-21-2016 |
20160019077 | IMPORTING A RUNNING VM - A virtualization manager executing on a processing device adds a host to a list of hosts associated with the virtualization manager. The virtualization manager identifies a list of external VMs running on the host that are not managed by the virtualization manager. The virtualization manager obtains detailed information for each of the external VMs running on the host from an agent running on the host. The virtualization manager then manages the external VMs running on the host using the detailed information. | 01-21-2016 |
20160019078 | IMPLEMENTING DYNAMIC ADJUSTMENT OF I/O BANDWIDTH FOR VIRTUAL MACHINES USING A SINGLE ROOT I/O VIRTUALIZATION (SRIOV) ADAPTER - A method, system and computer program product are provided for implementing dynamic adjustment of Input/Output bandwidth for Virtual Machines of a Single Root Input/Output Virtualization (SRIOV) adapter. The SRIOV adapter includes a plurality of virtual functions (VFs). Each individual virtual function (VF) is enabled to be explicitly assigned to a Virtual Machine (VM); and each of a plurality of VF teams is created with one or more VFs and is assigned to a VM. Each VF team is enabled to be dynamically resizable for dynamic adjustment of Input/Output bandwidth. | 01-21-2016 |
20160019080 | ALLOCATING STORAGE FOR VIRTUAL MACHINE INSTANCES BASED ON INPUT/OUTUPT (I/O) USAGE RAGE OF THE DISK EXTENTS STORED IN AN I/O PROFILE OF A PREVIOUS INCARNATION OF THE VIRTUAL MACHINE - A method, system and computer program product for allocating storage for virtual machine instances. The input/output (I/O) usage of disk extents utilized by a virtual machine is saved in an I/O profile of the virtual machine. In response to deallocating the virtual machine, the I/O usage of the disk extents is extracted from its I/O profile and saved in a data structure. Upon starting a new instance of the virtual machine, new disk extents are allocated to the new virtual machine instance. The I/O usage of the disk extents for the previous incarnation of the virtual machine is applied to the disk extents allocated to the new virtual machine instance. The newly allocated disk extents can now be placed in either a solid-state drive device or a hard disk drive device based on this I/O history without requiring a twenty-four hour long cycle. | 01-21-2016 |
20160019081 | VIEWING A SNAPSHOT OF A VIRTUAL MACHINE - In a computer-implemented method for viewing a snapshot of a virtual machine, during operation of a virtual machine in a first console, at least one snapshot of the virtual machine is presented for selection, wherein the snapshot includes a previous state of the virtual machine. Responsive to a selection of the snapshot, a second virtual machine of the selected snapshot is deployed in a second console, wherein the second virtual machine is deployed without closing the virtual machine in the first console. | 01-21-2016 |
20160019082 | COMPARING STATES OF A VIRTUAL MACHINE - In a computer-implemented method for comparing states of a virtual machine, a plurality of selectable states including a current state of a virtual machine and at least one snapshot of the virtual machine are presented for selection, wherein the at least one snapshot includes a state of the virtual machine at a previous state. Responsive to a selection of at least two states of the plurality of selectable states, a comparison tool for comparing information between the at least two states of the virtual machine is presented. | 01-21-2016 |
20160019083 | MODIFYING A STATE OF A VIRTUAL MACHINE - In a computer-implemented method for modifying a state of a virtual machine, information between two states of a virtual machine is compared, wherein the two states include a current state of the virtual machine and previous state of the virtual machine. The previous state of the virtual machine is included within a snapshot of the virtual machine at the previous state. Information that is different between the two states is identified. The information that is different between the two states is presented, wherein the information that is different is selectable for copying between the two states. | 01-21-2016 |
20160019084 | METHOD AND SYSTEM FOR INTER-CLOUD VIRTUAL MACHINES ASSIGNMENT - A method is disclosed for providing for a high-level local manager in each data center of a group of data centers. The high-level local manager is configured to allocate a new virtual machine or re-allocate an already running virtual machine. The high-level local managers exchange information with each other and run the same programs or processes, so that each local manager knows where the new virtual machine is to be assigned. Once determined which data center will execute the virtual machine, the method provides for a low-level local manager to assign the virtual machine to one of the servers of the data center according to a local algorithm. | 01-21-2016 |
20160019085 | PROVISIONING OF COMPUTER SYSTEMS USING VIRTUAL MACHINES - A provisioning server automatically configures a virtual machine (VM) according to user specifications and then deploys the VM on a physical host. The user may either choose from a list of pre-configured, ready-to-deploy VMs, or he may select which hardware, operating system and application(s) he would like the VM to have. The provisioning server then configures the VM accordingly, if the desired configuration is available, or it applies heuristics to configure a VM that best matches the user's request if it isn't. The invention also includes mechanisms for monitoring the status of VMs and hosts, for migrating VMs between hosts, and for creating a network of VMs. | 01-21-2016 |
20160019086 | APPARAUTS AND METHOD FOR GENERATING SOFTWARE DEFINED NETWORK(SDN)-BASED VIRTUAL NETWORK ACCORDING TO USER DEMAND - An apparatus and method for generating a Software Defined Network (SDN)-based virtual network. The apparatus includes a network information generator and a virtual network generator, in which an SDN-based virtual network desired by a user may be generated efficiently by allocating physical resources to reflect various user demands. | 01-21-2016 |
20160019087 | METHODS AND SYSTEMS FOR PROVIDING A CUSTOMIZED NETWORK - A method, system, and computer-readable medium for providing a secure computer network for the real time transfer of data are provided. The data is grouped and stored as per user preferences. The data being transmitted is encrypted, decrypted, and validated by the system (assuming user identifications/passwords are verified). | 01-21-2016 |
20160019088 | MOBILITY OPERATION RESOURCE ALLOCATION - According to one aspect of the present disclosure, a method and technique for mobility operation resource allocation is disclosed. The method includes: receiving a request to migrate a running application from a first machine to a second machine; displaying an adjustable resource allocation mobility setting interface indicating a plurality of mobility settings comprising at least one performance-based mobility setting and at least one concurrency-based mobility setting; receiving, via the interface, a selection of a mobility setting defining a resource allocation to utilize for the migration; and migrating the running application from the first machine to the second machine utilizing resources as set by the selected mobility setting. | 01-21-2016 |
20160026485 | SYSTEM AND METHOD OF LOADING VIRTUAL MACHINES - A system and method is provided of swapping a first virtual machine for a second virtual machine by modifying those portions of memory where the two machines differ. | 01-28-2016 |
20160026488 | INSTRUCTION SET EMULATION FOR GUEST OPERATING SYSTEMS - The described implementations relate to virtual computing techniques. One implementation provides a technique that can include receiving a request to execute an application. The application can include first application instructions from a guest instruction set architecture. The technique can also include loading an emulator and a guest operating system into an execution context with the application. The emulator can translate the first application instructions into second application instructions from a host instruction set architecture. The technique can also include running the application by executing the second application instructions. | 01-28-2016 |
20160026489 | LIVE MIGRATION OF VIRTUAL MACHINES THAT USE EXTERNALIZED MEMORY PAGES - A method includes running a Virtual Machine (VM) on a first compute node in a plurality of compute nodes that communicate with one another over a communication network. The VM is migrated from the first compute node to a second compute node in the plurality by generating, for memory pages accessed by the VM, page transfer state of one or more local memory pages that are accessed locally on the first compute node, and of one or more externalized memory pages whose access is not confined to the first node. Based on the page transfer state, the migrated VM is provided with access to the memory pages, including both the local and the externalized memory pages, on the second compute node. | 01-28-2016 |
20160026490 | HYPERVISOR AND PHYSICAL MACHINE AND RESPECTIVE METHODS THEREIN FOR PERFORMANCE MEASUREMENT - A method performed by a hypervisor executing a virtual machine for enabling a performance measurement between the virtual machine and a peer node, and a method performed by a physical machine comprising the hypervisor are provided. The method performed by the hypervisor comprises intercepting a packet transmitted from, or destined to, the virtual machine, the packet comprising a destination address to the virtual machine or to the peer node, and determining whether to insert a hypervisor time stamp or not in the packet. The method further comprises, when it is determined to insert the hypervisor time stamp in the packet, inserting a hypervisor time stamp in the packet, and forwarding the packet to its destination according to the destination address. | 01-28-2016 |
20160026491 | APPARATUS AND METHOD FOR LEVERAGING SEMI-SUPERVISED MACHINE LEARNING FOR SELF-ADJUSTING POLICIES IN MANAGEMENT OF A COMPUTER INFRASTRUCTURE - Embodiments relate to a method for managing and analyzing a computer environment. The method includes receiving, by the host device, a set of data elements from at least one computer environment resource of the computer infrastructure, each data element of the set of data elements relating to an attribute of the at least one computer environment resource. The method includes applying a system analysis function to the set of data elements to characterize a dataset specification associated with the set of data elements. The method includes receiving, by the host device, a user-selected policy threshold criterion based on the dataset specification and providing the user-selected policy threshold criterion to the semi-supervised learning algorithm as a parameter. The method includes adjusting a boundary of the dataset specification of the set of data elements, as associated with the user-selected policy threshold criterion, based on a behavioral change of the computer infrastructure. | 01-28-2016 |
20160026492 | ELECTRONIC APPARATUS FOR EXECUTING VIRTUAL MACHINE AND METHOD FOR EXECUTING VIRTUAL MACHINE - A method for executing a virtual machine (VM) in an electronic device is provided. The method includes obtaining a position of a first base disk image stored in a disk image storage, creating a root disk image that backs the first base disk image based on the obtained position, and executing the VM based on the created root disk image. The method further includes, in the run-time of the VM, changing the first base disk to the second base disk, and continuing the VM based on the merged root disk. | 01-28-2016 |
20160026493 | PLANNED VIRTUAL MACHINES - A planned virtual machine, for use in staging the construction of a virtual machine. Such a planned virtual machine may be used as part of a method for migrating virtual machines. The method may include creating a planned virtual machine based on a first realized virtual machine or a template, performing a configuration operation on the planned virtual machine, and converting the planned virtual machine to a second realized virtual machine. The configuration operation may comprise interaction with a virtualization platform managing the planned virtual machine and may be based on input provided by a user. | 01-28-2016 |
20160026541 | METHOD AND SYSTEM FOR IMPLEMENTING CONSISTENCY GROUPS WITH VIRTUAL MACHINES - Disclosed is an approach for implementing disaster recovery for virtual machines. Consistency groups are implemented for virtual machines, where the consistency group link together two or more VMs. The consistency group includes any set of VMs which need to be managed on a consistent basis in the event of a disaster recovery scenario. | 01-28-2016 |
20160026789 | EMULATING EXPECTED NETWORK COMMUNICATIONS TO APPLICATIONS IN A VIRTUAL MACHINE ENVIRONMENT - One example method includes executing a software application within the virtual machine environment; during execution of the software application, detecting a network request sent from the software application within the virtual machine environment, the network request formatted according to a particular network protocol; in response to detecting the network request: determining an expected response to the network request based on at least one of information included in the network request or the particular network protocol; and providing the expected response to the software application within the virtual machine environment. | 01-28-2016 |
20160028658 | DISTRIBUTED VIRTUAL SWITCH FOR VIRTUALIZED COMPUTER SYSTEMS - A runtime state of a virtual port associated with a virtual machine (“VM”) is persisted as the VM is migrated from a source host to a destination host. In certain embodiments, a virtual switch forwards network frames between the VM and the physical network interface via the virtual port. During migration of the VM, the runtime state of the virtual port is transferred to the destination host and applied at the second host to a virtual port associated with a second virtual switch at the destination host. The runtime state of the virtual port at the source host is then cleared, and the second virtual switch at the destination host forwards network frames between the migrated VM and the physical network interface of the destination host using the virtual port at the second host. | 01-28-2016 |
20160034287 | PLANNED VIRTUAL MACHINES - A planned virtual machine, for use in staging the construction of a virtual machine. Such a planned virtual machine may be used as part of a method for migrating virtual machines. The method may include creating a planned virtual machine based on a first realized virtual machine or a template, performing a configuration operation on the planned virtual machine, and converting the planned virtual machine to a second realized virtual machine. The configuration operation may comprise interaction with a virtualization platform managing the planned virtual machine and may be based on input provided by a user. | 02-04-2016 |
20160034288 | METHOD AND AN APPARATUS FOR CO-PROCESSOR DATA PLANE VIRTUALIZATION - A method and a system embodying the method for a data plane virtualization, comprising assigning each of at least one data plane a unique identifier; providing a request comprising an identifier of one of the at least one data plane together with an identifier of a virtual resource assigned to a guest; determining validity of the provided request in accordance with the identifier of the one of the at least one data plane and the identifier of the virtual resource assigned to the guest; and processing the request based on the determined validity of the request are disclosed. | 02-04-2016 |
20160034289 | COMPUTER SYSTEM AND PROCESSING METHOD OF THE SAME - A computer system including a peripheral equipment and a blade server provided with a plurality of blades, which are physical machines, and a plurality of virtual machines available on the blades, a same OS identifier is allocated, before and after the migration, to an OS that migrates along with migration of the virtual machine, migrates among the plurality of virtual machines or migrates between the virtual machine and the blade, and log of the blades and/or the virtual machines, and log of the peripheral equipment are stored in association with the OS identifier. | 02-04-2016 |
20160034290 | DYNAMICALLY DEPLOYED VIRTUAL MACHINE - A virtual machine data handling system includes a data handling system, a hypervisor, and a dynamically deployed virtual machine. The data handling system includes a plurality of physical computing resources (e.g., a processor and a memory). The hypervisor is implemented by the processor and the memory and deploys virtual machines from a master image. The dynamically deployed virtual machine is initially deployed by the hypervisor as a Linked Clone of the master image. The dynamically deployed virtual machine is subsequently dynamically deployed by the hypervisor copying a plurality of virtual memory segments from the master image until the dynamically deployed virtual machine is an independent Full Clone of the master image. The hypervisor may copy the plurality of virtual memory segments from the master image if at least one of the physical resources is operating below a utilization threshold. | 02-04-2016 |
20160034291 | SYSTEM ON A CHIP AND METHOD FOR A CONTROLLER SUPPORTED VIRTUAL MACHINE MONITOR - A system on a chip comprising: a first communication controller; at least one second communication controller operably coupled to the first communication controller; at least one processing core operably coupled to the first communication controller and arranged to support software running on a first partition and a second partition; and a virtual machine monitor located between the first and second partitions, and the at least one processing core and arranged to support communications there between. The first communication controller is arranged to: generate or receive at least one data frame; and communicate the at least one data frame to the at least one second communication controller; such that the at least one second communication controller is capable of routing the at least one data frame to the second partition bypassing the virtual machine monitor. | 02-04-2016 |
20160034292 | MONITORING AND DYNAMICALLY RECONFIGURING VIRTUAL MACHINE PATTERNS - A cloud manager monitors running VM patterns, determines potential VM patterns that have a different configuration than the running VM patterns, and performs estimates of a plurality of metrics for the potential VM patterns. When the estimates for the potential VM patterns exceed the monitored VM patterns currently running by some threshold amount, the potential VM patterns may be automatically deployed to one or more clouds. The result is a cloud-based system that is automatically and dynamically tuned to changing conditions. | 02-04-2016 |
20160034293 | MONITORING AND DYNAMICALLY RECONFIGURING VIRTUAL MACHINE PATTERNS - A cloud manager monitors running VM patterns, determines potential VM patterns that have a different configuration than the running VM patterns, and performs estimates of a plurality of metrics for the potential VM patterns. When the estimates for the potential VM patterns exceed the monitored VM patterns currently running by some threshold amount, the potential VM patterns may be automatically deployed to one or more clouds. The result is a cloud-based system that is automatically and dynamically tuned to changing conditions. | 02-04-2016 |
20160034294 | DYNAMICALLY DEPLOYED VIRTUAL MACHINE - A virtual machine data handling system includes a data handling system, a hypervisor, and a dynamically deployed virtual machine. The data handling system includes a plurality of physical computing resources (e.g., a processor and a memory). The hypervisor is implemented by the processor and the memory and deploys virtual machines from a master image. The dynamically deployed virtual machine is initially deployed by the hypervisor as a Linked Clone of the master image. The dynamically deployed virtual machine is subsequently dynamically deployed by the hypervisor copying a plurality of virtual memory segments from the master image until the dynamically deployed virtual machine is an independent Full Clone of the master image. The hypervisor may copy the plurality of virtual memory segments from the master image if at least one of the physical resources is operating below a utilization threshold. | 02-04-2016 |
20160034295 | HYPERVISOR-HOSTED VIRTUAL MACHINE FORENSICS - A computer system acquires forensics data from running virtual machines in a hypervisor-hosted virtualization environment. The computer system provides a forensics partition as an additional root virtual machine partition or child virtual machine partition. The forensics partition includes a forensics service application programming interface configured to target one or more virtual machines and acquire forensics data from a targeted virtual machine running in a particular child virtual machine partition. The forensics service application programming interface is configured to communicate via one or more inter-partition communication mechanisms such as an inter-partition communication bus, a hyercall interface, or forensics switch implemented by the hypervisor-hosted virtualization environment. The forensics service application programming interface can be exposed to a forensics tool as part of a cloud-based forensics service. | 02-04-2016 |
20160034296 | METHODS AND APPARATUS FOR PROVIDING HYPERVISOR LEVEL DATA SERVICES FOR SERVER VIRTUALIZATION - A system for cloud-based data services for multiple enterprises, including a plurality of cloud hypervisors that cooperatively provide cloud-based services to multiple enterprises, each hypervisor including a plurality of cloud virtual servers, each cloud virtual server being associated with an enterprise, at least one cloud virtual disk that is read from and written to by the at least one virtual server, each cloud virtual disk being associated with an enterprise, and a virtual data services appliance, which provides cloud-based data services, and multiple data services managers, one data services manager per respective enterprise, each of which coordinates the respective virtual data services appliances for those cloud hypervisors that service its corresponding enterprise. | 02-04-2016 |
20160034297 | SYSTEMS AND METHODS FOR MODIFYING AN OPERATING SYSTEM FOR A VIRTUAL MACHINE - Systems, methods, and software are described herein for operating a data management system, including executing an attached application and application data on a first virtual machine running a first operating system, separating the attached application and application data from the first virtual machine, and dynamically attaching the application and application data to a second virtual machine running an updated version of the first operating system. | 02-04-2016 |
20160034298 | AUTHENTICATION OF VIRTUAL MACHINE IMAGES USING DIGITAL CERTIFICATES - A vendor of virtual machine images accesses a virtual computer system service to upload a digitally signed virtual machine image to a data store usable by customers of the virtual computer system service to select an image for creating a virtual machine instance. If a digital certificate is uploaded along with the virtual machine image, the virtual computer system service may determine whether the digital certificate has been trusted for use. If the digital certificate has been trusted for use, the virtual computer system service may use a public cryptographic key to decrypt a hash signature included with the image to obtain a first hash value. The service may additionally apply a hash function to the image itself to obtain a second hash value. If the two hash values match, then the virtual machine image may be deemed to be authentic. | 02-04-2016 |
20160034299 | MAINTAINING HARDWARE RESOURCE BANDWITH QUALITY-OF-SERVICE VIA HARDWARE COUNTER - Each time a currently scheduled virtual machine (VM) accesses a hardware resource over a bus for the hardware resource via the currently scheduled VM running on a processor, a hardware component adjusts a bandwidth counter associated with usage of the bus for the hardware resource, without involvement of the currently scheduled VM or a hypervisor managing the currently scheduled VM. Responsive to the bandwidth counter reaching a threshold value, the hardware component issues an interrupt for handling by the hypervisor to maintain bandwidth quality-of-service (QoS) of bus bandwidth related to the hardware resource. Upon expiration of a regular time interval prior to the bandwidth counter reaching the threshold value, the hardware component resets the bandwidth counter to a predetermined value associated with the currently scheduled VM, without involvement of the currently scheduled VM or the hypervisor; the hardware component does not issue an interrupt. The hardware resource can be memory. | 02-04-2016 |
20160034300 | INFORMATION PROCESSING DEVICING AND METHOD - An information processing device includes a processor that executes a process. The process includes: identifying a cause of a shift from non-privileged mode to privileged mode that has occurred in processing by a guest program in an upper level virtual machine in a nested virtualization environment in which a first level virtual machine monitor operates in privileged mode, and an upper level virtual machine monitor and the guest program operate in non-privileged mode; and when the identified cause is setting or updating a virtual translation table employed in a virtual translation mechanism provided to the guest program by virtualizing an address translation mechanism for hardware that uses a set translation table to translate addresses of DMA by an input/output device assigned to the upper level virtual machine, setting the translation table employed by the translation mechanism based on a correspondence relationship between guest memory space and host memory space. | 02-04-2016 |
20160034302 | VIRTUAL MACHINE MIGRATION TOOL - Tools and techniques for migrating applications to compute clouds are described herein. A tool may be used to migrate any arbitrary application to a specific implementation of a compute cloud. The tool may use a library of migration rules, apply the rules to a selected application, and in the process generate migration output. The migration output may be advisory information, revised code, patches, or the like. There may be different sets of rules for different cloud compute platforms, allowing the application to be migrated to different clouds. The rules may describe a wide range of application features and corresponding corrective actions for migrating the application. Rules may specify semantic behavior of the application, code or calls, storage, database instances, interactions with databases, operating systems hosting the application, and others. | 02-04-2016 |
20160041834 | Virtual Desktop Client, Control Chip for Virtual Desktop Client and Method for Controlling Server Device - A virtual desktop client for controlling a server device and displaying an operation image corresponding to the server device on a display device is provided. The virtual desktop client includes: a network control module, configured to receive a remote image from the server device; an input driving module, configured to drive an input device connected to the virtual desktop client, and to generate input information by the input device; and a control chip, configured to draw a pattern on the remote image according to the input information to obtain a client-end image, and to display the operation image on the display device according to the client-end image. The control chip further generates control information according to the input information that is associated with a position of the pattern, and transmits the control information to the server device through the network control module. | 02-11-2016 |
20160041835 | Cloud Reference Model Framework - A computing device implemented method, in one embodiment, can include a cloud transformation module transforming content for a compute platform. Additionally, the method can include a cloud control module receiving the content from the cloud transformation module. The method can also include the cloud control module provisioning a virtual machine appliance to form the compute platform. Furthermore, the method can include a cloud instantiation module executing the provisioning of the cloud control module. In addition, the method can include a cloud appliance module adding middleware to a virtual machine to create the virtual machine appliance. Moreover, the method can include a cloud virtualization module producing a virtualized representation of the compute platform. | 02-11-2016 |
20160041836 | DIFFERENTIAL VOLTAGE AND FREQUENCY SCALING (DVFS) SWITCH REDUCTION - Technologies are generally described for systems, devices and methods effective to schedule access to a core. In some examples, a first differential voltage frequency scaling (DVFS) value of a first virtual machine may be received by a virtual machine manager. A second DVFS value of a second virtual machine may be received by the virtual machine manager. A third DVFS value of a third virtual machine may be received by the virtual machine manager. The third DVFS value may be substantially the same as the first DVFS value and different from the second DVFS value. A dispatch cycle may be generated to execute the first, second and third virtual machines on the core. After execution of the first virtual machine, the dispatch cycle may require execution of the third virtual machine before execution of the second virtual machine. | 02-11-2016 |
20160041837 | INDIVIDUALIZING VIRTUAL HARDWARE CONFIGURATIONS OF DEPLOYED DESKTOP VIRTUAL MACHINES USING CUSTOM RESOURCE TEMPLATES - Techniques for achieving virtual hardware resources that are individualized across desktop virtual machines (VMs) are identified. Custom resource templates include virtual resource settings that are targeted for specified desktop VMs and that differ from the parent image (i.e., a template or snapshot). A customization manager processes the custom resource templates and applies the corresponding virtual resource settings directly to the specified desktop VMs. To achieve such customization using conventional techniques requires tedious and error-prone manual intervention as well as memory and time-consuming individualized recompose or re-creation operations. Advantageously, by automating and streamlining the customization process, the identified techniques decrease both memory usage and the time required to individualize the desktop VMs. | 02-11-2016 |
20160041838 | VIRTUAL PROCESSOR ALLOCATION TECHNIQUES - One or more virtual processors can be added or removed from a virtual machine based on CPU pressure measured within the virtual machine. In addition to the foregoing, CPU pressure can also be used to determine whether to remove a virtual processor from a virtual machine, which may cause the computer system to consume less power. In the alternative, virtual processors can be parked and/or unparked in order to reduce the amount of power consumed by the virtual machine. In addition, virtual processors can be forcibly parked during a migration operation. | 02-11-2016 |
20160041839 | CERTIFICATE BASED CONNECTION TO CLOUD VIRTUAL MACHINE - In an environment that includes a host computing system that executes virtual machines, and a secure cloud computing channel that communicatively couples the host to a client computing system that is assigned to a particular one of the virtual machines, the particular virtual machine generates a certificate, install the certificate on the itself, and returns a certificate representation to the client. This may occur when the virtual machine is provisioned. During a subsequent connection request from the client to the virtual machine, the virtual machine returns the certificate to the client. The client compares the certificate representation that was returned during provisioning with the certificate returned during the subsequent connection, and if there is a match, then the virtual machine is authenticated to the client. Thus, in this case, the virtual machine authenticates without the client having to generate, install, and manage security for a certificate. | 02-11-2016 |
20160048399 | ORCHESTRATED SENSOR SET - Orchestration of a set of sensors selected from a superset of sensors is disclosed. Orchestration can reduce redundant data capture associated with the superset of sensors. Further, selection of sensors for the orchestrated set of sensors can be predicated on the functionality of a sensor, location of a sensor, redundancy of a sensor, etc. Moreover, event measurement information and/or user preference information can be incorporated into the selection of sensors for inclusion in the orchestrated set. Additionally, virtual machine instances can be associated with sensor operation for the orchestrated set of sensors, which can facilitate adaptation of sensor features via the corresponding virtual machine. | 02-18-2016 |
20160048400 | DEPLOYMENT OF WEB CLIENT PLUGINS IN A VIRTUALIZED COMPUTING ENVIRONMENT - An example method to deploy a web client plugin in a virtualized computing environment may include obtaining information identifying a virtual machine at a management entity and generating configuration information that includes the information identifying the virtual machine. The method may further include registering, with the management entity, the configuration information to deploy the web client plugin as a web client extension. The registration is performed such that, in response to an access to the virtual machine via the web client plugin, the web client plugin is able to automatically identify the virtual machine based on the configuration information. | 02-18-2016 |
20160048401 | VIRTUAL MACHINE MANAGER INITIATED PAGE-IN OF KERNEL PAGES - A rule-based method for pre-fetching “important pages” from memory paging space back into kernel memory space performs the following steps: (i) establishing a first machine logic based rule, with the machine logic based rule including a first triggering condition and a first consequential responsive action; (ii) determining that the first triggering condition has occurred; and (iii) in response to the determination that the first triggering condition has occurred, performing the first consequential responsive action. The first triggering condition includes the following sub-conditions: (i) at least one of a first set of important page(s) of a computer system has been paged out of kernel memory space and into paging memory space, and (ii) a processing status of the computer system indicates that the first set of important page(s) will be likely to be required for computer operations. | 02-18-2016 |
20160048402 | HASH-BASED LOAD BALANCING FOR BONDED NETWORK INTERFACES - Systems and methods for hash-based load balancing implemented by bonded network interfaces. An example method may comprise: receiving, by a bonded interface of a computer system, a data link layer frame originated by a virtual machine; identifying a network interface controller (NIC) of the bonded interface by calculating a value of a hash function of an identifier of the virtual machine and at least one of: a destination Media Access Control (MAC) address of the data link layer frame or a destination network layer address of a network layer packet comprised by the data link layer frame; and transmitting the data link layer frame via the identified NIC. | 02-18-2016 |
20160048403 | Multi-line/Multi-state Virtualized OAM Transponder - Novel tools and techniques might provide for implementing applications management, based at least in part on operations, administration, and management (“OAM”) information. A host computing system might comprise a dedicated OAM management agent. While normal application frame flow might be sent or received by VMs running on the host computing system, OAM frame flow might be sent or received by the OAM management agent, which might also serve as an OAM frame generator. Alternatively, or additionally, based on a determination that at least one OAM frame has changed (in response to a change in address of far-end and/or near-end OAM server functions), the OAM management agent might update a list associating the at least one OAM frame that has changed with corresponding at least one VM of the one or more VMs, without restarting any of the at least one VM, the OAM management agent, and/or the host computing system. | 02-18-2016 |
20160048404 | SUSPENDING AND RESUMING VIRTUAL MACHINES - Suspending multiple virtual machines executed simultaneously a hypervisor a data processing system, including: sending, by a control instance, a request to the hypervisor to suspend virtual machines at a given future first point in time; the hypervisor determining if its virtual machines can be suspended at the first point in time, and, if the suspending is possible, returning a suspend handle to the control instance and storing the suspend handle, otherwise returning an invalid handle; when receiving a suspend handle from the hypervisor in return to the request to suspend virtual machines, the control instance sending a suspend command to the hypervisor, wherein the respective suspend handle is provided to the corresponding hypervisor; the hypervisor determining if the received suspend handle is equal to its stored handle and, if yes, suspending its virtual machines at the first point in time. | 02-18-2016 |
20160048405 | SUSPENDING AND RESUMING VIRTUAL MACHINES - Suspending multiple virtual machines executed simultaneously a hypervisor a data processing system, including: sending, by a control instance, a request to the hypervisor to suspend virtual machines at a given future first point in time; the hypervisor determining if its virtual machines can be suspended at the first point in time, and, if the suspending is possible, returning a suspend handle to the control instance and storing the suspend handle, otherwise returning an invalid handle; when receiving a suspend handle from the hypervisor in return to the request to suspend virtual machines, the control instance sending a suspend command to the hypervisor, wherein the respective suspend handle is provided to the corresponding hypervisor; the hypervisor determining if the received suspend handle is equal to its stored handle and, if yes, suspending its virtual machines at the first point in time. | 02-18-2016 |
20160048406 | SCHEDULING - A method of adjusting a scheduling parameter associated with a runnable in a multi-programmed computing system, a computer program product and scheduling unit operable to perform that method. The method comprises: analysing header information associated with a data packet received by the computing system and addressed to or from the runnable; determining whether the information associated with the data packet meets scheduling action trigger criteria; and adjusting the scheduling parameter associated with the runnable in accordance with an action associated with the meeting of the scheduling action trigger criteria. Aspects allow for dynamic change of scheduling parameters associated with a runnable in response to reception of a packet. That dynamic change depends on the properties of the received packet. Aspects allow a runtime environment to wake a runnable up and assign the runnable an appropriate priority and/or urgency of execution. Those decisions can be determined based on information derived from a header of received network packets, for example. | 02-18-2016 |
20160048407 | FLOW MIGRATION BETWEEN VIRTUAL NETWORK APPLIANCES IN A CLOUD COMPUTING NETWORK - Methods and systems for flow migration between virtual network appliances in a cloud computing network are described. A network appliances managing architecture for migrating flow between VNAs including a controller to receive performance data for a VNA and analyze the performance data to determine whether the VNA has a weak performance status, where the weak performance status corresponds to any one of an overloaded, an under-loaded, and a failed status. The network appliances managing architecture further includes a classifier to receive a flow migration request from the controller for migrating one or more flows of data packets from the VNA based on the analyzing. The classifier further identifies an active VNA for flow migration based on a mapping policy and migrates the one or more flows from the VNA to the at least one active VNA. | 02-18-2016 |
20160048408 | REPLICATION OF VIRTUALIZED INFRASTRUCTURE WITHIN DISTRIBUTED COMPUTING ENVIRONMENTS - A management platform, which includes a plurality of virtual machines, wherein one virtual machine utilizes a first hypervisor and is linked to resources in a first virtual environment of an enterprise data center, and one virtual machine uses a second heterogeneous hypervisor and is linked to resources in a second virtual environment of a cloud. A user interface allows a user to set a policy with respect to disaster recovery of the computing resources of the enterprise data center. A control component replicates some of the infrastructure of the enterprise data center to the second virtual environment of the cloud computing infrastructure, controls the plurality of virtual machines to provide failover to the cloud computing infrastructure when triggered based at least in part on the user-set policy, and controls the plurality of virtual machines to provide recovery back to the enterprise data center after failover to the cloud computing infrastructure. | 02-18-2016 |
20160048409 | SYSTEM AND METHOD FOR AUTOMATIC INITIATION AND MANAGEMENT OF A GUEST OPERATING SYSTEM WHICH ENABLES A RESTRICTION OF ACCESS TO THE HOST MACHINE - A method of initiating and managing a virtual machine guest operating system which confines user interaction on a host computer to the guest operating system which is implemented on the host computer through software programs stored on a removable, non-volatile storage medium. In one embodiment, the storage medium includes a discrete alpha partition containing software through which the host computer initially boots and which is operative to immediately run a virtual machine and a discrete beta partition which includes a guest operating system and kiosk application software which are automatically run in the virtual machine. The storage medium is thereby configures the host computer to set up and run an alternative operating system and runtime environment which restricts all user interface activity on the host computer to the alternative operating system environment. | 02-18-2016 |
20160048410 | METADATA-BASED VIRTUAL MACHINE CONFIGURATION - Methods, systems, and apparatus, including computer programs encoded on computer storage media, for associating one or more of a plurality of metadata collections with one or more respective identifiers, wherein each metadata collection includes one or more pairings of metadata attributes with metadata values, and wherein each identifier is one of a project identifier, a tag identifier or an instance identifier; identifying, based on identifier information associated with a virtual machine instance, one or more metadata values to be provided to the virtual machine instance, wherein the identifier information specifies one or more of a project identifier, a tag identifier and an instance identifier, and wherein each identified metadata value belongs to a metadata collection associated with an identifier that is specified in the identifier information; and providing, to the virtual machine instance, the identified one or more metadata values. | 02-18-2016 |
20160048411 | LIVE MIGRATION OF VIRTUAL MACHINES USING VIRTUAL BRIDGES IN A MULTI-ROOT INPUT-OUTPUT VIRTUALIZATION BLADE CHASSIS - A method for managing migration of a virtual machine includes accessing a first information handling system and a second information handling system, accessing a network information handling resource, using one or more switches to virtualize access of the network information handling resource to the first information handling system and the second information handling system, accessing a virtual bridge associated with the network information handling resource, accessing a virtual machine configured to access the resources of the first information handling system, and copying the operational state of the virtual machine from the first information handling system to the second information handling system using the first virtual bridge. The first information handling system and the second information handling system share the network information handling resource using the virtualized access. | 02-18-2016 |
20160048676 | APPARATUS AND METHOD THEREOF FOR EFFICIENT EXECUTION OF A GUEST IN A VIRTUALIZED ENVIRONMENT - A method and apparatus for efficiently executing guest programs in a virtualized computing environment are presented. The method includes executing a virtual machine on a computing hardware; executing a single hypervisor in a first security ring on the virtual machine; executing a single guest program on the virtual machine, wherein the single guest program includes a single kernel being executed in the first security ring and at least one application being executed in a second security ring; and executing at least an instruction issued by the at least one application without trapping the single hypervisor. | 02-18-2016 |
20160054987 | DEPLOYING VIRTUAL MACHINE INSTANCES OF A PATTERN TO REGIONS OF A HIERARCHICAL TIER USING PLACEMENT POLICIES AND CONSTRAINTS - A method, system and computer program product for deploying a pattern. A placement engine of a hierarchical tier selects the region(s) of a next lower tier based on placement policies and constraints at the next lower tier and availability of the artifacts required by the virtual machine instance(s) in the next lower tier utilizing the summarization of resource usage and availability at the next lower tier. The placement engine at the lowest tier selects the compute node(s) in the selected region(s) of the lowest tier to place the virtual machine instances of the pattern based on placement policies and constraints at the compute node level and availability of the artifacts required by the virtual machine instance(s) of the pattern in the compute node level. In this manner, the virtual machine instances of the pattern can be effectively deployed when the resources of the cloud environment are large and geographically dispersed. | 02-25-2016 |
20160055016 | MACHINE IDENTITY PERSISTENCE FOR USERS OF NON-PERSISTENT VIRTUAL DESKTOPS - Examples perform creation of a non-persistent virtual machine with a persistent machine identity associated with the user of the virtual machine, to provide consistent virtual desktop sessions to the user. Some examples contemplate that the machine identity is inserted into a virtual machine created through cloning, forking, or from a virtual machine pool. | 02-25-2016 |
20160055017 | APPLICATION PUBLISHING USING MEMORY STATE SHARING - Examples described herein enable memory state sharing among a plurality of virtual machines (VM) including a parent VM and a child VM. A request for memory state sharing between the parent VM and the child VM is received, and the parent VM is suspended. The child VM resumes execution of one or more suspended applications. In one example, the child FM is forked with pre-loaded, suspended applications from the parent VM. Aspects of the disclosure offer a high performance, resource efficient solution that outperforms traditional approaches in areas of software compatibility, stability, quality of service control, re-source utilization, and more. | 02-25-2016 |
20160055018 | VIRTUAL MACHINE REBOOT INFORMATION PERSISTENCE INTO HOST MEMORY - One or more techniques and/or systems are provided for virtual machine rebooting. A host machine may host a virtual machine. Virtual machine reboot information, used to reboot the virtual machine in the event of a failure or restart of the virtual machine, may be identified (e.g., file system metadata buffers, a virtual non-volatile random access memory log, user data buffers, and/or data used to reboot the virtual machine such as to perform a reboot mounting operation and/or a reboot replay operation of a volume of data associated with the virtual machine). The virtual machine reboot information may be cached within relatively fast host memory of the host machine (e.g., instead of merely within a relatively slower hard drive or other storage device). In this way, the cached virtual machine reboot information may be quickly retrieved so that the virtual machine may be rebooted in a relatively shorter amount of time. | 02-25-2016 |
20160055019 | METHOD AND SYSTEM FOR MIGRATING VIRTUAL MACHINES IN VIRTUAL INFRASTRUCTURE - A method of migrating a data compute node (DCN) in a datacenter comprising a plurality of host physical computing devices, a compute manager, and a network manager is provided. The method by the network manager configures a plurality of logical networks and provides a read-only configuration construct of at least one of the plurality of logical networks to the virtualization software of each host. The construct of each logical network includes a unique identification of the logical network. The method by the compute manager obtains the unique identification of one or more of the logical networks, determining that a particular logical network is available on first and second hosts based on the unique identification of the particular logical network, and configures a first DCN to move from the first host to the second host based on the determination that the first and second hosts are on the particular logical network. | 02-25-2016 |
20160055020 | COMBINING BLADE SERVERS BASED ON WORKLOAD CHARACTERISTICS - To perform a workload, a plurality of virtual machines (VMs) may be assigned to a plurality of blade servers. To assign the VMs, a computing system uses the characteristics of the workloads that will be executed by the virtual machines such as the number of processors or the amount of memory, storage the workload is estimated to use, and the like. Based on these workload characteristics, the computing system determines an optimal solution for deploying the VMs onto the blade servers. In one embodiment, the computing system determines whether two or more of the blade servers should be stitched together. For example, the computing system compares the workload characteristics of one of the virtual machine to the combined available resources of at least two of the blade servers. If the combined available resources satisfy the workload characteristics, the computing system stitches the blade servers together. | 02-25-2016 |
20160055021 | RAPID SUSPEND/RESUME FOR VIRTUAL MACHINES VIA RESOURCE SHARING - Examples quickly suspend and resume virtual desktops on demand or on schedule. Virtual desktops, or desktops as a service, are provided to users, where the virtual desktop is a forked VM, cloned VM, or otherwise at least a partial duplicate of an existing VM. The virtual desktop points to existing memory maintained by the existing VM, and the virtual desktop only writes to memory the pages that the virtual desktop creates or modifies. | 02-25-2016 |
20160055022 | DECREASING TIME TO DEPLOY A VIRTUAL MACHINE - In a computer-implemented method for decreasing time to deploy a virtual machine hosted by pre-configured hyper-converged computing device for supporting a virtualization infrastructure, instructions are provided to display a single end-user license agreement (EULA) to enable execution of software on the pre-configured hyper-converged computing device upon acceptance of the single EULA. Acceptance of the single EULA by a user is received. In response to the acceptance of the single EULA, a first virtual machine is deployed in a virtualization infrastructure supported by the pre-configured hyper-converged computing device such that the first virtual machine is deployed in a first time frame rather than a longer second time frame that includes accepting a plurality of EULAs. | 02-25-2016 |
20160055023 | SELECTING VIRTUAL MACHINES TO BE MIGRATED TO PUBLIC CLOUD DURING CLOUD BURSTING BASED ON RESOURCE USAGE AND SCALING POLICIES - A method, system and computer program product for selecting virtual machines to be migrated to a public cloud. The current resource usage for virtual machine instances running in the private cloud is determined. Furthermore, any scaling policies attached to the virtual machine instances running in the private cloud are obtained. Additional resource usages for any of the virtual machine instances with a scaling policy are computed for when these virtual machine instances are scaled out. A cost of running a virtual machine instance in the public cloud is then determined using its current resource usage as well as any additional resource usage if a scaling policy is attached to the virtual machine instance based on the cost for running virtual machine instances in a public cloud. If the cost is less than a threshold cost, then the virtual machine instance is selected to be migrated to the public cloud. | 02-25-2016 |
20160055024 | DEPLOYING VIRTUAL MACHINE INSTANCES OF A PATTERN TO REGIONS OF A HIERARCHICAL TIER USING PLACEMENT POLICIES AND CONSTRAINTS - A method, system and computer program product for deploying a pattern. A placement engine of a hierarchical tier selects the region(s) of a next lower tier based on placement policies and constraints at the next lower tier and availability of the artifacts required by the virtual machine instance(s) in the next lower tier utilizing the summarization of resource usage and availability at the next lower tier. The placement engine at the lowest tier selects the compute node(s) in the selected region(s) of the lowest tier to place the virtual machine instances of the pattern based on placement policies and constraints at the compute node level and availability of the artifacts required by the virtual machine instance(s) of the pattern in the compute node level. In this manner, the virtual machine instances of the pattern can be effectively deployed when the resources of the cloud environment are large and geographically dispersed. | 02-25-2016 |
20160055025 | METHOD FOR BALANCING A LOAD, A SYSTEM, AN ELASTICITY MANAGER AND A COMPUTER PROGRAM PRODUCT - A method, in a virtualised system, for balancing a load across multiple virtual machines instantiated over physical hardware of the system, including vertically scaling the capacity of respective ones of the VMs up to a physical capacity limit, L | 02-25-2016 |
20160055026 | COMPLIANCE-BASED ADAPTATIONS IN MANAGED VIRTUAL SYSTEMS - Techniques are disclosed for controlling and managing virtual machines and other such virtual systems. VM execution approval is based on compliance with policies controlling various aspects of VM. The techniques can be employed to benefit all virtual environments, such as virtual machines, virtual appliances, and virtual applications. For ease of discussion herein, assume that a virtual machine (VM) represents each of these environments. In one particular embodiment, a systems management partition (SMP) is created inside the VM to provide a persistent and resilient storage for management information (e.g., logical and physical VM metadata). The SMP can also be used as a staging area for installing additional content or agentry on the VM when the VM is executed. Remote storage of management information can also be used. The VM management information can then be made available for pre-execution processing, including policy-based compliance testing. | 02-25-2016 |
20160055027 | VIRTUAL MACHINE IMAGE DISK USAGE - The invention relates to a method for managing virtual machine image disk usage comprising a disk image emulator for a virtual machine provided by a hypervisor, comprising the steps of providing at least a first disk image comprising a sequence of data blocks for accumulating write operations to the first disk image, providing at least a second disk image comprising a sequence of data blocks for permanently storing disk image data, and providing a disk cleaning process for transferring disk image data from the first disk image to the second disk image and deleting unused data blocks in the first and/or the second disk image. | 02-25-2016 |
20160055028 | DETERMINING RESOURCE INSTANCE PLACEMENT IN A NETWORKED COMPUTING ENVIRONMENT - Embodiments of the present invention provide an approach for provisioning a virtual resource instance (e.g., a server instance, etc.) in a networked computing environment (e.g., a cloud computing environment) based upon network characteristics (e.g., physical locations, email addresses/configurations, network connection types, internet protocol (IP) addresses, etc.) of a set (at least one) of intended end users of the virtual resource instance. | 02-25-2016 |
20160062779 | ANNOUNCING VIRTUAL MACHINE MIGRATION - Systems and methods for announcing virtual machine migration. An example method may comprise: receiving, by a first hypervisor running on a first computer system, a migration announcement from a virtual machine that is undergoing live migration from the first computer system to a second computer system; and transmitting a message comprising the migration announcement to a second hypervisor running on the second computer system. | 03-03-2016 |
20160062780 | PAUSING VIRTUAL MACHINE BASED ON IDLE STATE - In one aspect, a device includes at least one processor and a memory accessible to the at least one processor. The memory bears instructions executable by the at least one processor to determine that a virtual machine (VM) at the device is in an at least partially idle state and pause the VM in response to the determination that the VM is in an at least partially idle state. | 03-03-2016 |
20160062781 | Dynamic Batch Management of Shared Buffers for Virtual Machines - Methods, systems, and computer program products for providing dynamic batch management of shared packet buffers are disclosed. A virtualized computer system may include a hypervisor with access to memory and executed by a processor to maintain a pool of host memory to store a plurality of incoming network packets received by a network device, adjust a number of memory buffers associated with the pool of host memory to resize the pool of host memory, receive an indication of an incoming network packet stored in the pool of host memory by the network device, and provide the incoming network packet to a guest. In an example, the hypervisor uses the pool of host memory to perform batch processing of the incoming network packets and dynamically adjusts the size of the pool during the processing by adding or removing memory buffers in response to an event, condition, request, etc. | 03-03-2016 |
20160062782 | Method For Deploying Virtual Machines, Device Thereof And Management Node - A method for deploying virtual machines is provided according to the disclosure, similarity between a first VM and a second VM is determined according to attribution information for the two VMs, and in a case that the first VM is similar to the second VM, the two VMs are deployed to different physical machines respectively. During this process, the similarity between the two VMs are automatically determined, and the two VMs with high similarity, which are more inclined to belong to a same cluster, are deployed to different physical machines, to avoid a problem that malfunction of a physical machine causes malfunction of an entire cluster when VMs belonging to the same cluster are deployed in the same physical machine, thereby improving operation reliability of the cluster. | 03-03-2016 |
20160062783 | Managing Virtual Machines - A virtual machine manager program or process may be executable on a host machine. The same host may support one or more virtual machines. The VM manager may include tools for accessing a pseudo console interface of a virtual machine. The tools may include a writer component to write a textual message or command to a VM pseudo console under control of the VM manager. Conversely, a reader component may read messages from the VM pseudo console. Direct access to the VM pseudo consoles may be used to advantage to update a network address of a new VM, update security keys, and other functions. Embodiments may be operated in parallel to simplify and accelerate configuration of multiple VMs. A VM manager also may be used to test PXE installs without requiring separate hardware for each installation. | 03-03-2016 |
20160062784 | METHOD FOR IMPLEMENTING VIRTUAL SECURE ELEMENT - The invention discloses a method for realizing virtual secure element (VSE), which comprises the following steps: a secure element manager (SEM) generates a request which comprises virtualized configuration information; and a virtual machine monitor in a hypervisor allocates an address space for the VSE according to the above request. | 03-03-2016 |
20160062785 | SYSTEM, MIGRATION CONTROL METHOD, AND MANAGEMENT APPARATUS - A system includes circuitry configured to determine available electric energy to be supplied to each of a plurality of servers over a predetermined period of time based on electric energy generated by alternative energy sources for each of the plurality of servers disposed at a plurality of geographically separate locations, each of the plurality of servers being configured to run a virtual machine. The circuitry is further configured to control a virtual machine running on a first server of the plurality of servers to migrate to a second server of the plurality of servers, which is determined to have a larger amount of available electric energy than the first server. | 03-03-2016 |
20160062786 | MIGRATING VIRTUAL ASSET - Embodiments include methods and devices for migrating virtual assets over networks that have a first manager connected to a physical host a virtual machine run. Aspects include registering the physical host to a second manager in the network, creating the mapping relationship of the physical host between a database of the first manager and a database of the second manager and importing instance data and status data of the virtual machine of the physical host from the database of the first manager into the database of the second manager. Aspects also include switching the management for the physical host from the first manager to the second manager. | 03-03-2016 |
20160062787 | SYSTEMS AND METHODS FOR MANAGING DATA INPUT/OUTPUT OPERATIONS IN A VIRTUAL COMPUTING ENVIRONMENT - Systems and methods for managing data input/output operations are described that include virtual machines operating with a shared storage within a host. In such a system, a computer-implemented method is provided for dynamically provisioning cache storage while operating system applications continue to operate, including stalling the virtual machine's local cache storage operations, changing the provision of cache storage size; and resuming the operations of the virtual machine. | 03-03-2016 |
20160062788 | DISTRIBUTED VIRTUAL MACHINE IMAGE MANAGEMENT FOR CLOUD COMPUTING - Embodiments of the present invention and provide a method for distributed virtual machine (VM) image management in a cloud computing management includes receiving in a hypervisor executing in memory of a host computer, a request for VM image data from a VM and determining whether or not the requested VM image data is present in a local cache of either the hypervisor of the host computer or in a cache of a hypervisor of a peer host. The method additionally includes retrieving the requested VM image data from a seed image in a remote data store in the cloud computing environment only when it is determined that the VM image data neither is present in the local cache of the hypervisor of the host computer nor is present in the cache of the hypervisor of the peer host. | 03-03-2016 |
20160062789 | STORAGE ARCHITECTURE FOR VIRTUAL MACHINES - Some embodiments of the present invention include a method comprising: accessing units of network storage that encode state data of respective virtual machines, wherein the state data for respective ones of the virtual machines are stored in distinct ones of the network storage units such that the state data for more than one virtual machine are not commingled in any one of the network storage units. | 03-03-2016 |
20160065496 | TAG INHERITANCE - A data handling system includes a managing resource that manages one or more managed resources. The managed resource inherits tags of its managing resource(s). A user of the data handling system may apply tags to a managing resource via a management console. The tags may be applied via a user interface and utilized to organize the managed and managing resources. The tags may be typeless in that the user may assign any type of meaning to any tag. Tags assigned to the managing resource are applied or inherited to the resources it manages. The pattern of inheritance repeats through ‘n’ generations as managed resources, themselves, can be managing resources. | 03-03-2016 |
20160070584 | CONTROLLING VIRTUALIZATION RESOURCE UTILIZATION BASED ON NETWORK STATE - A controller device is connected to a group of virtual machines and one or more network devices in a network. The controller device is configured to store policies relating to when to start up and when to shut down the virtual machines based on users logging into the network, users logging out of the network, users attempting to access the plurality of virtual machines, and/or particular types of traffic in the network; receive network activity data from a network device of the one or more network devices in the network; identify, based on the network activity data and the policies, a virtual machine, of the group of virtual machines, to start up or shut down; and cause the virtual machine to start up or shut down. | 03-10-2016 |
20160070585 | VIRTUAL DEVICE HYPERVISOR MEMORY LIMITED RECEIVE QUEUING - A hypervisor retrieves a packet written by a guest operating system of a virtual machine from hypervisor memory accessible to the guest operating system. The Hypervisor then adds the packet of the guest operating system to at least one receive queue associated with a virtual device. The hypervisor pauses the retrieving of additional packets from the guest upon determining that the at least one receive queue size has met a first predetermined threshold condition. The hypervisor processes queued packets from the at least one receive queue sequentially. The hypervisor restarts the retrieving of the additional packets from the guest upon determining that the at least one receive queue size has met a second predetermined threshold condition. | 03-10-2016 |
20160070586 | RESOURCE ALLOCATION/DE-ALLOCATION AND ACTIVATION/DEACTIVATION - A computer-implemented method, carried out by one or more processors, for managing resources in a server environment. In an embodiment, the method includes determining to prepare one or more virtual resources for activation of one or more allocated host resources, based, at least in part, on virtual resource definitions. The one or more allocated host resources and the one or more virtual resources are activated. Activation of the one or more virtual resources is finalized, wherein finalizing the activation includes updating the virtual resource definitions. | 03-10-2016 |
20160070587 | LOAD BALANCING OF CLONED VIRTUAL MACHINES - A method, a non-transitory computer-readable storage medium, and a computer system for managing the placement of virtual machines in a virtual machine network are disclosed. In an embodiment, a method involves determining if at least one virtual machine in a set of virtual machines supporting a process and running on a first host computer needs to be separated from other virtual machines in the set. If at least one virtual machine needs to be separated, then at least one virtual machine is selected to be separated based on the number of memory pages changed. The selected VM is then separated from the other virtual machines in the set. | 03-10-2016 |
20160070588 | Migrating Middlebox State for Distributed Middleboxes - A controller of a network control system for configuring several middlebox instances is described. The middlebox instances implement a middlebox in a distributed manner in several hosts. The controller configures, in a first host, a first middlebox instance to receive a notification from a migration module before a virtual machine (VM) running in the first host migrates to a second host and to send middlebox state related to the VM to the migration module. | 03-10-2016 |
20160070597 | DYNAMIC ZONE-BASED CLOUD MANAGEMENT - A system may be configured to allow for the specification of parameters for a desired virtual machine. The parameters may be provided in an arbitrary fashion (e.g., as opposed to the selection of pre-configured parameters). The system may cause the virtual machine to be provisioned, as a zone, on a physical machine and/or on a logical domain (“LDOM”) of a cloud system. The arbitrary fashion of the specification of the virtual machine may allow for enhanced flexibility based on users' needs, and the use of zone-based virtualization may enhance the efficiency of cloud-based virtual machine provisioning. | 03-10-2016 |
20160070599 | INSTRUCTION SET EXTENSION CONCEALMENT IN DATA CENTERS - Technologies are generally described for systems, devices and methods effective to execute a first computing task by a processor of a data center. In some examples, the first computing task may be executed using an instruction set extension. Execution of the first computing task using the instruction set extension may require a first number of clock cycles. In other examples, execution of the first computing task without using the instruction set extension may require a second number of clock cycles. In some examples, a savings value may be determined. The savings value may represent a difference between the first number of clock cycles and the second number of clock cycles. An amount of processing time may be allocated on the processor to a second computing task. The amount of processing time may be based on the savings value. The second computing task may be different from the first computing task. | 03-10-2016 |
20160070602 | WORKLOAD DISTRIBUTION MANAGEMENT APPARATUS AND CONTROL METHOD - In installing a new virtual machine on one of physical machines, a calculating unit selects an existing virtual machine amongst a plurality of existing virtual machines already deployed on the physical machines based on information about a use form of the new virtual machine and information about a use form of each of the existing virtual machines. The calculating unit predicts a workload on each of the physical machines using history information indicating past workload status of the selected existing virtual machine, and determines an installation-target physical machine for the new virtual machine based on the prediction results. | 03-10-2016 |
20160077845 | VARIABLE TIMESLICES FOR PROCESSING LATENCY-DEPENDENT WORKLOADS - A virtualization host may implement variable timeslices for processing latency dependent workloads. Multiple virtual compute instances on a virtualization host may utilize virtual central processing units (vCPUs) to obtain physical processing resources, such as one or more central processing units (CPUs). A vCPU currently utilizing a CPU to performing processing work according to a scheduled timeslice may be preempted by a latency dependent vCPU before completion of the scheduled timeslice. The latency-dependent vCPU may complete processing work, and utilization of the CPU may be returned to the vCPU. A preemption compensation may be determined for the scheduled timeslice to increase the scheduled timeslice for the vCPU such that utilization for the vCPU is performed according to the increased scheduled timeslice. | 03-17-2016 |
20160077846 | RESOURCE CREDIT POOLS FOR REPLENISHING INSTANCE RESOURCE CREDIT BALANCES OF VIRTUAL COMPUTE INSTANCES - A provider network may implement resource credit pools to replenish resource credit balances for virtual compute instances. A resource credit pool may be maintained that makes resource credits available to virtual compute instances authorized to obtain resource credits from the resource credit pool. Resource credits from the resource credit pool may be applicable to increase utilization of physical computer resource for a virtual compute instance. In response to a resource credit request for an authorized virtual compute instance, a number of resource credits to add to an individual resource credit balance for the authorized virtual compute instance may be determined. A response may be sent indicating the number of resource credits to add to the individual resource credit balance and the resource credit pool may be updated to remove the number of resource credits from the resource credit pool. | 03-17-2016 |
20160077847 | SYNCHRONIZATION OF PHYSICAL FUNCTIONS AND VIRTUAL FUNCTIONS WITHIN A FABRIC - Methods and systems for instantiating a virtual function in a partition of a multi-partition virtualization system implemented at least in part on a computing device are disclosed. One method includes initializing a partition on the computing device, including determining a virtual function to be associated with the partition, the virtual function associated with a physical function of an I/O device, and, prior to attaching a processor to the partition, determining if the physical function is in a ready state and capable of being associated with the virtual function. The method further includes, upon determining that the physical function is in the ready state and capable of being associated with the virtual function, attaching the processor to the partition, thereby allowing the partition to begin execution. | 03-17-2016 |
20160077848 | METHOD AND SYSTEM FOR HANDLING INTERRUPTS IN A VIRTUALIZED ENVIRONMENT - An interrupt handling method and a system are provided. An exemplary embodiment of an interrupt handling method in a virtualized environment operable on a computer having one or more CPU cores, includes disabling a virtual machine exit triggers by an interrupt that destined to a virtual machine (VM), via a hypervisor of the virtualized environment. The exemplary method further includes delivering directly one or more interrupts from an I/O virtualization (IOV) device and a virtual device that destined to the VM, while the destined VM is running on one of the one or more CPU cores, otherwise delivering the one or more interrupts to the hypervisor to deliver corresponding one or more virtual interrupts to the destined VM. | 03-17-2016 |
20160077851 | OPTIMIZING A FILE SYSTEM INTERFACE IN A VIRTUALIZED COMPUTING ENVIRONMENT - Optimizing read operations to a storage device in a virtualized computing environment. A read system call is received by a virtual machine's (VM) operating system from an application running on the VM for data on a storage device. The VM is hosted by a hypervisor providing access to the storage device in a virtualized computing environment. A virtual file system (VFS) supported by the operating system is caused to call on a first para-virtualized file system (PVFS FE) supported by the operating system to execute the read operation. In response to determining by the PVS FE that the data to be read exists in a data cache of the PVFS FE, executing by the PVS FE the read operation from the cache of the PVFS FE. | 03-17-2016 |
20160077854 | EXPEDITING HOST MAINTENANCE MODE IN CLOUD COMPUTING ENVIRONMENTS - A maintenance mode mechanism (MMM) expedites host maintenance in a cloud computing environment by intelligently suspending essentially inactive virtual machines. The user is given the option to enter maintenance mode using the MMM. The MMM determines essentially inactive VMs that can be suspended to reduce the number of VMs that need to be migrated prior to entering a maintenance mode. Metrics of the VMs associated with the host are analyzed to determine which VMs can be suspended. Parameters can also be set by the user to instruct the MMM to verify the selection of a specific VM with the user. | 03-17-2016 |
20160077855 | STATELESS VIRTUAL MACHINE IN CLOUD COMPUTING ENVIRONMENT AND APPLICATION THEREOF - The invention provides a stateless virtual machine in a cloud computing environment as well as an application thereof, and pertains to the technical field of cloud computing. The stateless virtual machine is mapped into a storage device of a computer in the form of file and specifically comprises: an application data image file for storing an application; an operating system (OS) image file for storing an operating system; and an application middleware corresponding to the application; wherein a middleware core of the application middleware is placed in the OS image file, a middleware configuration of the application middleware is placed in the application data image file, and the middleware core and the middleware configuration are associated so as to realize that the middleware core specifies a corresponding middleware configuration. The virtual machine can realize a stateless condition even for an application in an application middleware environment, the flexibility is good, and the configuration manageability is strong. | 03-17-2016 |
20160077856 | MANAGING A VIRTUAL COMPUTER RESOURCE - Managing a virtual computer resource on at least one virtual machine. The managing of the virtual computer resource on the at least one virtual machine is by controlling execution of the virtual computer resource on the at least one virtual machine by a virtual machine instance, such as a firmware facility, of a trusted part of a computer system. The virtual machine instance is unique in the computer system. | 03-17-2016 |
20160077857 | Techniques for Remapping Sessions for a Multi-Threaded Application - Examples may include a remapping of sessions for a multi-threaded application that may be executed at a server or a client coupled to the server via a plurality of transmit control protocol (TCP) connections. Sessions may be remapped such that the multi-threaded application may expect to route sessions through a same TCP connection but the sessions are actually outputted via separate TCP connections. | 03-17-2016 |
20160077859 | EXPEDITING HOST MAINTENANCE MODE IN CLOUD COMPUTING ENVIRONMENTS - A maintenance mode mechanism (MMM) expedites host maintenance in a cloud computing environment by intelligently suspending essentially inactive virtual machines. The user is given the option to enter maintenance mode using the MMM. The MMM determines essentially inactive VMs that can be suspended to reduce the number of VMs that need to be migrated prior to entering a maintenance mode. Metrics of the VMs associated with the host are analyzed to determine which VMs can be suspended. Parameters can also be set by the user to instruct the MMM to verify the selection of a specific VM with the user. | 03-17-2016 |
20160077860 | VIRTUAL MACHINE PLACEMENT DETERMINATION DEVICE, VIRTUAL MACHINE PLACEMENT DETERMINATION METHOD, AND VIRTUAL MACHINE PLACEMENT DETERMINATION PROGRAM - The virtual machine placement determination device includes a virtual machine placement proposal search unit | 03-17-2016 |
20160077861 | INFORMATION PROCESSING SYSTEM, STORAGE APPARATUS, AND PROGRAM - An information processing system includes a first storage apparatus that includes first storage regions accessible by first virtual machines disposed in a first physical machine, and a second storage apparatus that includes second storage regions accessible by second virtual machines disposed in a second physical machine, wherein, when the second virtual machines execute first processing executed by the first virtual machines, the second storage apparatus stores first information in which information for identifying virtual machines that execute the first processing among the second virtual machines and information for identifying storage regions accessed by the identified virtual machines during the execution of the first processing among the second storage regions are associated with each other, and the first storage apparatus reconfigures a configuration of the first storage regions to be same as a configuration of a storage region corresponding to the first information among the second storage regions. | 03-17-2016 |
20160077862 | MODEL-BASED VIRTUAL SYSTEM PROVISIONING - Model-based virtual system provisioning includes accessing a model of a workload to be installed on a virtual machine of a system as well as a model of the system. A workload refers to some computing that is to be performed, and includes an application to be executed to perform the computing, and optionally includes the operating system on which the application is to be installed. The workload model identifies a source of the application and operating system of the workload, as well as constraints of the workload, such as resources and/or other capabilities that the virtual machine(s) on which the workload is to be installed must have. An installation specification for the application is also generated, the installation specification being derived at least in part from the model of the workload and the model of the virtual system. | 03-17-2016 |
20160077863 | RELIABLE AND SCALABLE IMAGE TRANSFER FOR DATA CENTERS WITH LOW CONNECTIVITY USING REDUNDANCY DETECTION - A system and method for efficiently transferring virtual machine images across nodes in a cloud computing environment, includes analyzing each image on each node to create hash code clusters and a similarity matrix. An instruction to transfer an image from a source node to a target node is received. The clusters and the similarity matrix are used to determine to what extent the data from the image is already on the source node, or on any other node, and further determines the cost and speed of transferring such data to the target node. An optimal transfer plan is generated, and data that is not already on the target node is transferred to the target node from the most efficient node on which it is available, according to the optimal transfer plan. | 03-17-2016 |
20160077864 | PROCESSORS, METHODS, AND SYSTEMS TO ENFORCE BLACKLISTED PAGING STRUCTURE INDICATION VALUES - A method of an aspect includes receiving an indication of an attempt by a virtual machine to modify a paging structure identification storage location to have a given value. It is determined that the given value matches at least one of a set of one or more blacklist values. The attempt by the virtual machine to modify the paging structure identification storage location to have the given value is trapped to a virtual machine monitor. Other methods, apparatus, and systems are also disclosed. | 03-17-2016 |
20160077865 | SELECTION OF VIRTUAL MACHINES FROM POOLS OF PRE-PROVISIONED VIRTUAL MACHINES IN A NETWORKED COMPUTING ENVIRONMENT - Embodiments of the present invention provide a set of algorithmic methods that can be used to select which partially and/or pre-provisioned virtual machines (VMs) should be used as a base platform to satisfy a new workload (e.g., provisioning) request received in a networked computing environment (e.g., a cloud computing environment). Specifically, when a workload request is received, a set (e.g., at last one) of software programs needed to process the workload request is identified. Then, a set of VMs is selected from a pool of pre-provisioned VMs having the set of software programs. In general, multiple methods and/or factors can be followed to select the set of VMs. Examples include a length of time to install the set of VMs, a probability of the set of VMs being in demand, and/or or a quantity of the set of VMs having the set of software programs. Once the set of VMs has been selected, the set of VMs may be installed, and the workload request can be processed using the set of software programs. | 03-17-2016 |
20160077866 | EMBEDDED PROCESSOR WITH VIRTUALIZED SECURITY CONTROLS USING GUEST IDENTIFICATIONS, A COMMON KERNEL ADDRESS SPACE AND OPERATIONAL PERMISSIONS - A method includes assigning unique guest identifications to different guests, specifying an address region and permissions for the different guests and controlling a guest jump from one physical memory segment to a second physical memory segment through operational permissions defined in a root memory management unit that supports guest isolation and protection. | 03-17-2016 |
20160077869 | EXTENDING CLOUD COMPUTING TO ON-PREMISES DATA - A method and system are provided. The method includes defining an application manifest that describes a computing solution for transfer from a cloud site to an on-premises computing appliance at a given premises. The computing solution is executable for a dataset resident at the given premises. The method further includes defining a characterization of a local premises environment in which the computing solution will be executed. The method also includes modifying the application manifest using the characterization of the local premises environment by at least one of adding, removing and modifying at least one component of the application manifest to provide a modified application manifest. The method additionally includes installing the computing solution in a computing appliance at the local premises environment according to the modified application manifest. The computing appliance is for executing the computing solution. | 03-17-2016 |
20160085481 | STORAGE TIERING BASED ON VIRTUAL MACHINE OPERATIONS AND VIRTUAL VOLUME TYPE - To optimize front-end operations performed on virtual machines, a storage tiering module preemptively guides the placement of virtual volumes in storage tiers within a storage system. Upon detecting a front-end operation request, the storage tiering module identifies a storage requirement, such as an expected provisioning activity level during the front-end operation. Based on the identified storage requirement, the storage tiering module selects an appropriate storage tier. Subsequently, in preparation for the front-end operation, the storage tiering module places the virtual volume at the selected storage tier. Because the storage tiering module places the virtual volume in a tier that reflects the resource consumption expected during the front-end operation, the storage system does not incur the performance degradation that often precedes tier movement in conventional, reactive approaches to storage tiering. | 03-24-2016 |
20160085567 | METHOD FOR EXECUTING AN APPLICATION PROGRAM OF AN ELECTRONIC CONTROL UNIT ON A COMPUTER - A method for executing a first application program of a first control unit on a computer, wherein functions for controlling actuators and/or sensors and/or functions for processing and/or providing data from actuators and/or sensors are executed by the first application program. A first interface between a control unit hardware and a first application program of the control unit is established by the control unit operating system. A first virtual control unit operating system and a first virtual application program are generated by compilation. A simulation environment interface is made available by the simulation environment for transfer of a data item and/or of an event to the first virtual application program and/or the virtual control unit operating system. The simulation environment initiates and controls an execution of the first virtual application program within the control unit operating system within the first virtual machine through the simulation environment interface. | 03-24-2016 |
20160085568 | HYBRID VIRTUALIZATION METHOD FOR INTERRUPT CONTROLLER IN NESTED VIRTUALIZATION ENVIRONMENT - Disclosed is a hybrid virtualization method for an interrupt controller in nested virtualized environment, which can reduce guest interrupt latency. A hybrid virtualization method includes operating a Virtual Machine Manager (VMM) which enables a program executed on a host and a program executed on a guest to be simultaneously used, providing, by the VMM, a virtual interrupt configuration register to the guest, and selectively utilizing full virtualization and partial virtualization. Full virtualization is used when guests which are running simultaneously request the same interrupt. In this case, the interrupt is trapped by the VMM before being injected to the different guests. Partial virtualization allows a guest to directly handle incoming interrupt and avoids expensive traps to the hypervisor to reduce the latency. This virtualization technique can be used by any kind of VMM which is physical hypervisor or virtual hypervisor for processing interrupts of their respective guests. | 03-24-2016 |
20160085569 | SYSTEMS AND METHODS FOR A DATA CENTER ARCHITECTURE FACILITATING LAYER 2 OVER LAYER 3 COMMUNICATION - An information handling system is provided. The information handling system includes a plurality of core devices coupled to each other and to a plurality of distribution devices and a plurality of top-of-rack devices coupled to a plurality of servers and to the distribution devices. The plurality of servers are running hypervisors thereon that each manage a virtual switch and a plurality of virtual machines (VMs). The information handling system further includes a plurality of local network address resolution mediators, with each local network address resolution mediator running on one of the plurality of servers and receiving packets from VMs running thereon, and a global network address resolution mediator running on one of the plurality of core devices. The global network address resolution mediator is in communication with each of the plurality of local network address resolution mediators to collect and distribute packets from the plurality of VMs. | 03-24-2016 |
20160085571 | Adaptive CPU NUMA Scheduling - Examples perform selection of non-uniform memory access (NUMA) nodes for mapping of virtual central processing unit (vCPU) operations to physical processors. A CPU scheduler evaluates the latency between various candidate processors and the memory associated with the vCPU, and the size of the working set of the associated memory, and the vCPU scheduler selects an optimal processor for execution of a vCPU based on the expected memory access latency and the characteristics of the vCPU and the processors. Some examples contemplate monitoring system characteristics and rescheduling the vCPUs when other placements may provide improved performance and/or efficiency. | 03-24-2016 |
20160085572 | PARALLELIZATION IN VIRTUAL MACHINE OPERATION - According to a method of providing virtualization services, computer program(s) executable as a plurality of tasks may be identified, as may task(s) from the plurality of tasks. The computer program(s) may be executed by virtual central processing unit(s) (CPUs) in a virtual machine executed on a host hardware platform and defined to provide a virtualization platform for virtualization of a target hardware platform. This may include the plurality of tasks other than the (identified) task(s) being executed by the virtual CPU(s) in the virtual machine executed on CPU(s) of the host hardware platform, and at least partially in parallel with these tasks, executing the task(s) on additional CPU(s) of the host hardware platform. The target hardware platform may include CPU(s) for execution the plurality of tasks no greater in number than the CPU(s) of the host hardware platform on which the plurality of tasks other than the task(s) are executed. | 03-24-2016 |
20160085573 | PLACING VIRTUAL MACHINES IN A VIRTUAL ENVIRONMENT - Virtual machines are allocated among servers in a virtual environment, whereby each virtual machine has a current placement. A current fitness score is calculated for each virtual machine based on its current placement. Proposed placement plans are then generated, each plan including a proposed placement of each virtual machine. For each plan, a plan score is created. Each plan score is created by calculating a proposed fitness score for each virtual machine based on a proposed placement of that virtual machine in accordance with that plan, generating a virtual machine score for each virtual machine based on a comparison of that virtual machine's current fitness score and proposed fitness score, and then combining the virtual machine scores. The plan scores are then compared, and a target plan is selected from among the plans. The virtual machines are then reallocated among the servers in accordance with the target proposed placement plan. | 03-24-2016 |
20160085574 | EFFICIENTLY RESTORING EXECUTION OF A BACKED UP VIRTUAL MACHINE BASED ON COORDINATION WITH VIRTUAL-MACHINE-FILE-RELOCATION OPERATIONS - The disclosed systems and methods enable a virtual machine, including any applications executing thereon, to quickly start executing and servicing users based on pre-staged data blocks supplied from a backup copy in secondary storage. Substantially concurrently with the ongoing execution of the virtual machine, a virtual-machine-file-relocation operation may move data blocks originating in the backup copy to a primary storage destination that becomes the virtual machine's primary data store after the relocation operation completes. An enhanced data agent, operating in conjunction with an enhanced media agent in a storage management system, coordinates restoring of the virtual machine and the launch of the relocation operation. The enhanced media agent may pre-stage certain backed up data blocks which may be needed to launch the virtual machine, based on predictive analysis pertaining to the virtual machine's operational profile. The enhanced media agent may also pre-stage backed up data blocks for the relocation operation, based on the operation's relocation scheme. Servicing read requests to the virtual machine may take priority over ongoing pre-staging of backed up data. Read requests may be tracked so that the media agent may properly maintain the contents of an associated read cache. Some embodiments of the illustrative storage management system may lack, or may simply not require, the relocation operation, and may operate in a “live mount” configuration. | 03-24-2016 |
20160085575 | EFFICIENT LIVE-MOUNT OF A BACKED UP VIRTUAL MACHINE IN A STORAGE MANAGEMENT SYSTEM - The disclosed systems and methods enable a virtual machine, including any applications executing thereon, to quickly start executing and servicing users based on pre-staged data blocks supplied from a backup copy in secondary storage. Substantially concurrently with the ongoing execution of the virtual machine, a virtual-machine-file-relocation operation may move data blocks originating in the backup copy to a primary storage destination that becomes the virtual machine's primary data store after the relocation operation completes. An enhanced data agent, operating in conjunction with an enhanced media agent in a storage management system, coordinates restoring of the virtual machine and the launch of the relocation operation. The enhanced media agent may pre-stage certain backed up data blocks which may be needed to launch the virtual machine, based on predictive analysis pertaining to the virtual machine's operational profile. The enhanced media agent may also pre-stage backed up data blocks for the relocation operation, based on the operation's relocation scheme. Servicing read requests to the virtual machine may take priority over ongoing pre-staging of backed up data. Read requests may be tracked so that the media agent may properly maintain the contents of an associated read cache. Some embodiments of the illustrative storage management system may lack, or may simply not require, the relocation operation, and may operate in a “live mount” configuration. | 03-24-2016 |
20160085576 | Service Creation and Management - Concepts and technologies are disclosed herein for service creation and management. A processor can detect an event relating to a service, and access a service creation database to identify a recipe associated with the service. The recipe can define a resource to be used to provide the service. The processor can access an inventory to determine if the resource is available, identify a service control to control the service, instruct an infrastructure control to allocate virtual machines to host components of the service, and issue instructions to the service control. The instructions can instruct the service control to load service functions to the virtual machines. | 03-24-2016 |
20160085577 | Techniques for Routing Service Chain Flow Packets Between Virtual Machines - Examples may include a host computing platform having a multi-core processor capable of supporting a host operating system and a plurality of virtual machines (VMs). Support may include processing elements or cores for the multi-core processor being capable of separately supporting individual VMs. The individual VMs may be capable of executing separate applications used to process packets for a service chain flow. In some examples, techniques for routing the packets for the service chain flow between the individual VMs may include distributing at least some switch logic between the individual VMs. | 03-24-2016 |
20160085578 | CORRELATING HYPERVISOR DATA FOR A VIRTUAL MACHINE WITH ASSOCIATED OPERATING SYSTEM DATA - The disclosed embodiments relate to a system for analyzing the performance virtual machines. During operation, the system obtains hypervisor data for a set of virtual machines, wherein the hypervisor data was received from one or more hypervisors while the set of virtual machines was running on the hypervisors. The system also obtains operating system data for the set of virtual machines, wherein the operating system data was received from a set of operating systems while the set of operating systems was running on the set of virtual machines. Next, the system correlates hypervisor data for a virtual machine with corresponding operating system data for the virtual machine. Finally, the system presents the correlated hypervisor data and operating system data for the virtual machine to a user. | 03-24-2016 |
20160085579 | VIRTUAL-MACHINE CONTROL DEVICE, VIRTUAL-MACHINE CONTROL METHOD, COMPUTER-READABLE RECORDING MEDIUM RECORDING PROGRAM FOR VIRTUAL-MACHINE CONTROL METHOD, AND DATA CENTER - A virtual machine control device includes: a generating unit configured to generate an operability constraint for a virtual machine is to be arranged, based on a virtual machine name list of the virtual machine and a host machine name list of a host machine capable to arrange the virtual machine; and a determining unit configured to determine an arrangement of the virtual machine is to be arranged, based on a constraint including the generated operability constraint, the host machine name includes location information of the host machine, and the virtual machine name includes information of component that composes an application service. | 03-24-2016 |
20160085580 | AVAILABILITY MANAGEMENT OF VIRTUAL MACHINES HOSTING HIGHLY AVAILABLE APPLICATIONS - A configuration is generated that enables Availability Management Framework (AMF) to manage virtual machines (VMs) and support hardware redundancy for each of service groups (SGs). Each component service instance (CSI) is configured to represent one or more of the VMs to be managed by AMF. Configuration attributes are set to associate each VM with one CSI, such that the VMs to be hosted on a same physical host are associated with different CSIs of a same service instance. Service units (SUs) of different SGs are configured such that each SU is hosted by any one VM on the same physical host, while the different SUs of a same SG are mapped to the VMs hosted on different physical hosts. The configuration enables the AMF to manage an application formed by the SGs in a virtualized environment in a same way as in a non-virtualized environment without modification to the application. | 03-24-2016 |
20160085581 | HYPERVISOR TO I/O STACK CONDUIT IN VIRTUAL REAL MEMORY - Provided is a virtual interface, a “Forwarder” and a Virtual Block Storage Device (VBSD). The virtual interlace is the interface between a Command/Response Queue (CRQ), which receives CRQ commands from a hypervisor, and a common interface of the Forwarder. The Forwarder receives I/O commands in a format associated with the common interface and converts the commands into a generic I/O format. The reformatted command is transmitted to the VBSD. The hypervisor sends a read or write (R/W) request to the virtual interface, which passes the request to the Forwarder. The Forwarder receives the request, converts the request into a form readable by the VBSD and transmits the converted request to the VBSD. The VBSD transmits the request to a block storage device and returns the response to the Forwarder. The Forwarder replies to the request from the virtual interface with the response from the ABSD. The virtual interface then responds to the hypervisor. | 03-24-2016 |
20160085582 | INTERDEPENDENT VIRTUAL MACHINE MANAGEMENT - Exemplary methods, apparatuses, and systems determine a list of virtual machines to be subject to a corrective action. When one or more of the listed virtual machines have dependencies upon other virtual machines, network connections, or storage devices, the determination of the list includes determining that the dependencies of the one or more virtual machines have been met. An attempt to restart or take another corrective action for the first virtual machine within the list is made. A second virtual machine that is currently deployed and running or powered off or paused in response to the corrective action for the first virtual machine is determined to be dependent upon the first virtual machine. In response to the second virtual machine's dependencies having been met by the attempt to restart or take corrective action for the first virtual machine, the second virtual machine is added to the list of virtual machines. | 03-24-2016 |
20160085593 | PLACING VIRTUAL MACHINES IN A VIRTUAL ENVIRONMENT - Virtual machines are allocated among servers in a virtual environment, whereby each virtual machine has a current placement. A current fitness score is calculated for each virtual machine based on its current placement. Proposed placement plans are then generated, each plan including a proposed placement of each virtual machine. For each plan, a plan score is created. Each plan score is created by calculating a proposed fitness score for each virtual machine based on a proposed placement of that virtual machine in accordance with that plan, generating a virtual machine score for each virtual machine based on a comparison of that virtual machine's current fitness score and proposed fitness score, and then combining the virtual machine scores. The plan scores are then compared, and a target plan is selected from among the plans. The virtual machines are then reallocated among the servers in accordance with the target proposed placement plan. | 03-24-2016 |
20160085595 | HYBRID VIRTUAL MACHINE CONFIGURATION MANAGEMENT - According to one aspect of the present disclosure, a method and technique for hybrid virtual machine configuration management is disclosed. The method includes: assigning to a first set of virtual resources associated with entitled resources of a virtual machine a first priority; assigning to a second set of virtual resources associated with the virtual machine a second priority lower than the first priority, wherein the first and seconds sets when combined exceed the entitled resources for the virtual machine; mapping the first set of virtual resources to a first physical resource of a pool of shared physical resources allocatable to the first and second sets of virtual resources, wherein the first physical resource comprises a desired affinity level to a second physical resource allocated to the virtual machine; and preferentially allocating the first physical resource to the first set of virtual resources. | 03-24-2016 |
20160092248 | HOOK FRAMEWORK - An application process can be executed based on an initialization instruction, where the application process includes instructions associated with a hook framework. A virtual machine configured to load the hook framework on the virtual machine based on instructions included in the application process can be initiated and the instructions associated with the hook framework can be executed upon initiation of the virtual machine to insert a hook on the virtual machine. A nascent process configured to initiate an additional virtual machine can be initiated based on a request to load an application, where the additional virtual machine is hooked via the hook inserted on the virtual machine. | 03-31-2016 |
20160092250 | DYNAMIC CODE DEPLOYMENT AND VERSIONING - A system for providing dynamic code deployment and versioning is provided. The system may be configured to receive a first request to execute a newer program code on a virtual compute system, determine, based on the first request, that the newer program code is a newer version of an older program code loaded onto an existing container on a virtual machine instance on the virtual compute system, initiate a download of the newer program code onto a second container on the same virtual machine instance, and causing the first request to be processed with the older program code in the existing container. | 03-31-2016 |
20160092251 | PROGRAMMATIC EVENT DETECTION AND MESSAGE GENERATION FOR REQUESTS TO EXECUTE PROGRAM CODE - A service manages a plurality of virtual machine instances for low latency execution of user codes. The service can provide the capability to execute user code in response to events triggered on an auxillary service to provide implicit and automatic rate matching and scaling between events being triggered on the auxiliary service and the corresponding execution of user code on various virtual machine instances. An auxiliary service may be configured as an event triggering service to detect events and generate event messages for execution of the user codes. The service can request, receive, or poll for event messages directly from the auxiliary service or via an intermediary message service. Event messages can be rapidly converted to requests to execute user code on the service. The time from processing the event message to initiating a request to begin code execution is less than a predetermined duration, for example, 100 ms. | 03-31-2016 |
20160092252 | THREADING AS A SERVICE - A service manages a plurality of virtual machine instances for low latency execution of user codes. The plurality of virtual machine instances can be configured based on a predetermined set of configurations. One or more containers may be created within the virtual machine instances. In response to a request to execute user code, the service identifies a pre-configured virtual machine instance suitable for executing the user code. The service can allocate the identified virtual machine instance to the user, create a new container within an instance already allocated to the user, or re-use a container already created for execution of the user code. When the user code has not been activated for a time-out period, the service can invalidate allocation of the virtual machine instance destroy the container. The time from receiving the request to beginning code execution is less than a predetermined duration, for example, 100 ms. | 03-31-2016 |
20160092253 | OVERCOMMITTING VIRTUAL MACHINE HOSTS - A host-side overcommit value is set upon a physical node that implements virtual machines (VM Node). The overcommit value is determined by receiving a selected enablement template that includes a selected computing capacity and a selected overcommit value. A user-side normalization factor is determined that normalizes the selected computing capacity against a reference data handling system. A comparable computing capacity of the VM Node is determined. A host-side normalization factor is determined that normalizes the comparable computing capacity against the reference data handling system. The host-side overcommit value is determined from the selected overcommit value, the user-side normalization factor, and the host-side normalization factor. The host-side overcommit value may indicate the degree the comparable computing capacity is overcommitted to virtual machines deployed upon heterogeneous VM Nodes as normalized against the reference system. | 03-31-2016 |
20160092254 | SYSTEMS AND METHODS FOR PROVIDING AVAILABILITY TO RESOURCES - Methods and systems for providing a communication path are disclosed. Information can be received via a first communication session based on a first messaging protocol. The first communication session can be terminated at a virtual machine of a group of virtual machines. A dynamically bound communication path to a resource can be selected based on a dynamically reconfigurable routing table for the group of virtual machines. A second communication session can be initiated, at the virtual machine, via the selected dynamically bound communication path. The information can be transmitted to the resource via the second communication session based on a second messaging protocol. | 03-31-2016 |
20160092255 | ALLOCATING ALL OR A PORTION OF THE MEMORY IN A CACHE MODULE IN EACH HYPERVISOR IN A POOL OF HYPERVISORS TO FORM A SHARED CACHE MODULE TO BE UTILIZED BY THE VIRTUAL MACHINES RUN BY THE POOL OF HYPERVISORS - A method, system and computer program product for efficiently utilizing a virtual file system cache across cloud computing nodes. A determination is made as to which hypervisors will be able to share all or a portion of the memory in its cache module (look-aside cache) to become a hypervisor in a “pool of hypervisors” based on the workload of the virtual machines run by the hypervisor. All or a portion of the memory in the cache module in each hypervisor in the pool of hypervisors that is available to be utilized by other virtual machines is allocated to form a “shared cache module” to be utilized by virtual machines run by the pool of hypervisors. In this manner, the look-aside cache available to the hypervisor will be utilized more effectively since any available memory can be utilized by other virtual machines running on different hypervisors on different cloud computing nodes. | 03-31-2016 |
20160092256 | MULTI-SITE DISASTER RECOVERY CONSISTENCY GROUP FOR HETEROGENEOUS SYSTEMS - Methods and arrangements for managing a consistency group for computing sites. A plurality of computing sites are communicated with, each of the sites comprising one or more of (i) and (ii): (i) at least one virtual machine; and (ii) at least one server. Updates captured at each of the sites are received, and the captured updates are batched. The batched updates are communicated to the plurality of sites, thereby ensuring data consistency across the plurality of sites. Other variants and embodiments are broadly contemplated herein. | 03-31-2016 |
20160092257 | CENTRALIZED CONTROLLER FOR DISTRIBUTING NETWORK POLICIES OF VIRTUAL MACHINES IN A DATACENTER - A physical computing device that operates in a network. The device includes a group of tenant virtual machines (VMs). Each VM is hosted on a host machine that includes a virtualization software. The device receives network bandwidth allocation policies for the group of VMs. The device determines a set of potential communication peers for each VM. The device sends the network bandwidth allocation policy of each VM to the virtualization software of the host machines of each potential communication peer of the VM. | 03-31-2016 |
20160092258 | NUMA I/O AWARE NETWORK QUEUE ASSIGNMENTS - Systems and methods for preferentially assigning virtual machines (VMs) on a particular NUMA node with network queues on the same NUMA node are described. A load balancer process on a host assigns multiple VMs to network queues. The assignment of the VMs to a network queues is performed with a bias toward assigning VMs using a particular NUMA node to network queues on the same NUMA node. A scheduler on the host assigns VMs to NUMA nodes. The scheduler is biased toward assigning VMs to the same NUMA node as the PNIC and/or the same NUMA node as a network queue assigned to the VM. | 03-31-2016 |
20160092259 | NUMA I/O AWARE NETWORK QUEUE ASSIGNMENTS - Systems and methods for preferentially assigning virtual machines (VMs) on a particular NUMA node with network queues on the same NUMA node are described. A load balancer process on a host assigns multiple VMs to network queues. The assignment of the VMs to a network queues is performed with a bias toward assigning VMs using a particular NUMA node to network queues on the same NUMA node. A scheduler on the host assigns VMs to NUMA nodes. The scheduler is biased toward assigning VMs to the same NUMA node as the PNIC and/or the same NUMA node as a network queue assigned to the VM. | 03-31-2016 |
20160092260 | DETERMINATION METHOD AND DETERMINATION DEVICE - A determination method includes: receiving a request of a change from a first system configured by a first configuration to a second system configured by a second configuration, the request of the change including configuration data related to the first configuration and change data related to the change; extracting a functional requirement for a function that is realized in the first system based on the configuration data; identifying an operational requirement for realizing the first system based on the functional requirement and data about an operational process that is used for the first system; identifying a constraint condition about the second system based on configuration elements of the second configuration that are identified by the configuration data and the change data; and determining feasibility of the change to the second system based on the functional requirement, the operational requirement, and the constraint condition. | 03-31-2016 |
20160092261 | METHOD AND SYSTEM FOR PHYSICAL COMPUTER SYSTEM VIRTUALIZATION - The present disclosure provides a physical computer virtualization method. The method includes receiving a virtualization instruction inputted by a user on a physical computer; restarting the physical computer; and loading the physical computer with a virtual machine management system mirror image file after restarting the physical computer to boot the physical computer into a virtual machine management system. The method also include obtaining physical disks of the physical computer; and creating a virtual machine through the virtual machine management system and using the physical disks of the physical computer. | 03-31-2016 |
20160092266 | DYNAMIC RELOCATION OF APPLICATIONS IN A CLOUD APPLICATION SERVICE MODEL - Software that performs the following steps: (i) running a first customer application on a first set of virtual machine(s), with the first customer application including a first plurality of independently migratable elements, including a first independently migratable element and a second independently migratable element; (ii) dynamically checking a status of the first set of virtual machine(s) to determine whether a first migration condition exists; and (iii) on condition that the first migration condition exists, migrating the first independently migratable element to a second set of virtual machine(s) without migrating the second independently migratable element to the second set of virtual machine(s). | 03-31-2016 |
20160092271 | MERGING CONNECTION POOLS TO FORM A LOGICAL POOL OF CONNECTIONS DURING A PRESET PERIOD OF TIME THEREBY MORE EFFICIENTLY UTILIZING CONNECTIONS IN CONNECTION POOLS - A method, system and computer program product for efficiently utilizing connections in connection pools. A period of time an application running on a virtual machine needs a greater number of connections to an external resource than allocated in its pool of connections is identified. The connection pool for this application as well as the connection pools for the other applications containing connections to the same external resource are merged to form a logical pool of connections to be shared by those applications during the identified period of time. Alternatively, in an application server cluster environment, the connection pools utilized by the application servers to access the external resource may be reconfigured based on the weight assigned to each member (or application server) of the cluster which is based on the member's load size. In these manners, the resource connections in these pools of connections will be more efficiently utilized. | 03-31-2016 |
20160092277 | OVERCOMMITTING VIRTUAL MACHINE HOSTS - A host-side overcommit value is set upon a physical node that implements virtual machines (VM Node). The overcommit value is determined by receiving a selected enablement template that includes a selected computing capacity and a selected overcommit value. A user-side normalization factor is determined that normalizes the selected computing capacity against a reference data handling system. A comparable computing capacity of the VM Node is determined. A host-side normalization factor is determined that normalizes the comparable computing capacity against the reference data handling system. The host-side overcommit value is determined from the selected overcommit value, the user-side normalization factor, and the host-side normalization factor. The host-side overcommit value may indicate the degree the comparable computing capacity is overcommitted to virtual machines deployed upon heterogeneous VM Nodes as normalized against the reference system. | 03-31-2016 |
20160092673 | HARDWARE SHADOW STACK SUPPORT FOR LEGACY GUESTS - Technologies for shadow stack support for legacy guests include a computing device having a processor with shadow stack support. During execution of a call instruction, the processor determines whether a legacy stack pointer is within bounds and generates a virtual machine exit if the legacy stack pointer is out-of-bounds. If not out-of-bounds, the processor pushes a return address onto the legacy stack and onto a shadow stack protected by a hypervisor. During execution of a return instruction, the processor determines whether top return addresses of the legacy stack and the shadow stack match, and generates a virtual machine exit if the return addresses do not match. If the return addresses match, the processor pops the return addresses off of the legacy stack and off of the shadow stack. The stack out-of-bounds and the stack mismatch virtual machine exits may be handled by the hypervisor. Other embodiments are described and claimed. | 03-31-2016 |
20160094328 | METHODS AND SYSTEMS FOR CONTROLLER-BASED DATACENTER NETWORK SHARING - A method of allocating network bandwidth in a network that includes several tenant virtual machines (VMs). The method calculates a first bandwidth reservation for a flow between a source VM and a destination VM that are hosted on two different host machines. The source VM sends packets to a first set of VMs that includes the destination VM. The destination VM receives packets from a second set of VMs that includes the source VM. The method receives a second bandwidth reservation for the flow calculated at the destination. The method sets the bandwidth reservation for the flow as a minimum of the first and second bandwidth reservations. | 03-31-2016 |
20160094641 | DATA ANALYTICS FOR ADAPTIVE NETWORKS - Aspects of the subject disclosure may include, for example, a method in which first performance data and second performance data are obtained for a first virtual machine and a second virtual machine on a network, the performance data is analyzed, performance of the first virtual machine is predicted, and a performance trend for the first virtual machine is determined. Performance of the second virtual machine is predicted in accordance with analyzing of the second performance data and a potential transfer of execution of an application from the first virtual machine to the second virtual machine. Execution of the application is transferred to the second virtual machine after determining an expected improvement in execution of the application relative to the first virtual machine. Other embodiments are disclosed. | 03-31-2016 |
20160098285 | USING VIRTUAL MACHINE CONTAINERS IN A VIRTUALIZED COMPUTING PLATFORM - A virtualized computing system supports the execution of a plurality of virtual machines, where each virtual machine supports the execution of applications therein. Each application executes within a container that isolates the application executing therein from other processes executing on the computing system. A hierarchy of virtual machine templates is created by instantiating a parent virtual machine template, the parent virtual machine template having a. guest operating system and a container. An application to be run in a container is determined, and, in response, the parent virtual machine template is forked to create a child virtual machine template, where the child virtual machine template includes a replica of the container, and where the guest operating system of the parent virtual machine template overlaps in memory with a guest operating system of the child virtual machine template. The application is then installed in the replica of the container. | 04-07-2016 |
20160098286 | CREATING TEMPLATES OF OFFLINE RESOURCES - Implementations of the present invention allow software resources to be duplicated efficiently and effectively while offline. In one implementation, a preparation program receives an identification of a software resource, such as a virtual machine installed on a different volume, an offline operating system, or an application program. The preparation program also receives an indication of customized indicia that are to be removed from the software resource. These indicia can include personalized information as well as the level of software updates, security settings, user settings or the like. Upon execution, the preparation program redirects the function calls of the preparation program to the software resource at the different volume (or even the same volume) while the software resource is not running. The preparation program thus can thus creates a template of the software resource in a safe manner without necessarily affecting the volume at which the preparation program runs. | 04-07-2016 |
20160098287 | Method and System for Intelligent Analytics on Virtual Deployment on a Virtual Data Centre - The invention relates to a method and system for data centre infrastructure management and, more particularly, to analyze and deploy interrelated objects in a virtual data centre at virtual deployment level. The present system monitors and identifies different elements of source virtual deployment such as configuration data, settings and so on which are scattered at different levels. Further, the system performs analysis based on various parameters such as virtual deployment performance data, past history data, future requirement and policy based data in order to identify best suitable target virtual data centre. After identifying best suited target virtual data centre, system triggers a redeployment request. Finally, system performs the redeployment of source virtual deployment to identified target virtual data centre. | 04-07-2016 |
20160098288 | BUILDING VIRTUAL APPLIANCES - An example method to build a virtual appliance for deployment in a virtualized computing environment may include obtaining a base virtual appliance that is application-independent. The base virtual appliance includes a virtual machine, a virtual disk associated with the virtual machine and a guest operating system (OS) installed on the virtual disk. The method may further comprise obtaining an application package associated with an application; and building the virtual appliance by assembling the base virtual appliance with the application package. During the assembly, the application package is installed on the virtual disk of the base virtual appliance such that the virtual machine supports both the guest OS and the application. | 04-07-2016 |
20160098289 | SYSTEM AND METHOD FOR HANDLING AN INTERRUPT - An interrupt controller, a system and a method for handling an interrupt under a virtualization environment are provided. The system for handling an interrupt, includes: an interrupt controller, a virtual machine, and a hypervisor which controls activation of the virtual machine, the interrupt controller may receive a physical interrupt from the outside and transmit the physical interrupt to the hypervisor or the virtual machine based on a characteristic of the physical interrupt, the hypervisor may convert the physical interrupt into a virtual interrupt to transmit the virtual interrupt to the virtual machine, and the virtual machine may handle the physical interrupt or the virtual interrupt using a first interrupt handler which is included in the virtual machine. | 04-07-2016 |
20160098290 | INFORMATION SHARING PROGRAM, INFORMATION SHARING SYSTEM AND INFORMATION SHARING METHOD - A non-transitory computer-readable storage medium storing therein an information sharing program for causing a computer to execute a process includes storing, in a storage, conversion information including first processing request information for issuing a processing request to a first processing processor that operates on a first physical machine, first operating environment information relating to an operating environment of the first physical machine and corresponding to the first processing request information, and second operating environment information relating to an operating environment of a second physical machine and corresponding to second processing request information for issuing a processing request to a second processing processor that operates on the second physical machine, and when a virtual machine that operates on the first physical machine transfers to the second physical machine, causing the second physical machine to hold the conversion information. | 04-07-2016 |
20160098291 | VIRTUAL MACHINE CAPACITY PLANNING - Virtual machine capacity planning techniques are disclosed. In various embodiments, a set of time series data is constructed based at least in part on virtual machine related metric values observed with respect to a virtual machine during a training period. The constructed time series data is used to build a forecast model for the virtual machine. The forecast model is used to forecast future values for one or more of the virtual machine related metrics. The forecasted future values are used to determine whether an alert condition is predicted to be met. | 04-07-2016 |
20160098301 | SYSTEM AND METHOD FOR TRANSFORMING LEGACY DESKTOP ENVIRONMENTS TO A VIRTUALIZED DESKTOP MODEL - A system and method for transforming a legacy device into a virtualized environment, comprising includes analyzing the profiling data for at least one application to determine usage frequency and resource requirements of the at least one application. Captured user events are benchmarked to simulate a user workload for the at least one application to determine how resource utilization and execution times scale from a legacy environment to a virtualized environment. The legacy device is transformed into the virtualized environment in accordance with a provisioning plan. | 04-07-2016 |
20160098302 | RESILIENT POST-COPY LIVE MIGRATION USING EVICTION TO SHARED STORAGE IN A GLOBAL MEMORY ARCHITECTURE - A method includes, in a computing system that includes at least first and second compute nodes, running on the first compute node a workload that uses memory pages. The memory pages used by the workload are classified into at least active pages and inactive pages, and the inactive memory pages are evicted to shared storage that is accessible at least to the first and second compute nodes. In response to migration of the workload from the first compute node to the second compute node, the active pages are transferred from the first compute node to the second compute node for use by the migrated workload, and the migrated workload is provided with access to the inactive pages on the shared storage. | 04-07-2016 |
20160098338 | METHODS FOR MANAGING PERFORMANCE STATES IN AN INFORMATION HANDLING SYSTEM - An information handling system (IHS) is disclosed wherein the system includes a processor associated with at least one performance state (P-state), and a memory in communication with the processor. The memory is operable to store a virtualization software and a basic input/out system (BIOS). The BIOS is configured to report a parameter of the P-state to the virtualization software. In addition, the BIOS is configured to transition the processor into a desired P-state. A method for managing performance states in an information handling system (IHS) is further disclosed wherein the method includes providing a basic input/output system (BIOS) in communication with a processor, the processor associated with an at least one performance state (P-state) and reporting a parameter of the at least one P-state to a virtualization software via the BIOS. The method further includes transitioning the processor to a desired P-state via the BIOS. | 04-07-2016 |
20160103695 | OPTIMIZED ASSIGNMENTS AND/OR GENERATION VIRTUAL MACHINE FOR REDUCER TASKS - The present disclosure relates to assignment or generation of reducer virtual machines after the “map” phase is substantially complete in MapReduce. Instead of a priori placement, distribution of keys after the “map” phase over the mapper virtual machines can be used to efficiently reducer tasks in virtualized cloud infrastructure like OpenStack. By solving a constraint optimization problem, reducer VMs can be optimally assigned to process keys subject to certain constraints. In particular, the present disclosure describes a special variable matrix. Furthermore, the present disclosure describes several possible cost matrices for representing the costs determined based on the key distribution over the mapper VMs (and other suitable factors). | 04-14-2016 |
20160103696 | TOUCHLESS MULTI-DOMAIN VLAN BASED ORCHESTRATION IN A NETWORK ENVIRONMENT - An example method for touchless multi-domain VLAN based orchestration in a network environment is provided and includes receiving mobility domain information for a virtual machine associated with a processor executing the method in a network environment, the mobility domain information comprising a mobility domain identifier (ID) indicating a scope within which the virtual machine can be moved between servers, generating a virtual station interface (VSI) discovery protocol (VDP) message in a type-length-value (TLV) format with the mobility domain information, and transmitting the VDP message to a leaf switch directly attached to the server, wherein the leaf switch provisions a port according to the mobility domain information. | 04-14-2016 |
20160103697 | TEARING DOWN VIRTUAL MACHINES IMPLEMENTING PARALLEL OPERATORS IN A STREAMING APPLICATION BASED ON PERFORMANCE - A streams manager monitors performance of parallel portions of a streaming application implemented in multiple virtual machines (VMs). When the performance provided by the multiple VMs is no longer needed, one or more of the VMs can be torn down. The performance of the VMs is monitored. When the least performing VM can be torn down, it is torn down. When the least performing VM cannot be torn down, information regarding a better performing VM is gathered, and it is determined whether the least performing VM can be made more similar to the better performing VM. When the least performing VM can be made more similar to the better performing VM, the least performing VM is changed to improve its performance, and the better performing VM is torn down. | 04-14-2016 |
20160103699 | CLOUD VIRTUAL MACHINE DEFRAGMENTATION FOR HYBRID CLOUD INFRASTRUCTURE - A hybrid cloud computing system is managed by determining communication affinity between a cluster of virtual machines, where one virtual machine in the cluster executes in a virtualized computing system, and another virtual machine in the cluster executes in a cloud computing environment, and where the virtualized computing system is managed by a tenant that accesses the cloud computing environment. After determining a target location in the hybrid cloud computing system to host the cluster of virtual machines based on the determined communication affinity, at least one of the cluster of virtual machines is migrated to the target location. | 04-14-2016 |
20160103700 | TEARING DOWN VIRTUAL MACHINES IMPLEMENTING PARALLEL OPERATORS IN A STREAMING APPLICATION BASED ON PERFORMANCE - A streams manager monitors performance of parallel portions of a streaming application implemented in multiple virtual machines (VMs). When the performance provided by the multiple VMs is no longer needed, one or more of the VMs can be torn down. The performance of the VMs is monitored. When the least performing VM can be torn down, it is torn down. When the least performing VM cannot be torn down, information regarding a better performing VM is gathered, and it is determined whether the least performing VM can be made more similar to the better performing VM. When the least performing VM can be made more similar to the better performing VM, the least performing VM is changed to improve its performance, and the better performing VM is torn down. | 04-14-2016 |
20160109929 | EFFICIENT POWER MANAGEMENT OF A SYSTEM WITH VIRTUAL MACHINES - Efficient power management of a system with virtual machines is disclosed. In particular, such efficient power management may enable coordination of system-wide power changes with virtual machines. Additionally, such efficient power management may enable coherent power changes in a system with a virtual machine monitor. Furthermore, such efficient power management may enable dynamic control and communication of power state changes. | 04-21-2016 |
20160110210 | APPLICATION MIGRATION IN A PROCESS VIRTUAL MACHINE ENVIRONMENT - A mechanism for migrating an application in a process virtual machine environment. A method includes pausing execution of an application in a source process virtual machine (PVM). The method also includes recording a state of the source PVM at a time of the pausing of the execution of the application. The method further includes copying the recorded state of the source PVM into a destination PVM, wherein the destination PVM resumes the execution of the application using the recorded state. | 04-21-2016 |
20160110211 | Methods And Systems For Forwarding Network Packets Within Virtual Machine Host Systems - Methods and systems for forwarding network packets within virtual machine (VM) host systems are disclosed that provide virtual packet brokers and related virtual test access ports (TAPs) within VM host hardware systems. One or more processing devices are operated to provide a virtual machine (VM) platform, virtual test access port(s) (TAPs), and a virtual packet broker within a virtualization layer. Network packets are then received using the virtual TAPs and copied network packets are sent from the virtual TAPs to the virtual packet broker. The virtual packet broker applies packet content filter(s) to the copied network packets to determine selected packets within the copied network packets to forward to virtual and/or external network packet analysis tool(s). Virtual switches can also be provided within the virtualization layer to forward packets among a plurality of VM platforms and/or external networks. | 04-21-2016 |
20160110212 | Methods And Systems For Network Packet Impairment Within Virtual Machine Host Systems - Methods and systems for network packet impairment within virtual machine (VM) host systems are disclosed that provide virtual impairment processors within VM host hardware systems. One or more processing devices within a virtual machine (VM) host system are operated to provide at least one virtual machine (VM) platform, a virtual switch, and a virtual impairment processor within a virtualization layer for the VM host system. Network packets associated with packet traffic for the at least one VM platform using the virtual switch. The virtual impairment processor then applies one or more impairments to the network packets such as a drop, modify, delay, and/or other packet impairment. The impaired packets are then forwarded by the virtual switch to target destinations for the impaired network packets using the virtual switch. | 04-21-2016 |
20160110214 | FRAMEWORKS AND INTERFACES FOR OFFLOAD DEVICE-BASED PACKET PROCESSING - High-speed processing of packets to, and from, a virtualization environment can be provided while utilizing hardware-based segmentation offload and other such functionality. A hardware vendor such as a network interface card (NIC) manufacturer can enable the hardware to support open and proprietary stateless tunneling in conjunction with a protocol such as single root I/O virtualization (SR-IOV) in order to implement a virtualized overlay network. The hardware can utilize various rules, for example, that can be used by the NIC to perform certain actions, such as to encapsulate egress packets and decapsulate packets. | 04-21-2016 |
20160110215 | REPLACING VIRTUAL MACHINE DISKS - At least one target virtual disk descriptor that describes at least one virtual disk associated with an existing target virtual machine in a target virtualized environment is merged with at least one source virtual disk descriptor that describes at least one virtual disk associated with a source. The merging is carried out to obtain at least one merged virtual disk descriptor compatible with the target virtualized environment. The at least one virtual disk associated with the existing target virtual machine in the target virtualized environment is replaced with the at least one virtual disk associated with the source, in accordance with the at least one merged virtual disk descriptor. | 04-21-2016 |
20160110227 | SYSTEM, METHOD OF CONTROLLING TO EXECUTE A JOB, AND APPARATUS - A system includes a plurality of physical calculation nodes and a controller. The controller is configured to execute a process including: determining a type of a target job based on a processing load for execution of the target job, first instructing a first physical calculation node among the plurality of physical calculation nodes to execute the target job when it is determined that the type of the target job is a first type, and second instructing a virtual machine running on a second physical calculation node among the plurality of physical calculation nodes to execute the target job when it is determined that the type of the target job is a second type, a job of the second type being required a lower processing load for the execution of the job than a job of the first type. | 04-21-2016 |
20160116966 | METHOD AND APPARATUS FOR POWER-EFFICIENCY MANAGEMENT IN A VIRTUALIZED CLUSTER SYSTEM - A method and apparatus for power-efficiency management in a virtualized cluster system. The virtualized cluster system includes a front-end physical host and at least one back-end physical host, and each of the at least one back-end physical host comprises at least one virtual machine and a virtual machine manager. Flow characteristics of the virtualized cluster system are detected at a regular time cycle, a power-efficiency management policy is generated for each of at least one back-end physical host based on the detected flow characteristics, and the power-efficiency management policies are performed. The method can detect the real-time flow characteristics of the virtualized cluster system and make the power-efficiency management policies thereupon to control the power consumption of the system and perform admission control on the whole flow, thereby realizing optimal power saving while meeting the quality of service requirements, so that a virtualized cluster system with high power-efficiency is provided. | 04-28-2016 |
20160117183 | SYSTEM-ON-CHIP DEVICE, METHOD OF PERIPHERAL ACCESS AND INTEGRATED CIRCUIT - A system-on-chip device comprises a core supporting a first virtual machine image and a virtual machine monitoring unit capable of communicating with the first virtual machine image. A shareable resource is also provided as well as a conflict detection unit capable of communicating with the virtual machine monitoring unit and the first virtual machine image. The conflict detection unit is arranged to detect, when in use, an access conflict caused by more than one virtual machine image attempting to access initially the shareable resource. The conflict detection unit is arranged to refer, when in use, the access conflict in response to detection thereof to the virtual machine monitoring unit for resolving of the access conflict, thereby handling the access conflict before the virtual machine monitoring unit. | 04-28-2016 |
20160117184 | FLEXIBLE DEVICE TEMPLATES FOR CONNECTED CONSUMER DEVICES - A processing device executing a registration service receives information identifying a first device, a second device and an application running on the first device. The processing device determines a registration technique that is supported by both the application and the second device and sends a message indicating the registration technique to at least one of the first device or the second device, wherein the application is to perform an operation associated with the first registration technique to bind the second device to the user account. | 04-28-2016 |
20160117185 | VIRTUAL OVERLAY MOBILITY USING LABEL BASED UNDERLAY NETWORK FORWARDING - Various techniques for migrating virtual entities via a label based underlay network is disclosed herein. In one embodiment, a method includes receiving packets associated with migrating a virtual machine from an originating network node of the underlay network to a target network node of the underlay network. The received packets individually include a label associated with a network path from the originating network node to the target network node in the underlay network. In response to receiving the packets, the method includes examining the labels of the packets to determine the network paths associated the labels and forwarding the packets following the determined network paths in the underlay network. | 04-28-2016 |
20160117186 | DYNAMIC SCALING OF MANAGEMENT INFRASTRUCTURE IN VIRTUAL ENVIRONMENTS - Methods, systems, and computer programs for performing management tasks in a virtual infrastructure are presented. The method includes detecting a change, beyond a predetermined threshold, in a number of tasks waiting to be processed by a plurality of management modules executing as execution environments in the virtual infrastructure, each of the plurality of management modules being a management execution environments for the managed objects. If the detected change is a decrease, the method includes selecting one or more of the management modules to be removed and distributing managed objects handled by the selected management modules to one or more non-selected management modules. If the detected change is an increase, the method includes spawning one or more additional management modules executing as execution environments and distributing selected managed objects from the existing management modules to the additional management modules. | 04-28-2016 |
20160117187 | METHODS AND SYSTEMS FOR PROVISIONING A VIRTUAL RESOURCE IN A MIXED-USE SERVER - A method for provisioning a virtualized resource includes directing, by a provisioning machine, a server-executed hypervisor to provision a virtual machine. The provisioning machine directs generation of an organizational unit within a first organizational unit within a multi-tenant directory service separated from a second organizational unit in the multi-tenant directory service by a firewall. The provisioning machine associates the virtual machine with the first organizational unit. The provisioning machine establishes at least one firewall rule on the virtual machine restricting communications to the virtual machine to communications from explicitly authorized machines, which including at least one other machine within the organizational unit. The provisioning machine receives a request to provision a virtualized resource for at least one user. The provisioning machine updates data associated with the organizational unit to include an identification of the at least one user. The provisioning machine directs the virtual machine to host the virtualized resource. | 04-28-2016 |
20160124761 | IDLE BASED LATENCY REDUCTION FOR COALESCED INTERRUPTS - A guest operating system of a virtual machine sends a request to a hypervisor to coalesce interrupts from a networking device. The guest operating system then monitors the execution state of an application on the virtual machine to detect when the application becomes idle. Upon detecting that the application is idle, the guest operating system can send a request to the hypervisor for any coalesced interrupts that have been queued for delivery to the application. The guest operating system may then receive the coalesced interrupts from the hypervisor and deliver them to the application. | 05-05-2016 |
20160124762 | GUEST IDLE BASED VM REQUEST COMPLETION PROCESSING - A hypervisor identifies one or more interrupts of a networking device for a virtual machine. The hypervisor queues the interrupts and determines the execution state of at least one virtual processor of a virtual machine. Upon determining that the execution state of the virtual processor is active, the hypervisor continues queuing the interrupts of the networking device. Upon determining that the execution state of the virtual processor has changed to idle, the hypervisor provides the queued interrupts to the virtual machine. | 05-05-2016 |
20160124763 | LIMITED VIRTUAL DEVICE POLLING BASED ON VIRTUAL CPU PRE-EMPTION - A hypervisor executing on a computer system identifies a request of a guest operating system of a virtual machine associated with a shared device. The shared device comprises a shared memory space between a virtual processor of the virtual machine and the hypervisor and the virtual machine has a plurality of virtual processors. The hypervisor processes the request of the guest operating system and polls the shared device for additional requests of the guest operating system. Upon determining that there are no additional requests associated with the shared device to be processed, the hypervisor determines the execution state of each virtual processor of the virtual machine. The hypervisor disables polling the shared device for requests upon determining that at least one of the plurality of virtual processors has been pre-empted. | 05-05-2016 |
20160124764 | AUTOMATED GENERATION OF CLONED PRODUCTION ENVIRONMENTS - Methods and systems for managing, storing, and serving data within a virtualized environment are described. In some embodiments, a data management system may manage the extraction and storage of virtual machine snapshots, provide near instantaneous restoration of a virtual machine or one or more files located on the virtual machine, and enable secondary workloads to directly use the data management system as a primary storage target to read or modify past versions of data. The data management system may allow a virtual machine snapshot of a virtual machine stored within the system to be directly mounted to enable substantially instantaneous virtual machine recovery of the virtual machine. | 05-05-2016 |
20160124765 | RESOURCE ALLOCATION APPARATUS, METHOD, AND STORAGE MEDIUM - A dynamic resource allocation apparatus according to an embodiment includes a usage amount calculator, a spike detector, an allocation amount calculator, and an allocation amount setter. The usage amount calculator calculates a fixed usage amount which is a resource usage amount actually used for each time slot as a division of a resource fluctuation period of a virtual machine. The spike detector detects a spike of the fixed usage amount. The allocation amount calculator calculates a resource allocation amount to be allocated to the i-th time slot based on the past fixed usage amount in the i-th time slot and a detection result of a past spike in a time slot included in a predetermined range before and after the i-th time slot. The allocation amount setter sets an allocation amount to a virtual machine monitor which controls a virtual machine. | 05-05-2016 |
20160124766 | COOPERATED INTERRUPT MODERATION FOR A VIRTUALIZATION ENVIRONMENT - Generally, this disclosure describes systems (and methods) of moderating interrupts in a virtualization environment. An overflow interval is defined. The overflow interrupt interval is used to trigger activation of an inactive guest so that the guest may respond to a critical event. The guest, including a network application, may be active for a first time interval and inactive for a second time interval. A latency interrupt interval may be defined. The latency interrupt interval is configured for interrupt moderation when the network application associated with a packet flow is active, i.e., when the guest including the network application is active on a processor. Of course, many alternatives, variations, and modifications are possible without departing from this embodiment. | 05-05-2016 |
20160124767 | VIRTUAL MACHINE BASED CONTENT PROCESSING - A set of techniques is described for enabling a virtual machine based transcoding system. The system enables any transcoding provider to make their transcoding service available to other users over a network. The system can automate the deployment, execution and delivery of the transcoding service on behalf of the transcoding provider and enable other users to use the transcoding services to transcode content. The system receives a virtual machine image, transfers the image to a location where the media content is stored and creates a virtual private network of resources that will perform the transcoding of the media content. The virtual private network may be firewalled or otherwise restricted from opening connections with external clients when transcoding the content in order to prevent malicious use of the media content. | 05-05-2016 |
20160124768 | MAINTAINING VIRTUAL MACHINES FOR CLOUD-BASED OPERATORS IN A STREAMING APPLICATION IN A READY STATE - A streams manager monitors performance of a streaming application, and when the performance needs to be improved, the streams manager automatically requests virtual machines from a cloud manager. The cloud manager provisions one or more virtual machines in a cloud with the specified streams infrastructure and streams application components. The streams manager then modifies the flow graph so one or more portions of the streaming application are hosted by the virtual machines in the cloud. When performance of the streaming application indicates a virtual machine is no longer needed, the virtual machine is maintained and placed in a ready state so it can be quickly used as needed in the future without the overhead of deploying a new virtual machine. | 05-05-2016 |
20160124769 | MAINTAINING VIRTUAL MACHINES FOR CLOUD-BASED OPERATORS IN A STREAMING APPLICATION IN A READY STATE - A streams manager monitors performance of a streaming application, and when the performance needs to be improved, the streams manager automatically requests virtual machines from a cloud manager. The cloud manager provisions one or more virtual machines in a cloud with the specified streams infrastructure and streams application components. The streams manager then modifies the flow graph so one or more portions of the streaming application are hosted by the virtual machines in the cloud. When performance of the streaming application indicates a virtual machine is no longer needed, the virtual machine is maintained and placed in a ready state so it can be quickly used as needed in the future without the overhead of deploying a new virtual machine. | 05-05-2016 |
20160124777 | RESOURCE SUBSTITUTION AND REALLOCATION IN A VIRTUAL COMPUTING ENVIRONMENT - A host system reallocates resources in a virtual computing environment by first receiving a request to reallocate a first quantity of a first resource type. Next, potential trade-off groups are evaluated and a trade-off group is selected based on the evaluation. The selected trade-off group includes a set of applications running in the virtual computing environment that can use one or more alternate resource types as a substitute for the first quantity of the first resource type. After the selection, the host system reallocates the first quantity of the first resource type from the trade-off group. This reallocation may be made from the trade-off group to either a first application running in the virtual computing environment or the host system itself. If the reallocation is to the host system, then the total quantity of the first resource type allocated to applications running in the virtual computing environment is thereby reduced. | 05-05-2016 |
20160127438 | MAINTAINING VIRTUAL MACHINES FOR CLOUD-BASED OPERATORS IN A STREAMING APPLICATION IN A READY STATE - A streams manager monitors performance of a streaming application, and when the performance needs to be improved, the streams manager automatically requests virtual machines from a cloud manager. The cloud manager provisions one or more virtual machines in a cloud with the specified streams infrastructure and streams application components. The streams manager then modifies the flow graph so one or more portions of the streaming application are hosted by the virtual machines in the cloud. When performance of the streaming application indicates a virtual machine is no longer needed, the virtual machine is maintained and placed in a ready state so it can be quickly used as needed in the future without the overhead of deploying a new virtual machine. | 05-05-2016 |
20160132345 | Processing a guest event in a hypervisor-controlled system - The embodiments relate to processing a guest event in a hypervisor-controlled system. A guest event triggers a first firmware service for the guest event in firmware. The guest event is associated with a guest, a guest key, and with a guest state and protected guest memory accessible only by the guest and the firmware. The firmware processes information associated with the guest event. The processed information includes information of the guest state and the protected guest memory. A subset of the processed information is received by a hypervisor to process the guest event, and a non-received portion of the information is retained by the firmware. The hypervisor processes the guest event based on the received subset and sends a process result to the firmware triggering a second firmware service for the guest event. The firmware processes the process result together with the retained information to generate modification associated with the guest event. The firmware performs the generated modification associated with the guest event at the protected guest memory. | 05-12-2016 |
20160132347 | MANAGING VIRTUAL COMPUTING NODES USING ISOLATION AND MIGRATION TECHNIQUES - Systems and method for the management of virtual machine instances are provided. A network data transmission analysis system can use contextual information in the execution of virtual machine instances to isolate and migrate virtual machine instances onto physical computing devices. The contextual information may include information obtained in observing the execution of virtual machines instances, information obtained from requests submitted by users, such as system administrators. Still further, the network data transmission analysis system can also include information collection and retention for identified virtual machine instances. | 05-12-2016 |
20160132348 | DEPLOYMENT CONTROL DEVICE AND DEPLOYMENT CONTROL METHOD - A deployment control device includes a processor. The processor is configured to receive, from a first terminal device, a deployment request for requesting deployment of a virtual machine. The processor is configured to generate, in response to the received deployment request, the virtual machine configured to hold first permission information corresponding to unique information of the first terminal device, and selectively allow an access from a terminal device having permission information identical to the first permission information. The processor is configured to transmit the first permission information to the first terminal device. | 05-12-2016 |
20160132349 | Processing a guest event in a hypervisor-controlled system - The embodiments relate to a method for processing a guest event in a hypervisor-controlled system. A guest event triggers a first firmware service for the guest event in firmware. The guest event is associated with a guest, a guest key, and with a guest state and protected guest memory accessible only by the guest and the firmware. The firmware processes information associated with the guest event. The processed information includes information of the guest state and the protected guest memory. A subset of the processed information is received by a hypervisor to process the guest event, and a non-received portion of the information is retained by the firmware. The hypervisor processes the guest event based on the received subset and sends a process result to the firmware triggering a second firmware service for the guest event. The firmware processes the process result together with the retained information to generate modification associated with the guest event. The firmware performs the generated modification associated with the guest event at the protected guest memory. | 05-12-2016 |
20160132350 | VIRTUAL MACHINE MANAGEMENT METHOD, VIRTUAL MACHINE MANAGEMENT APPARATUS, AND COMPUTER-READABLE RECORDING MEDIUM HAVING STORED THEREIN VIRTUAL MACHINE MANAGEMENT PROGRAM - A virtual machine management method includes: permitting movement of a virtual machine to a first information processing apparatus that controls a virtual machine using a first instruction set, from a second information processing apparatus that controls a virtual machine using a second instruction set; issuing a notification of information relating to the first instruction set to the virtual machine to be moved from the second information processing apparatus to the first information processing apparatus; and executing, by the first information processing apparatus, control for the moved virtual machine using the first instruction set. | 05-12-2016 |
20160132351 | MICRO-VIRTUAL MACHINE FORENSICS AND DETECTION - The execution of a process within a VM may be monitored, and when a trigger event occurs, additional monitoring is initiated, including storing behavior data describing the real-time events taking place inside the VM. This behavior data may then be compared to information about the expected behavior of that type of process in order to determine whether malware has compromised the VM. | 05-12-2016 |
20160132352 | MAINTAINING VIRTUAL MACHINES FOR CLOUD-BASED OPERATORS IN A STREAMING APPLICATION IN A READY STATE - A streams manager monitors performance of a streaming application, and when the performance needs to be improved, the streams manager automatically requests virtual machines from a cloud manager. The cloud manager provisions one or more virtual machines in a cloud with the specified streams infrastructure and streams application components. The streams manager then modifies the flow graph so one or more portions of the streaming application are hosted by the virtual machines in the cloud. When performance of the streaming application indicates a virtual machine is no longer needed, the virtual machine is maintained and placed in a ready state so it can be quickly used as needed in the future without the overhead of deploying a new virtual machine. | 05-12-2016 |
20160132353 | IMAGE INSTANCE MAPPING - A method and system for image instance mapping is provided. The method includes receiving from change agents on virtual machine instances periodic monitoring data indicating changes for each virtual machine instance. The periodic monitoring data is analyzed and unique updates are applied to the virtual machine instances. High level semantic updates to the virtual machine instances are identified and updates associated with a golden master image are tracked. High level semantic updates to the golden master image are identified and in response, a version tree configured to track drift of each virtual machine instance with respect to golden master image is maintained. | 05-12-2016 |
20160139939 | SYSTEM AND METHOD TO CHAIN DISTRIBUTED APPLICATIONS IN A NETWORK ENVIRONMENT - A method is provided in one example embodiment and may include communicating information between a plurality of network function virtualized (NFV) based applications; and creating at least one service chain using at least two of the plurality of NFV-based applications based on the information communicated between the plurality NFV based applications. In some instances, the information can be communicated using border gateway protocol (BGP) exchanges between the NFV-based applications. In some instances, the information can include at least one of: next-hop address information for one or more ingress points of a particular NFV-based application; one or more capabilities by which a particular NFV-based application can receive data on one or more ingress points; and a method by which one or more egress points of a previous NFV-based application in a particular service chain is to perform load balancing for a subsequent NFV-based application in the particular service chain. | 05-19-2016 |
20160139940 | SYSTEMS AND METHODS FOR CREATING VIRTUAL MACHINE - A system for creating virtual machines, adapted to a virtual management platform, includes a configuration module, a selection module, a determination module and a distribution module. The configuration module creates a plurality of virtual sections according to the resource specifications. The selection module selects one of the virtual sections according to the customized specifications. The determination module creates a virtual section-setting profile according to the customized specifications. The determination module further calculates the quantity of the remaining resources of the virtual section, and determines whether the quantity of the customized specifications will exceed the remaining resources or not. When the quantity of the customized specifications does not exceed the quantity of the remaining resources, the distribution module creates a virtual machine in the virtual section according to the virtual section-setting profile. | 05-19-2016 |
20160139941 | METHOD AND SYSTEM FOR SORTING AND BUCKETIZING ALERTS IN A VIRTUALIZATION ENVIRONMENT - An architecture for sorts and bucketizes alerts in a virtualization environment. A plurality of alerts associated with virtual machines in the virtualization environment is received. A plurality of attributes is identified for the virtual machines, and a plurality of buckets defined for each attribute, into which the received alerts are assigned. The buckets for each attribute are then sorted. The attributes may also be sorted based upon the distribution of alerts in the buckets of the attribute, allowing a system administrator or other personnel to more easily determine which attributes of the virtual machines are correlated with the received alerts, in order to identify potential causes and solutions for the alerts in the virtualization environment. | 05-19-2016 |
20160139942 | VIRTUAL MACHINE INPUT/OUTPUT THREAD MANAGEMENT - A method performed by a physical computing system includes detecting an interrupt signal sent to a virtual processor being managed by the hypervisor, creating a map between the virtual processor and an Input/Output (I/O) thread associated with the interrupt signal, determining that the virtual processor is idle, finding the I/O thread associated with the idle virtual processor based on the map, and moving the I/O thread associated with the idle virtual processor up in a processing queue, the processing queue being for processes to be executed on a physical processor. | 05-19-2016 |
20160139943 | VIRTUAL MACHINE CLUSTER BACKUP - Embodiments are directed to backing up a virtual machine cluster and to determining virtual machine node ownership prior to backing up a virtual machine cluster. In one scenario, a computer system determines which virtual machines nodes are part of the virtual machine cluster, determines which shared storage resources are part of the virtual machine cluster and determines which virtual machine nodes own the shared storage resources. The computer system then indicates to the virtual machine node owners that at least one specified application is to be quiesced over the nodes of the virtual machine cluster, such that a consistent, cluster-wide checkpoint can be created. The computer system further creates a cluster-wide checkpoint which includes a checkpoint for each virtual machine in the virtual machine cluster. | 05-19-2016 |
20160139944 | Method and Apparatus for Combined Hardware/Software VM Migration - A method and apparatus are provided for migrating one or more hardware devices ( | 05-19-2016 |
20160139946 | WORKLOAD-AWARE LOAD BALANCING TO MINIMIZE SCHEDULED DOWNTIME DURING MAINTENANCE OF HOST OR HYPERVISOR OF A VIRTUALIZED COMPUTING SYSTEM - A computer-implemented method for computing an optimal plan for maximizing availability of the workload balancing of a virtual computing device, in the event of maintenance of the virtual computing device, is provided. The computer-implemented method comprises determining a workload placement plan that migrates a plurality of virtual machines of the virtual computing device to at least one location of a plurality of hypervisors. The computer-implemented method further comprises receiving input parameters for computing the workload placement plan for migrating the plurality of virtual machines. The computer-implemented method further comprises determining the workload placement plan that forms the basis for migrating the plurality of virtual machines, within the virtual computing device, for maximizing operating objectives of the virtual computing device. | 05-19-2016 |
20160139947 | SYSTEM AND METHOD FOR AUTOMATICALLY LAUNCHING VIRTUAL MACHINES BASED ON ATTENDANCE - Certain aspect of the present disclosure relates to a virtual machine (VM) control system, which includes a VM controller. For a plurality of employees, the VM controller registers each employee by assigning an employee ID, and stores registration information in an attendance database. The VM controller also associates one or more VMs to each employee, and stores VM association information between the VMs and the employees in an employee ID database. The VM controller transmits polling inquiries periodically to the attendance database to retrieve employee presence events of the employees. For each employee, the employee presence events include an ingress event and an egress event. When the ingress event is detected and the associated VM is off, the VM controller launches the associated VM. When the egress event is detected and the associated VM is on, the VM controller shuts down the associated VM. | 05-19-2016 |
20160139948 | Dynamic Resource Configuration Based on Context - Aspects of the disclosure allocate shares of processing resources or other physical resources among virtual machines (VMs) operating as, for example, virtual desktops on a plurality of host computing devices. Allocations of resources are adjusted based on the user activity, VM activity, and/or application activity detected by an agent executing on each VM. Allocated shares may be boosted, unboosted, or normalized, depending on the type and duration of detected activity, by a resource allocation manager executing on a management server. | 05-19-2016 |
20160139949 | VIRTUAL MACHINE RESOURCE MANAGEMENT SYSTEM AND METHOD THEREOF - Implementations of the present disclosure provide a virtual machine resource management system and method thereof. According to one implementation, a request for service provisioning is received and at least one virtual machine associated with the request is created. When a determination has been made that the allocated virtual resources have exceeded a threshold value, a virtual machine is modified based on an associated life cycle stage priority or service information. | 05-19-2016 |
20160139957 | METHOD AND SYSTEM FOR SCHEDULING VIRTUAL MACHINES IN INTEGRATED VIRTUAL MACHINE CLUSTERS - A method for scheduling virtual machines in a virtual machine cluster includes obtaining a filename of a target virtual machine when a user requests to start the target virtual machine; inquiring, based on the filename of the target virtual machine, a storage module or a database to acquire one or more nodes where copies of the target virtual machine are located; selecting, from the acquired one or more nodes, a node with a highest score as a target node having a copy of the target virtual machine; and running the copy of the target virtual machine on the selected target node with the highest score. | 05-19-2016 |
20160139962 | MIGRATING A VM IN RESPONSE TO AN ACCESS ATTEMPT BY THE VM TO A SHARED MEMORY PAGE THAT HAS BEEN MIGRATED - A hypervisor of a source host receives a request to migrate a group of virtual machines from the source host to a destination host. The hypervisor of the source host determines that a first virtual machine being migrated to the destination host shares a memory space on the source host with a second virtual machine on the source host. Upon receiving a request from the second virtual machine on the source host to access a first memory page of the shared memory space on the source host that has been migrated to the destination host, the hypervisor of the source host initiates migration of the second virtual machine to the destination host. | 05-19-2016 |
20160147548 | VIRTUAL MACHINE ARRANGEMENT DESIGN APPARATUS AND METHOD , SYSTEM, AND PROGRAM - An apparatus includes an input unit that receives a requested resource, and a VM arrangement destination computation unit that predicts traffic volume flowing through a network with the physical machines connected thereto in a case wherein the virtual machine is arranged on the physical machine that conform to a condition specified by the requested resource, and based on the predicted traffic volume, and selects the physical machine that balances a link utilization of the network as an arrangement destination of the virtual machine. | 05-26-2016 |
20160147549 | OPTIMIZING VIRTUAL MACHINE ALLOCATION TO CLUSTER HOSTS - Systems and methods for optimizing a virtual machine cluster. An example method may comprise receiving, by a processing device, an information characterizing a virtual machine cluster, the information comprising at least one of: values of one or more cluster configuration parameters, values of one or more cluster state parameters, or values of one or more user request parameters; and producing, in view of the received information, an ordered list of cluster configuration operations to be performed on virtual machines of the virtual machine cluster, the cluster configuration operations designed to yield a resulting configuration of the virtual machine cluster, wherein the resulting configuration is characterized by a quasi-optimal configuration score among configuration scores of two or more candidate configurations, the configuration score determined by applying one or more virtual machine scheduling policy rules to parameters of a candidate configuration. | 05-26-2016 |
20160147550 | Monitoring and Reporting Resource Allocation and Usage in a Virtualized Environment - Various aspects of the disclosure relate to monitoring of resource usage in a virtualized environment, including usage of a physical processor that executes a virtual machine or an application of the virtualized environment. By monitoring physical computing resources (e.g., by number and type) that are used to execute a virtual machine or an application of the virtualized environment, a user may, for example, be informed as to when physical computing resources are used in excess or less than the limits set by the license. In some embodiments, additional actions may be taken to update the license to better satisfy the user's resource requirements or reduce the amount paid annually for ongoing technical services. To inform a user, or form the basis for the additional actions, a report may be generated that includes data describing how a virtual machine or application executed on the physical computing resources. | 05-26-2016 |
20160147551 | PARAVIRTUALIZED ACCESS FOR DEVICE ASSIGNMENT BY BAR EXTENSION - A hypervisor associates a combined register space with a virtual device to be presented to a guest operating system of a virtual machine, the combined register space comprising a default register space and an additional register space. Responsive to detecting an access of the additional register space by the guest operating system of the virtual machine, the hypervisor performs an operation on behalf of the virtual machine, the operation pertaining to the access of the additional register space. | 05-26-2016 |
20160147552 | TRAFFIC-AWARE DATA CENTER VM PLACEMENT CONSIDERING JOB DYNAMIC AND SERVER HETEROGENEITY - A method is implemented by a computing device to provide traffic-aware virtual machine (VM) placement onto physical servers of a data center where the placement takes incremental VM job arrival and physical server heterogeneity into consideration. The method forms a graph including a new VM node, an existing VM node, and an edge between the nodes, where the edge is assigned a weight that represents a traffic demand. The method marks the existing VM node as belonging to one of the physical servers, adds dummy VM nodes to the graph, adds pseudo VM nodes to the graph, connects nodes belonging to a same physical server using an infinite weight pseudo edge, runs a balanced minimum k-cut problem algorithm on the graph to thereby divide the graph into sub-graphs, and maps the new VM to one of the physical servers based on the division of sub-graphs. | 05-26-2016 |
20160147553 | MINIMIZING GUEST OPERATING SYSTEM LICENSING COSTS IN A PROCESSOR BASED LICENSING MODEL IN A VIRTUAL DATACENTER - Techniques for optimizing guest operating system (OS) utilization cost in a processor based licensing model in a virtual datacenter are described. In one example embodiment, a virtual machine (VM) that has or is scheduled to have an instance of an operating system (OS) that requires a license is identified. Availability of a physical processor of a first host computing system that is licensed to execute the OS based on the computing resource requirements of the VM, the physical processor based license, author assigned affinity to physical processors in the first host computing. system is determined. The VM is then migrated/placed to/on the physical processor of the first host computing system or migrated/placed to/on a physical processor of a second host computing system based on the outcome of the determination. | 05-26-2016 |
20160147554 | HOT-SWAPPING STORAGE POOL BACKEND FUNCTIONAL MODULES - Systems and methods for hot-swapping storage pool backend functional modules of a host computer system. An example method may comprise: identifying, by a processing device of a host computer system executing a virtual machine managed by a virtual machine manager, a storage pool backend functional module; and activating the identified storage pool backend functional module by directing, to the identified storage pool backend functional module, backend storage function calls. | 05-26-2016 |
20160147555 | Hardware Accelerated Virtual Context Switching - In a virtual computing environment, a system configured to switch between isolated virtual contexts. A system includes a physical processor. The physical processor includes an instruction set architecture. The instruction set architecture includes an instruction included in the instruction set architecture for the physical processor that when invoked indicates that a virtual processor implemented using the physical processor should switch directly from a first virtual machine context to a second virtual machine context. The first and second virtual machine contexts are isolated from each other. | 05-26-2016 |
20160147556 | MULTI-HYPERVISOR VIRTUAL MACHINES - Standard nested virtualization allows a hypervisor to run other hypervisors as guests, i.e. a level-0 (L0) hypervisor can run multiple level-1 (L1) hypervisors, each of which can run multiple level-2 (L2) virtual machines (VMs), with each L2 VM is restricted to run on only one L1 hypervisor. Span provides a Multi-hypervisor VM in which a single VM can simultaneously run on multiple hypervisors, which permits a VM to benefit from different services provided by multiple hypervisors that co-exist on a single physical machine. Span allows (a) the memory footprint of the VM to be shared across two hypervisors, and (b) the responsibility for CPU and I/O scheduling to be distributed among the two hypervisors. Span VMs can achieve performance comparable to traditional (single-hypervisor) nested VMs for common benchmarks. | 05-26-2016 |
20160147557 | File Transfer Using Standard Blocks and Standard-Block Identifiers - Instead of transferring a large original file, such as a virtual-machine image file, from a source system to a target system, the original file is encoded to define a recipe file that is transferred. The recipe is then decoded to yield a duplicate of the original file on the target system. Encoding involves identifying standard blocks in the original file and including standard-block identifiers for the standard blocks in the recipe in lieu of the original blocks. Decoding involves an exchange with a standard-block identifier server system, which provides standard blocks in response to received standard-block identifiers. | 05-26-2016 |
20160147558 | Virtual machine disk image installation - A processor copies first and second installable binary files into first and second disk images of first and second virtual machines, respectively, before instantiating the images. The processor can copy first installation parameters and second installation parameters into the first image. The processor copies additional first installation parameters and additional second installation parameters into the second image. The processor at least partially executes a first installation process, based on the first installation parameters, to install the first installable binary files, and a second installation process, based on the additional second installation parameters, to install the second installable binary files. The processor at least partially executes the installation processes in an interleaved manner in relation to one another, based on dependencies. After instantiating the images, the processor can execute scripts based on the second installation parameters and the additional second installation parameters to complete installation. | 05-26-2016 |
20160154659 | Mega Data Center Using Mini-cloud Host in Residential Environment | 06-02-2016 |
20160154660 | MANAGING HYPERVISOR WEIGHTS IN A VIRTUAL ENVIRONMENT | 06-02-2016 |
20160154661 | SYSTEMS AND METHODS FOR VIRTUAL MACHINE ATTRIBUTION WITH HARDWARE INFORMATION | 06-02-2016 |
20160154662 | DEVICE AND METHOD FOR DYNAMICALLY MAPPING PROCESSOR BASED ON TENANT | 06-02-2016 |
20160154663 | VIRTUAL MACHINE BACKUP | 06-02-2016 |
20160154664 | INFORMATION PROCESSING SYSTEM AND METHOD OF CONTROLLING SAME | 06-02-2016 |
20160154665 | VIRTUAL MACHINE DEPLOYMENT METHOD, VIRTUAL MACHINE DEPLOYMENT PROGRAM, AND VIRTUAL MACHINE DEPLOYMENT SYSTEM | 06-02-2016 |
20160154666 | Efficient Detection and Response to Spin Waits in Multi-Processor Virtual Machines | 06-02-2016 |
20160154667 | MANAGEABLE EXTERNAL WAKE OF VIRTUAL MACHINES | 06-02-2016 |
20160154668 | SELECTING A VIRTUAL BASIC INPUT OUTPUT SYSTEM BASED ON INFORMATION ABOUT A SOFTWARE STACK | 06-02-2016 |
20160154669 | HIBERNATION VIA PARAVIRTUALIZATION | 06-02-2016 |
20160154670 | System and Method for Resizing a Virtual Desktop Infrastructure using Virtual Desktop Infrastructure Monitoring Tools | 06-02-2016 |
20160154671 | Sharing Resources Allocated to an Entitled Virtual Machine | 06-02-2016 |
20160154672 | Sharing Resources Allocated to an Entitled Virtual Machine | 06-02-2016 |
20160154674 | DATA PROCESSING METHOD AND SYSTEM WITH APPLICATION-LEVEL INFORMATION AWARENESS | 06-02-2016 |
20160154676 | Method of Resource Allocation in a Server System | 06-02-2016 |
20160162308 | DEPLOYING A VIRTUAL MACHINE IN A COMPUTING ENVIRONMENT - A method and associated system. A request to deploy a virtual machine in a computing environment is received. The request identifies an ordered sequence of attributes that the virtual machine to be deployed includes. In response to the request, a virtual machine resource usage pattern having attributes matching a subset of attributes in the ordered sequence of attributes is selected, based on an ordering of the attributes in the ordered sequence of attributes. A node on which the virtual machine is to be deployed is selected from two or more nodes in the computing environment, based on the selected virtual machine resource usage pattern and predicted runtime resource requirements of the virtual machine to be deployed. | 06-09-2016 |
20160162309 | VIRTUAL MACHINE PACKING METHOD USING SCARCITY - A method for packing virtual machines onto host devices may calculate scarcity values for several different parameters. A host's scarcity for a parameter may be determined by multiplying the host's capacity for a parameter with the overall scarcity of that parameter. The sum of a host's scarcity for all the parameters determines the host's overall scarcity. Hosts having the highest scarcity are attempted to be populated with a group of virtual machines selected for compatibility with the host. In many cases, several different scenarios may be evaluated and an optimal scenario implemented. The method gives a high priority to those virtual machines that consume scarce resources, with the scarcity being a function of the available hardware and the virtual machines that may be placed on them. | 06-09-2016 |
20160162310 | EXTENSION POINT DECLARATIVE REGISTRATION FOR VIRTUALIZATION - An extension point virtualization system uses operating system-provided reparse points to provide minimal extension point registration. Reparse points preserve application isolation while removing the scale problem of writing custom extension point proxies for each extension point. Instead, the system can use a single file system filter that generically handles application virtualization reparse points, and store reparse point data for extension points that need redirection. Many extension points can be handled by redirecting the operating system from a typical location for an application resource to a virtualized safe location for the application resource. Thus, the system simplifies the process of handling new extension points by allowing an application virtualization system to simply register new locations that should be handled with reparse points and to then handle registered locations generically. | 06-09-2016 |
20160162311 | OFFLOADING AND PARALLELIZING TRANSLATION TABLE OPERATIONS - Embodiments disclosed herein generally include a computer-implemented method, computer program product, and system to facilitate offloaded and parallelized direct memory access (DMA) translation table operations. The method includes a hypervisor requesting a lease on an auxiliary parallel processing element assigned to a first virtual machine hosted by the hypervisor. The method further includes receiving a grant of the lease, whereby ownership of the auxiliary parallel processing element is transferred from the first virtual machine to the hypervisor. The method further includes, during the lease, providing a predefined program to execute on the auxiliary parallel processing element in order to perform a desired operation on the hypervisor DMA translation table and with parallelism. The method further includes, upon completion of the predefined program, terminating the lease by the hypervisor, whereby ownership of the auxiliary parallel processing element is returned to the first virtual machine. | 06-09-2016 |
20160162312 | CONFIGURING MONITORING FOR VIRTUALIZED SERVERS - A method for configuring and maintaining external monitoring of one or more instances of a virtual machine within a virtualized computing environment. The method includes a computer processor monitoring a hypervisor. The method further includes a computer processor identifying a first list, wherein the first list is comprised of one or more monitoring templates respectively associated with one or more virtual machine types, and maintaining a second list comprised plurality of provisioned instances of virtual machines, wherein the second list also includes a first information respectively associated with the plurality of provisioned instances of virtual machines. The method further includes a computer processor compiling a third list and transmitting the third list to the monitoring system. The method further includes a computer processor receiving the third list and in response, a computer processor executing one or more monitoring functions based, at least in part, on the third list. | 06-09-2016 |
20160162313 | SYSTEMS AND METHODS FOR SECURING VIRTUAL MACHINES - A system includes a first computing device comprising a virtual machine (VM), a second computing device, and a third computing device coupled to the first and second computing devices. The third computing device includes a management module configured to migrate the VM from the first computing device to the second computing device. The management module is also configured to create a first signature of data associated with the VM stored by the first computing device over a first period of time and create a second signature of data associated with the VM stored by the first computing device over a second period of time. The management module is further configured to compare the first signature and the second signature throughout the migration process to determine whether the data has been altered and generate an alert when a difference between the first signature and the second signature is detected. | 06-09-2016 |
20160162314 | ALLOCATING COST OF DISK USAGE TO A LINKED CLONE VIRTUAL MACHINE - The present disclosure is related to methods, systems, and machine-readable media for allocating cost of disk usage to a linked clone virtual machine (VM). A determination can be made as to a number of disks used by a linked clone VM, among the disks in a software defined data center, over a time period. In some examples, a cost for a total usage of the number of disks over the time period can be allocated to the linked clone VM, regardless of whether the total usage over the time period includes usage by other VMs. In some examples, a cost for a total usage of the number of disks over the time period can be allocated to the linked clone VM, in proportion to the number of VMs that use the disk regardless of relative usage of the disk by each of the number of VMs. | 06-09-2016 |
20160162315 | ALLOCATING COST OF DISK USAGE TO A LINKED CLONE VIRTUAL MACHINE BASED ON A PARAMETER OF USAGE - The present disclosure is related to methods, systems, and machine-readable media for allocating cost of disk usage to a linked clone virtual machine (VM) based on a parameter of usage. A determination can be made as to a number of disks used by a linked clone VM among a plurality of disks in a software defined data center over a time period and as to a respective portion of a parameter of usage for each of the number of disks used by the linked clone VM over the time period that is attributable to the linked clone VM. A portion of a cost for usage of each of the number of disks over the time period can be allocated to the linked clone VM in proportion to the respective portion of the parameter of usage attributable to the linked clone VM and/or on a relative latency. | 06-09-2016 |
20160162316 | OFFLOADING AND PARALLELIZING TRANSLATION TABLE OPERATIONS - Embodiments disclosed herein generally include a computer-implemented method, computer program product, and system to facilitate offloaded and parallelized direct memory access (DMA) translation table operations. The method includes a hypervisor requesting a lease on an auxiliary parallel processing element assigned to a first virtual machine hosted by the hypervisor. The method further includes receiving a grant of the lease, whereby ownership of the auxiliary parallel processing element is transferred from the first virtual machine to the hypervisor. The method further includes, during the lease, providing a predefined program to execute on the auxiliary parallel processing element in order to perform a desired operation on the hypervisor DMA translation table and with parallelism. The method further includes, upon completion of the predefined program, terminating the lease by the hypervisor, whereby ownership of the auxiliary parallel processing element is returned to the first virtual machine. | 06-09-2016 |
20160162317 | CONFIGURING MONITORING FOR VIRTUALIZED SERVERS - A method for configuring and maintaining external monitoring of one or more instances of a virtual machine within a virtualized computing environment. The method includes a computer processor monitoring a hypervisor. The method further includes a computer processor identifying a first list, wherein the first list is comprised of one or more monitoring templates respectively associated with one or more virtual machine types, and maintaining a second list comprised plurality of provisioned instances of virtual machines, wherein the second list also includes a first information respectively associated with the plurality of provisioned instances of virtual machines. The method further includes a computer processor compiling a third list and transmitting the third list to the monitoring system. The method further includes a computer processor receiving the third list and in response, a computer processor executing one or more monitoring functions based, at least in part, on the third list. | 06-09-2016 |
20160162318 | VIRTUAL MACHINE EXIT ANALYZER - Technologies are generally described for systems, devices and methods effective to implement a virtual machine exit analyzer. A virtual machine handler may receive a request that includes an instruction. The instruction may include a port and a data block identifier. The virtual machine handler may generate a modified request. The modified request may include the port, a block portion identifier and an identification of a comparator. The virtual machine handler may send values identified by the block portion identifier to the comparator. The virtual machine handler may receive an exit indicator from the comparator that indicates whether the virtual machine should exit the core. | 06-09-2016 |
20160162319 | INTERPOSITION METHOD SUITABLE FOR HARDWARE-ASSISTED VIRTUAL MACHINE - One embodiment of the present invention is a method of interposing operations in a computational system that includes a virtualization system executable on an underlying hardware processor that natively supports one or more instructions that transition between host and guest execution modes. The method includes introducing a hooked vector into a supervisor register block of the hardware processor, wherein the hooked vector displaces a system call handler vector otherwise set by a guest computation; read and write protecting at least the hooked vector containing portion of the supervisor register block; initiating execution of a code sequence of the guest computation on the hardware processor using one of the instructions that transition between the host and guest execution modes thereof, wherein the code sequence includes a system call and wherein upon initiation of the system call, the hardware processor transfers execution to a substitute handler in accordance with the hooked vector; and responsive to execution of the substitute handler, initiating a hooked operation and transferring control to the guest system call handler. | 06-09-2016 |
20160162320 | SYSTEM FOR MANAGING AND SCHEDULING CONTAINERS - A task definition is received. The task definition indicates at least a location from which one or more software image can be obtained and information usable to determine an amount of resources to allocate to one or more software containers for the one or more software image. A set of virtual machine instances in which to launch the one or more software containers is determined, the one or more software image is obtained from the location included in the task definition and is launched as the one or more of software containers within the set of virtual machine instances. | 06-09-2016 |
20160162321 | Associating Virtual Machines on a Server Computer with Particular Users on an Exclusive Basis - A first computer of a plurality of computers in a server computer system may be associated with a first user on an exclusive basis. An administrator of the server computer system may utilize an administrative user interface in order to specify the association. Associating the first computer with the first user on the exclusive basis may prevent users other than the first user from using the first computer. In response to receiving a request from the first user to connect to the server computer system, the system may operate to determine that the first computer is associated with the first user on the exclusive basis and may assign the first computer to the first user. Once the first computer has been assigned to the first user, the first user can begin using the first computer. In some embodiments the first computer may be a physical computer. In other embodiments the first computer may be a virtual machine. | 06-09-2016 |
20160162323 | MONITORING AND MODIFYING ALLOCATED COMPUTING RESOURCES - Data is collected for at least one metric relating to utilization of a computing resource allocated to a virtual machine. The data is compared to decision criteria. A confidence level that the virtual machine is not utilized based, at least in part, on the comparing is determined. A policy is identified that defines an action to be taken for the confidence level. A severity of the action is greater as the confidence level increases. The action is initiated the action in accordance with the policy and confidence level. | 06-09-2016 |
20160162324 | MANAGEABLE EXTERNAL WAKE OF VIRTUAL MACHINES - A processor of a computing system receives a message while a virtual machine that is hosted by the computer system is asleep. The processor determines whether to wake the virtual machine in view of a parameter of the message. | 06-09-2016 |
20160162325 | VIRTUAL MACHINE SUSPENSION IN CHECKPOINT SYSTEM - Performing a checkpoint includes determining a checkpoint boundary of the checkpoint for a virtual machine, wherein the virtual machine has a first virtual processor, determining a scheduled hypervisor interrupt for the first virtual processor, and adjusting, by operation of one or more computer processors, the scheduled hypervisor interrupt to before or substantially at the checkpoint boundary. | 06-09-2016 |
20160162338 | METHODS AND SYSTEMS THAT ALLOCATE COST OF CLUSTER RESOURCES IN VIRTUAL DATA CENTERS - This disclosure presents computational systems and methods that allocate cost of resources of a cluster of server computers used by virtual machines in a virtual data center. In one aspect, a fair unit rate is computed based on the larger of a measured average utilization or an expected utilization of a cluster resource of server computers within a physical data center by virtual machines. The fair unit rate is a cost per unit of resource used over a period of time and is used to compute an allocated cost of the virtual machine usage of the cluster resource. | 06-09-2016 |
20160164762 | AUTOMATIC MANAGEMENT OF RESOURCE SIZING - A system for providing automatic resource resizing is provided. The system may be configured to maintain a plurality of virtual machine instances. The system may be further configured to receive a request to execute a program code and allocate computing resources for executing the program code on one of the virtual machine instances. The amount of resources allocated for executing the program code may be specified by the request and adjusted as needed. | 06-09-2016 |
20160164797 | AUTOMATIC DETERMINATION OF RESOURCE SIZING - A system for providing automatic resource resizing is provided. The system may be configured to maintain a plurality of virtual machine instances. The system may be further configured to receive a request to execute a program code and allocate computing resources for executing the program code on one of the virtual machine instances. The amount of resources allocated for executing the program code may be specified by the request and adjusted as needed. | 06-09-2016 |
20160170781 | SYSTEMS AND METHODS FOR LOADING A VIRTUAL MACHINE MONITOR DURING A BOOT PROCESS | 06-16-2016 |
20160170782 | NETWORK POLICY IMPLEMENTATION WITH MULTIPLE INTERFACES | 06-16-2016 |
20160170783 | NEAR CACHE DISTRIBUTION IN IN-MEMORY DATA GRID (IMDG)(NO-SQL) ENVIRONMENTS | 06-16-2016 |
20160170784 | MANAGING VIRTUAL MACHINE INSTANCES UTILIZING A VIRTUAL OFFLOAD DEVICE | 06-16-2016 |
20160170785 | MANAGING VIRTUAL MACHINE INSTANCES UTILIZING AN OFFLOAD DEVICE | 06-16-2016 |
20160170787 | Managing Virtual Machines According to Network Bandwidth | 06-16-2016 |
20160170788 | HANDLING DISK STATE INHERITANCE FOR FORKED VIRTUAL MACHINES | 06-16-2016 |
20160170789 | IMPLEMENTING DYNAMIC SRIOV VIRTUAL FUNCTION RESIZING | 06-16-2016 |
20160170790 | IMPLEMENTING DYNAMIC SRIOV VIRTUAL FUNCTION RESIZING | 06-16-2016 |
20160170791 | DEVICE FOR CONTROLLING MIGRATION IN A DISTRIBUTED CLOUD ENVIRONMENT AND METHOD FOR CONTROLLING MIGRATION USING THE SAME | 06-16-2016 |
20160170792 | MANAGEMENT SYSTEM AND METHOD FOR CONTROLLING MANAGEMENT SYSTEM | 06-16-2016 |
20160170793 | MANAGING VIRTUAL MACHINES ACCORDING TO NETWORK BANDWIDTH | 06-16-2016 |
20160170794 | DYNAMIC INSTALLATION AND MANAGEMENT OF VIRTUAL CACHING APPLICANCES | 06-16-2016 |
20160170795 | VIRTUAL SWITCH INTERCEPTOR | 06-16-2016 |
20160170796 | SYSTEM AND METHOD FOR ASSISTING VIRTUAL MACHINE INSTANTIATION AND MIGRATION | 06-16-2016 |
20160170810 | PROCESSOR UNPLUG IN VIRTUALIZED COMPUTER SYSTEMS | 06-16-2016 |
20160179558 | EXITING MULTIPLE THREADS OF A SIMULATION ENVIRONMENT IN A COMPUTER | 06-23-2016 |
20160179559 | INFORMATION PROCESSING APPARATUS AND INFORMATION PROCESSING METHOD | 06-23-2016 |
20160179560 | CPU Overprovisioning and Cloud Compute Workload Scheduling Mechanism | 06-23-2016 |
20160179561 | AUTOMATED EXPLOITATION OF VIRTUAL MACHINE RESOURCE MODIFICATIONS | 06-23-2016 |
20160179562 | RESOURCE CONTROL APPARATUS, METHOD, AND STORAGE MEDIUM | 06-23-2016 |
20160179563 | GENERATING A DEPLOYMENT PATTERN FOR REUSE IN A NETWORKED COMPUTING ENVIRONMENT | 06-23-2016 |
20160179564 | ISOLATING DATA WITHIN A COMPUTER SYSTEM USING PRIVATE SHADOW MAPPINGS | 06-23-2016 |
20160179566 | INDEPENDENT ACCESS TO VIRTUAL MACHINE DESKTOP CONTENT | 06-23-2016 |
20160179567 | Resource Configuration Method of Virtual Machine and Communications Device | 06-23-2016 |
20160179568 | EFFICIENTLY PROVIDING VIRTUAL MACHINE REFERENCE POINTS | 06-23-2016 |
20160179582 | TECHNIQUES TO DYNAMICALLY ALLOCATE RESOURCES FOR LOCAL SERVICE CHAINS OF CONFIGURABLE COMPUTING RESOURCES | 06-23-2016 |
20160179725 | INPUT/OUTPUT METHOD IN VIRTUAL MACHINE ENVIRONMENTS | 06-23-2016 |
20160188353 | LIVE REPLICATION OF A VIRTUAL MACHINE EXPORTED AND IMPORTED VIA A PORTABLE STORAGE DEVICE - Exemplary methods, apparatuses, and systems receive a request to initiate replication of a virtual machine (VM). In response to the request, a copy of the VM disk is exported to a locally attached portable storage device while the VM continues running. In response to receiving indication of the VM disk being imported from the portable storage device locally within a destination data center, the host computer determines VM data within the source data center is different from or not included within the exported copy of the VM disk and transmits the VM data to the destination data center via a network connection while the VM continues running. An indication that the virtual machine data within the destination data center is within a threshold of similarity with virtual machine data within the source data center is transmitted to the destination data center via the network connection. | 06-30-2016 |
20160188354 | EFFICIENT ENABLING OF EXTENDED PAGE TABLES - Embodiments of an invention for efficient enabling of EPTs are disclosed. In one embodiment, a processor includes instruction hardware, control logic, and execution hardware. The instruction hardware is to receive a plurality of instructions, including an instruction to switch an extended page table pointer (EPTP) in a non-root mode. The control logic is logic to determine, in response to receiving the instruction, whether to cause a first virtual machine exit, wherein the determination is based on whether a valid entry is found in an active EPTP list. The execution hardware is to execute a virtual machine monitor, wherein the virtual machine monitor is to activate a populated EPTP list in response to determining that extended page tables are being used and to activate an unpopulated EPTP list in response to determining that extended page tables are not being used. | 06-30-2016 |
20160188355 | OPTIMIZED MIGRATION OF VIRTUAL OBJECTS ACROSS ENVIRONMENTS IN A CLOUD COMPUTING ENVIRONMENT - An appliance migration mechanism (AMM) optimizes migration of a live virtual appliance with virtual objects between cloud environments to minimize temporary connections that use significant cloud resources during the migration. The AMM determines a cost of connections of each virtual object in the virtual appliance and produces an order of migration for the virtual objects. The cost of connections of the virtual objects may be determined by the total number of connections and the maximum number of connections. Alternatively the cost of the connections of the virtual objects for migration could be determined by a weighting the costs of the connections where the weighting may consider loading or other factors on the connection. | 06-30-2016 |
20160188356 | THIN CLIENT COMPUTING DEVICE HAVING TOUCH SCREEN INTERACTIVE CAPABILITY SUPPORT - Certain aspects of the present disclosure relates to a system capable of providing touch screen interactive capability to a thin client computing device. The computing device includes: a touch screen display supporting touch screen interactive capability, and a thin client controller. The thin client controller includes a processor, and a memory. The memory stores an operating system which does not support the touch screen interactive capability of the touch screen display, and computer executable code. When executed at the processor, the computer executable code causes the processor to: display a touch screen user interface to emulate computer peripherals, define certain user touch screen operations and certain corresponding thin client management functions, receive one or more user touch screen operations from a user through the touch screen user interface on the touch screen display, and perform the thin client management functions according to the received user touch screen operations. | 06-30-2016 |
20160188357 | SOFTWARE APPLICATION PLACEMENT USING COMPUTING RESOURCE CONTAINERS - Embodiments associate software applications with computing resource containers based on a placement rule and a selected failure correlation. A placement rule indicates that a first software application is to be co-located with a second software application during execution of the first and second software applications. The placement rule also indicates that the first software application is to be separated from the second software application during execution of the first and second software applications. Failure correlations are determined for a plurality of computing resources associated with the first software application. A computing resource with a lowest failure correlation is selected from the plurality of computing resources, and the second software application is associated with the selected computing resource despite the association violating the placement rule. | 06-30-2016 |
20160188359 | LOCATION-AWARE VIRTUAL SERVICE PROVISIONING IN A HYBRID CLOUD ENVIRONMENT - A sense of location is provided for distributed virtual switch components into the service provisioning scheme to reduce latency observed in conducting policy evaluations across a network in a hybrid cloud environment. A management application in a first virtual network subscribes to virtual network services provided by a second virtual network. A first message is sent to the second virtual network, the first message comprising information configured to start a virtual switch in the second virtual network that switches network traffic for one or more virtual machines in the second virtual network that are configured to extend services provided by the first virtual network into the second virtual network. A second message is sent to the second virtual network, the second message comprising information configured to start a virtual service node in the second virtual network that provides network traffic services for the one or more virtual machines. | 06-30-2016 |
20160188360 | REQUEST PROCESSING TECHNIQUES - A computer system implements a hypervisor which, in turn, implements one or more computer system instances and a controller. The controller and a computer system instance share a memory. A request is processed using facilities of both the computer system instance and the controller. As part of request processing, information is passed between the computer system instance and the controller via the shared memory. | 06-30-2016 |
20160188361 | SYSTEMS AND METHODS FOR DETERMINING DESKTOP READINESS USING INTERACTIVE MEASURES - Systems and methods described herein facilitate determining desktop readiness using interactive measures. A host is in communication with a server and the host includes a virtual desktop and a virtual desktop agent. The virtual desktop agent is configured to perform one or more injecting events via one or more monitoring agents, wherein each of the injecting events is a simulated input device event. The desktop agent is further configured to receive, via a display module, a response to the injecting event(s), wherein the response is a display update causing pixel color values for the display module to alter. The desktop agent is also configured to identify, via the monitoring agent(s), whether the response to the injecting event(s) is an expected response. The desktop agent is also configured to determine, via the monitoring agent(s), a readiness of the virtual desktop based on the expected response. | 06-30-2016 |
20160196155 | RUNNING ADD-ON COMPONENTS IN VIRTUAL ENVIRONMENTS | 07-07-2016 |
20160196157 | INFORMATION PROCESSING SYSTEM, MANAGEMENT DEVICE, AND METHOD OF CONTROLLING INFORMATION PROCESSING SYSTEM | 07-07-2016 |
20160196158 | LIVE MIGRATION OF VIRTUAL MACHINES ACROSS VIRTUAL SWITCHES IN VIRTUAL INFRASTRUCTURE | 07-07-2016 |
20160196159 | ADAPTIVE DYNAMIC SELECTION AND APPLICATION OF MULTIPLE VIRTUALIZATION TECHNIQUES | 07-07-2016 |
20160196160 | ARCHIVING VIRTUAL MACHINES IN A DATA STORAGE SYSTEM | 07-07-2016 |
20160196166 | AUTOMATED EXPLOITATION OF VIRTUAL MACHINE RESOURCE MODIFICATIONS | 07-07-2016 |
20160203008 | MECHANISM FOR PERFORMING ROLLING UPDATES WITH DATA UNAVAILABILITY CHECK IN A NETWORKED VIRTUALIZATION ENVIRONMENT FOR STORAGE MANAGEMENT | 07-14-2016 |
20160203011 | DATA TRANSFER GUIDE | 07-14-2016 |
20160203012 | VIRTUAL MACHINE POWER MANAGEMENT | 07-14-2016 |
20160203013 | METHOD FOR LEVERAGING HYPERVISOR FUNCTIONALITY FOR MAINTAINING APPLICATION CONSISTENT SNAPSHOTS IN A VIRTUALIZATION ENVIRONMENT | 07-14-2016 |
20160203014 | MANAGING VIRTUAL MACHINES USING GLOBALLY UNIQUE PERSISTENT VIRTUAL MACHINE IDENTIFIERS | 07-14-2016 |
20160203015 | SYSTEMS AND METHODS FOR MIGRATION OF VIRTUAL MACHINES ACROSS VIRTUAL STORAGE RESOURCES | 07-14-2016 |
20160203017 | BASEBOARD MANAGEMENT CONTROLLER PROVIDING PEER SYSTEM IDENTIFICATION | 07-14-2016 |
20160203024 | APPARATUS AND METHOD FOR ALLOCATING RESOURCES OF DISTRIBUTED DATA PROCESSING SYSTEM IN CONSIDERATION OF VIRTUALIZATION PLATFORM | 07-14-2016 |
20160203027 | DYNAMIC SHARING OF UNUSED BANDWIDTH CAPACITY OF VIRTUALIZED INPUT/OUTPUT ADAPTERS | 07-14-2016 |
20160203029 | Systems and Methods Involving Features of Hardware Virtualization, Hypervisor, APIs of Interest, and/or Other Features | 07-14-2016 |
20160253188 | ASCERTAINING CONFIGURATION OF A VIRTUAL ADAPTER IN A COMPUTING ENVIRONMENT | 09-01-2016 |
20160253191 | POLICY BASED VIRTUAL RESOURCE ALLOCATION AND ALLOCATION ADJUSTMENT | 09-01-2016 |
20160253192 | DYNAMIC HOST PERFORMANCE TUNING OF A NETWORK STACK | 09-01-2016 |
20160253193 | DYNAMIC VIRTUAL MACHINE FUNCTION ENABLING | 09-01-2016 |
20160253194 | HYPERVISOR ADJUSTMENT FOR CLUSTER TRANSFERS | 09-01-2016 |
20160253195 | POLICY BASED VIRTUAL RESOURCE ALLOCATION AND ALLOCATION ADJUSTMENT | 09-01-2016 |
20160253196 | OPTIMIZED EXTENDED CONTEXT MANAGEMENT FOR VIRTUAL MACHINES | 09-01-2016 |
20160253197 | Dirty Page Tracking of Guest-Uncached Memory | 09-01-2016 |
20160253198 | SYSTEM AND METHOD FOR NESTED HYPERVISORS AND LAYER 2 INTERCONNECTION | 09-01-2016 |
20160253200 | SERVER VIRTUALIZATION METHOD OF MULTI NODE SYSTEM AND APPARATUS THEREOF | 09-01-2016 |
20160253201 | Saving and Restoring State Information for Virtualized Computer Systems | 09-01-2016 |
20160253202 | MANAGEMENT OF INTER-DEPENDENT CONFIGURATIONS OF VIRTUAL MACHINES IN A CLOUD | 09-01-2016 |
20160253203 | SYSTEM AND METHOD FOR VIRTUALIZATION AWARE SERVER MAINTENANCE MODE | 09-01-2016 |
20160253205 | ASCERTAINING CONFIGURATION OF A VIRTUAL ADAPTER IN A COMPUTING ENVIRONMENT | 09-01-2016 |
20160253206 | Categorizing Memory Pages Based On Page Residences | 09-01-2016 |
20160378361 | METHODS AND APPARATUS TO APPLY A MODULARIZED VIRTUALIZATION TOPOLOGY USING VIRTUAL HARD DISKS - Methods, apparatus and articles of manufacture are disclosed to apply a modularized virtualization topology using virtual hard disks. An example modularized virtualized computing environment includes a processor, a hypervisor, a virtual machine deployed by the hypervisor, and a plurality of virtual hard drives, each virtual hard drive encapsulating one respective logical functionality or one logical data set, the virtual hard drives cooperating to implement an overall functionality or service. | 12-29-2016 |
20160378516 | MODIFYING AN INSTANCE CATALOG TO PERFORM OPERATIONS - The present disclosure is related to methods, systems, and machine-readable media for modifying an instance catalog to perform operation. A storage system can include a plurality of packfiles that store data. The storage system can include a plurality of streams that include a plurality of hashes that identify the plurality of packfiles. The storage system can include an instance catalog that includes an identification of the plurality of streams. The storage system can include an operation engine to perform a number of operations on the plurality of packfiles by modifying the instance catalog using the identification of the plurality of streams. | 12-29-2016 |
20160378517 | METHODS AND APPARATUS TO MONITOR VIRTUAL COMPUTING ENVIRONMENTS - Methods, apparatus, systems and articles of manufacture to monitor virtual computing environments are described. An example method includes determining a computing resource status of a computing host that is operating a container engine, comparing the computing resource status to a threshold, and in response to determining that computing resource status does not exceed the threshold, executing a monitoring operation in a container hosted by the container engine. | 12-29-2016 |
20160378518 | POLICY BASED PROVISIONING OF CONTAINERS - Techniques for placing containers in a cloud (e.g., into virtual machines (“VMs”)) based on container policies. The container policies may specify compute-related qualities, storage-related quality, and/or network-related qualities that are to be met by the underlying software and hardware that supports execution of the virtual machines. A cloud director or other entity receives requests to place containers in a particular virtual machine based on the container policies and directs placement of the virtual machine based on the policies. The cloud director may migrate and/or reconfigure VMs, virtual machine disk files, and/or virtual network interface controllers to satisfy the container placement policy. After placement, the cloud director may disable migration to maintain the VM in a desired state. | 12-29-2016 |
20160378519 | METHOD AND SYSTEM FOR ANTICIPATING DEMAND FOR A COMPUTATIONAL RESOURCE BY CONTAINERS RUNNING ABOVE GUEST OPERATING SYSTEMS WITHIN A DISTRIBUTED, VIRTUALIZED COMPUTER SYSTEM - The current document is directed to methods and systems for efficiently executing OSL-virtualization containers within the execution environments provided by virtual machines that execute above traditional virtualization layers within large, virtualized, distributed computing systems. The currently disclosed methods and systems anticipate the need for additional virtual machines in order to meet anticipated demands for one or more computational resources by the containers. In addition, the methods and systems provision and launch virtual machines with computational-resource allocations that minimize overhead and computational-resource wastage. In one implementation, computational-resource utilization of ATMs and containers within the virtualized, distributed computer system are periodically monitored in order to estimate future demand for the computational resource and, when necessary, to launch additional virtual machines to meet the estimated future demand for the computational resource. | 12-29-2016 |
20160378520 | ADJUSTING VIRTUAL MACHINE MIGRATION PLANS BASED ON ALERT CONDITIONS RELATED TO FUTURE MIGRATIONS - Migration of virtual machines within a computing environment is facilitated. A processor obtains a current virtual machine to host mapping in the computing environment, as well as a plurality of future virtual machine to host mappings. A current migration plan to migrate from a current state of the computing environment to another state of the computing environment is also obtained. Based on the current virtual machine to host mapping and one or more future virtual machine to host mappings of the plurality of future virtual machine to host mappings a determination is made that one or more potential alert conditions exist in the current migration plan. The current migration plan and/or one or more future virtual machine to host mappings are displayed. The current migration plan is adjusted to address at least one potential alert condition of the one or more potential alert conditions to improve processing within the computing environment. | 12-29-2016 |
20160378521 | AUTOMATED TEST OPTIMIZATION - As disclosed herein a method, executed by a computer, includes receiving an indication from a test monitoring operation that an automated test has reached an input checkpoint on a first virtual machine, and receiving a plurality of input responses corresponding to the input checkpoint. The method further includes communicating with a hypervisor to request creation of at least one cloned virtual machine, corresponding to the first virtual machine, to provide a plurality of virtual machines. The method further includes providing each input response of the plurality of input responses to a corresponding virtual machine of the plurality of virtual machines to provide a parallel automated test for the plurality of input responses. A computer system, and a computer program product corresponding to the above method are also disclosed herein. | 12-29-2016 |
20160378522 | PROTECTING STATE INFORMATION FOR VIRTUAL MACHINES - A processing system includes a processor that implements registers to define a state of a virtual machine (VM) running on the processor. The processor detects exit conditions of the VM. The processing system also includes a memory element to store contents of the registers in a first data structure that is isolated from a hypervisor of the VM in response to the processor detecting an exit condition. The VM is to selectively expose contents of a subset of the registers to the hypervisor. | 12-29-2016 |
20160378523 | PERFORMANCE OF VIRTUAL MACHINE FAULT TOLERANCE MICRO-CHECKPOINTING USING TRANSACTIONAL MEMORY - Techniques disclosed herein generally describe providing fault tolerance in a virtual machine cluster using hardware transactional memory. According to one embodiment, a micro-checkpointing tool suspends execution of a virtual machine instance on a primary server. The micro-checkpointing tool identifies one or more memory pages associated with the virtual machine instance that were modified since a previous synchronization. The micro-checkpointing tool maps a first task to an operation to be performed on a memory of the primary server, where the first task is to resume the virtual machine instance. The micro-checkpointing tool also maps a second task to an operation to be performed on the memory of the primary server, where the second task is to copy the identified memory pages associated with the virtual machine instance to a secondary server. The first and second tasks are then performed on the memory. | 12-29-2016 |
20160378524 | Optimizing order of migrating virtual computing instances for increased cloud services engagement - The order of migrating virtual computing instances from a private data center to a public cloud is optimized using a TSP solver. The method of migrating a plurality of virtual computing instances that are in communication with each other within a private data center to a public cloud includes the steps of assigning, for each different pair of virtual computing instances, a numerical value that represents an amount of data transmission between the pair over a predetermined period of time, determining a recommended order of migration for the virtual computing instances based on the assigned numerical values, and migrating the virtual computing instances according to the recommended order. | 12-29-2016 |
20160378525 | TECHNOLOGIES FOR APPLICATION MIGRATION USING LIGHTWEIGHT VIRTUALIZATION - Technologies for migrating an application from a source computing device to a destination computing device using lightweight virtualization includes a migration management module on each of the source and destination computing devices. The migration management module of the source computing device is configured to determine information of dependencies of the application to be migrated and perform a checkpointing operation on the application to generate application checkpoint data. The source computing device is further configured to transmit the dependencies and the application checkpoint data to the destination computing device. The migration management module of the destination computing device is configured to generate a container based on the dependency information and restore the application using the application checkpoint data. Other embodiments are described herein and claimed. | 12-29-2016 |
20160378526 | SEAMLESS ADDRESS REASSIGNMENT VIA MULTI-TENANT LINKAGE - The technology described herein manages the deployment of a group of machines from a staged state to a production state, while maintaining both the production and staged machines behind a single virtual internet protocol (VIP) address. The machines may be deployed within one or more data centers. Requests for service addressed to the VIP can be sent by a load balancer to machines within a staged pool or a production pool. The load balancer can evaluate characteristics of the request against a policy to determine whether to communicate the request to a machine in the first or second pool. | 12-29-2016 |
20160378529 | UTM INTEGRATED HYPERVISOR FOR VIRTUAL MACHINES - Systems and methods for integrating firewall and Unified Threat Management (UTM) features directly within a hypervisor are provided. According to one embodiment, a system is provided that includes multiple virtual machines (VMs) and an integrated hypervisor that manages the VMs. The integrated hypervisor has integrated therein a unified threat management (UTM) layer. In operation, the integrated hypervisor intercepts network traffic directed to or originated by the VMs and provides network security using the UTM layer. | 12-29-2016 |
20160378530 | REMOTE-DIRECT-MEMORY-ACCESS-BASED VIRTUAL MACHINE LIVE MIGRATION - The current document is directed to methods and systems for moving executing virtual machines between host systems in a virtual data center. In described implementations, remote-direct memory access is used for transferring memory contents and, in certain implementations, additional data between the host systems to facilitate live migration of virtual machines. To provide increased efficiency, transfer of the contents of a shared memory page from a source host system to target host system during migration of a virtual machine is deferred until the relocated virtual machine attempts to write to the shared memory page. | 12-29-2016 |
20160378531 | ADJUSTING VIRTUAL MACHINE MIGRATION PLANS BASED ON ALERT CONDITIONS RELATED TO FUTURE MIGRATIONS - Migration of virtual machines within a computing environment is facilitated. A processor obtains a current virtual machine to host mapping in the computing environment, as well as a plurality of future virtual machine to host mappings. A current migration plan to migrate from a current state of the computing environment to another state of the computing environment is also obtained. Based on the current virtual machine to host mapping and one or more future virtual machine to host mappings of the plurality of future virtual machine to host mappings a determination is made that one or more potential alert conditions exist in the current migration plan. The current migration plan and/or one or more future virtual machine to host mappings are displayed. The current migration plan is adjusted to address at least one potential alert condition of the one or more potential alert conditions to improve processing within the computing environment. | 12-29-2016 |
20160378532 | MANAGING VIRTUAL MACHINE MIGRATION - Systems and method for the management of migrations of virtual machine instances are provided. A migration manager monitors the resource usage of a virtual machine instance over time in order to create a migration profile. When migration of a virtual machine instance is desired, the migration manager schedules the migration to occur such that the migration conforms to the migration profile. | 12-29-2016 |
20160378533 | COMPUTER AND HYPERVISOR-BASED RESOURCE SCHEDULING METHOD - A simple hypervisor, in addition to a hypervisor, is operated on a computer. A guest OS, the continued operations of which need to be guaranteed, when a fault occurs in the hypervisor is operated on the simple hypervisor, and the other guest OSs are operated on the hypervisor. The hypervisor performs resource scheduling (determining of resources to be allocated to or deallocated from each guest OS) and the simple hypervisor executes, in place of the simple hypervisor, allocation or deallocation of resources to or from the guest OS, the continued operations of which need to be guaranteed. | 12-29-2016 |
20160378534 | APPARATUS AND METHOD FOR VIRTUAL DESKTOP SERVICE - Disclosed herein are an apparatus and method for virtual desktop service. The apparatus for virtual desktop service includes a connection broker for performing a task for coordinating a delivery protocol that is used between at least one user terminal that uses virtual desktop service and multiple servers that provides the virtual desktop service, a resource pool for providing software resources including an Operating System (OS) for the virtual desktop service, and virtual machine infrastructure for supporting hardware resources. | 12-29-2016 |
20160378535 | APPARATUS AND METHOD FOR IN-MEMORY-BASED VIRTUAL DESKTOP SERVICE - Disclosed herein are an apparatus and method for in-memory-based virtual desktop service. The apparatus for in-memory-based virtual desktop service includes a connection broker for performing a task for coordinating a delivery protocol that is used between at least one user terminal that uses virtual desktop service and multiple servers that provide the virtual desktop service, a resource pool for providing software resources including an Operating System (OS) for the virtual desktop service; and virtual machine infrastructure for supporting hardware resources, and dynamically allocating software stored in the software resources to the hardware resources. | 12-29-2016 |
20160378536 | CONTROL METHOD AND INFORMATION PROCESSING DEVICE - A control method executed by a computer includes determining which one of a first virtual machine that executes a real-time process and a second virtual machine that executes a batch process a virtual machine being operated is, stopping the virtual machine being operated, when a process executed by the virtual machine being operated is finished and the virtual machine being operated is the second virtual machine, and maintaining operation of the virtual machine being operated, when the process executed by the virtual machine being operated is finished and the virtual machine being operated is the first virtual machine. | 12-29-2016 |
20160378537 | Method and Apparatus for Controlling Virtual Machine Migration - A method and an apparatus for controlling virtual machine migration is presented, where the method includes obtaining information about an application running on a first virtual machine, where the first virtual machine runs on a first host; determining, according to the information about the application, whether an application associated with the application running on the first virtual machine runs on a second virtual machine, where the second virtual machine is any virtual machine running on a second host; and if no application associated with the application running on the first virtual machine runs on the second virtual machine, migrating the first virtual machine to the second host. The embodiments of the present disclosure can ensure that reliability of an application is not affected during a virtual machine migration process. | 12-29-2016 |
20160378538 | PARTITIONING PROCESSES ACROSS CLUSTERS BY PROCESS TYPE TO OPTIMIZE USE OF CLUSTER SPECIFIC CONFIGURATIONS - A system and method for virtualization and cloud security are disclosed. According to one embodiment, a system comprises a first multi-core processing cluster and a second multi-core processing cluster in communication with a network interface card and software instructions. When the software instructions are executed by the second multi-core processing cluster they cause the second multi-core processing cluster to receive a request for a service, create a new or invoke an existing virtual machine to service the request, and return a desired result indicative of successful completion of the service to the first multi-core processing cluster. | 12-29-2016 |
20160378539 | MIGRATING VIRTUAL MACHINES BASED ON RELATIVE PRIORITY OF VIRTUAL MACHINE IN THE CONTEXT OF A TARGET HYPERVISOR ENVIRONMENT - A method, system and computer program product for selecting a target hypervisor to run a migrated virtual machine. An “effective priority value,” representing the virtual machine's priority with respect to the other virtual machines running on the same hypervisor, is calculated for the virtual machine when it is running on the source hypervisor as well as if it were to run on a target hypervisor for each possible target hypervisor. The target hypervisor associated with the minimum difference in absolute value terms between the virtual machine's effective priority value calculated when it is running on the source hypervisor and its effective priority value calculated if it were to be migrated to run on a target hypervisor is selected to receive the migrating virtual machine. In this manner, the effective priority metric has enabled a target hypervisor to be chosen that most closely matches the priority environment of the source hypervisor. | 12-29-2016 |
20160378546 | VIRTUAL MACHINE INSTANCE MIGRATION USING A TRIANGLE APPROACH - Techniques for preserving the state of virtual machine instances during a migration from a source location to a target location are described herein. A set of credentials configured to provide access to a storage device by a virtual machine instance at the source location is provided to the virtual machine instance. When the migration from the source location to the target location starts, a second set of credentials configured to provide access to a storage device by a virtual machine instance at the source location is provided to the virtual machine instance. During the migration, a response to an input-output request is provided to one or more of the locations using the set of credentials and based at least in part on the state of the migration. | 12-29-2016 |
20160378547 | PRESERVING STATE DURING VIRTUAL MACHINE INSTANCE MIGRATION - Techniques for preserving the state of virtual machine instances during a migration from a source location to a target location are described herein. A set of credentials configured to provide access to a storage device by a virtual machine instance at the source location is provided to the virtual machine instance. When the migration from the source location to the target location starts, a second set of credentials configured to provide access to a storage device by a virtual machine instance at the source location is provided to the virtual machine instance. During the migration, state information associated with the block storage device is copied from the source location to the target location based on the migration phase. | 12-29-2016 |
20160378563 | VIRTUAL RESOURCE SCHEDULING FOR CONTAINERS WITH MIGRATION - A method for scheduling computing resources with container migration includes determining a resource availability for one or more hosts, a resource allocation for one or more virtual machines (VMs), and a resource usage for one or more containers. The method includes identifying the hosts on which VMs and containers can be consolidated based on resource availability. The method also includes calculating a target resource configuration for one or more VMs. The method further includes removing or adding resources to the VMs for which a target resource configuration was calculated to achieve the target resource configuration. The method further includes allocating the one or more VMs on the one or more hosts based on the resource availability of the one or more hosts, and allocating the one or more containers on the one or more VMs based on the resource configuration of each VM and the resource usage of each container. | 12-29-2016 |
20160378564 | VIRTUAL RESOURCE SCHEDULING FOR CONTAINERS WITHOUT MIGRATION - A method for scheduling computing resources without container migration includes determining a resource availability for one or more hosts, a resource allocation for one or more virtual machines (VMs), and a resource usage for one or more containers. The method further includes calculating a target resource configuration for one or more VMs, wherein calculating a target resource configuration comprises determining an upper limit of resource demand on a VM from one or more containers allocated on the VM, based at least in part on the resource usage. The method also includes removing or adding resources to each of the one or more VMs for which a target resource configuration was calculated to achieve the target resource configuration for each VM. The method further includes allocating the one or more VMs on the one or more hosts based on the resource availability of the one or more hosts. | 12-29-2016 |
20160379480 | ALERTING WITH DUPLICATE SUPPRESSION - The present disclosure is related to systems, methods, and non-transitory machine readable media for alerting with duplicate suppression. An example non-transitory machine readable medium can store instructions executable by a processing resource to cause a computing system to receive an alert at a first virtual computing instance (VCI) from a second VCI, compare the alert with at least one previously received alert to determine if the alert is a duplicate alert, and send the alert to an alert notification queue associated with the first VCI in response to a determination that the alert is not a duplicate alert. In some embodiments, the medium can store instructions to confirm that the alert has been sent in response to the determination that the alert is a duplicate alert. | 12-29-2016 |
20170235562 | VIRTUALIZED FILE SERVER UPGRADE | 08-17-2017 |
20170235563 | Virtualized File Server Rolling Upgrade | 08-17-2017 |
20170235585 | Management of IoT Devices in a Virtualized Network | 08-17-2017 |
20170235586 | SYSTEM AND METHOD FOR RETRIEVING VALUES OF CAPTURED LOCAL VARIABLES FOR LAMBDA FUNCTIONS IN JAVA | 08-17-2017 |
20170235587 | TRANSITIONING VOLUMES BETWEEN STORAGE VIRTUAL MACHINES | 08-17-2017 |
20170235588 | PROVISIONING OF VIRTUAL MACHINES WITH SECURITY REQUIREMENTS | 08-17-2017 |
20170235589 | VIRTUALIZED FILE SERVER DATA SHARING | 08-17-2017 |
20170235590 | VIRTUALIZED FILE SERVER TIERS | 08-17-2017 |
20170235592 | ENTITY DATABASE FRAMEWORK | 08-17-2017 |
20170235593 | ENTITY DATABASE TIMESTAMPS | 08-17-2017 |
20170235594 | Alerts For a Virtualization Environment | 08-17-2017 |
20170235595 | ALERTS NOTIFICATIONS FOR A VIRTUALIZATION ENVIRONMENT | 08-17-2017 |
20170235596 | ALERTS ANALYSIS FOR A VIRTUALIZATION ENVIRONMENT | 08-17-2017 |
20170235612 | MIGRATION OF A LOGICAL PARTITION OR VIRTUAL MACHINE WITH INACTIVE INPUT/OUTPUT HOSTING SERVER | 08-17-2017 |
20170235613 | AUTOMATICALLY SCALING UP PHYSICAL RESOURCES IN A COMPUTING INFRASTRUCTURE | 08-17-2017 |
20170235615 | ALTRUISTIC DEPENDABLE MEMORY OVERCOMMIT FOR VIRTUAL MACHINES | 08-17-2017 |
20170235763 | VIRTUALIZED FILE SERVER SPLITTING AND MERGING | 08-17-2017 |
20170235782 | ENTITY DATABASE NOTIFICATIONS | 08-17-2017 |
20170235815 | ENTITY DATABASE BROWSER | 08-17-2017 |
20180024850 | PROVIDING A LAYERED IMAGE USING A HIERARCHICAL TREE | 01-25-2018 |
20180024852 | VIRTUALIZATION MANAGEMENT/ORCHESTRATION APPARATUS, VIRTUALIZATION MANAGEMENT/ORCHESTRATION METHOD, AND PROGRAM | 01-25-2018 |
20180024853 | METHODS, SYSTEMS, DEVICES AND APPLIANCES RELATING TO VIRTUALIZED APPLICATION-LAYER SPACE FOR DATA PROCESSING IN DATA STORAGE SYSTEMS | 01-25-2018 |
20180024854 | TECHNOLOGIES FOR VIRTUAL MACHINE MIGRATION | 01-25-2018 |
20180024855 | LIVE MIGRATION OF VIRTUAL MACHINES FROM/TO HOST COMPUTERS WITH GRAPHICS PROCESSORS | 01-25-2018 |
20180024856 | VIRTUAL MACHINE CONTROL METHOD AND VIRTUAL MACHINE CONTROL DEVICE | 01-25-2018 |
20180024857 | VIRTUAL DISPERSIVE NETWORKING SYSTEMS AND METHODS | 01-25-2018 |
20190146810 | AUTOMATED DEPLOYMENT AND PERFORMANCE EVALUATION OF A VIRTUALIZED-COMPUTING ENVIRONMENT | 05-16-2019 |
20190146816 | METHOD AND APPARRATUS FOR SECRETS INJECTION INTO CONTAINERS | 05-16-2019 |
20190146819 | IMPLEMENTING REQUESTS ON A MODEL OF A SYSTEM PRIOR TO IMPLEMENTING ON THE SYSTEM | 05-16-2019 |
20190146820 | SINGLE CALL TO PERFORM PIN AND UNPIN OPERATIONS | 05-16-2019 |
20190146821 | VIRTUAL PROCESSOR ENABLING UNOBTRUSIVE OBSERVATION OF LEGACY SYSTEMS FOR ANALYTICS IN SOC | 05-16-2019 |
20190146822 | VIRTUAL MACHINE COMPUTE RE-CONFIGRUATION | 05-16-2019 |
20190146823 | VIRTUAL MACHINE CLIENT-SIDE VIRTUAL NETWORK CHANGE | 05-16-2019 |
20190146824 | VIRTUAL MACHINE SERVER-SIDE COMPUTE RECONFIGURATION | 05-16-2019 |
20190146825 | VIRTUALIZED I/O | 05-16-2019 |
20190146826 | Method and Apparatus for Controlling Virtual Machine Migration | 05-16-2019 |
20190146828 | LAZY TIMER PROGRAMMING FOR VIRTUAL MACHINES | 05-16-2019 |
20190147160 | VIRTUAL MACHINE MANAGER FACILITATED SELECTIVE CODE INTEGRITY ENFORCEMENT | 05-16-2019 |
20220137991 | ON-DEMAND APPLICATIONS - A virtual server includes at least one processor to create a single composited layered image comprising an operating system layer and an application shortcut that includes a representation of an application while not including the application. The single composited layered image is provided as a virtual session to a client computing device. An application layer is mounted to the single composited layered image in response to a user of the client computing device interacting with the application shortcut, with the application layer including the application. | 05-05-2022 |
20220137997 | PLATFORM UPDATE USING SELF-INSTALLING CONTAINERIZED MICROSERVICE - A system and method for self-installing a container platform. A method includes implementing an active version and a passive version of the container platform, wherein the active version actively runs on a computing infrastructure and the passive version is maintained in a storage area; loading an updater container from a container registry containing updates to the container platform into the container engine; running the updater container in the container engine, including: mapping the passive version from the storage area to the updater container, writing update data to the passive version, installing the passive version as a new active version, and rebooting the host operating system. | 05-05-2022 |
20220137998 | STORAGE VIRTUALIZATION DEVICE SUPPORTING VIRTUAL MACHINE, OPERATION METHOD THEREOF, AND OPERATION METHOD OF SYSTEM HAVING THE SAME - Disclosed is an operation method of a storage virtualization device which communicates with a host device and a storage device set, includes a first submission queue (SQ) and a first completion queue (CQ), and supports a first virtual machine executable by the host device. The method includes fetching a first command of a first virtual submission queue (VSQ) of the first virtual machine, distributing the first command thus fetched to the first SQ, providing the first command of the first SQ to the storage device set, receiving, from the storage device set, a first completion indicating that the first command is processed, wherein the first completion is written in the first CQ, distributing the first completion of the first CQ to a virtualization layer, and writing the first completion thus distributed to a first virtual completion queue (VCQ) of the first virtual machine. | 05-05-2022 |
20220137999 | COMPUTING DEVICE WITH ETHERNET CONNECTIVITY FOR VIRTUAL MACHINES ON SEVERAL SYSTEMS ON A CHIP - A computing device, in particular for automotive applications, includes Ethernet connectivity for virtual machines on several systems on a chip. A vehicle comprises such a computing device. The computing device comprises two or more systems on a chip, each system on a chip comprising one or more virtual machines, wherein one system on a chip provides a connection to an Ethernet network, and wherein the two or more systems on a chip are connected by a switch. The virtual machines are connected via a virtual Ethernet link. For this purpose, each system on a chip comprises an instance of a distributed virtual switch, which is configured to provide a virtualized access to the Ethernet network for the virtual machines of the respective system on a chip. | 05-05-2022 |
20220138001 | MEASURING HOST UTILIZATION IN A DATACENTER - Various examples are disclosed for generating heatmaps and plotting utilization of hosts in a datacenter environment. A collector virtual machine can rove the datacenter and collect utilization data. The utilization data can be plotted on a heatmap to illustrate utilization hotspots in the datacenter environment. | 05-05-2022 |
20220138017 | RESOURCE MANAGEMENT DEVICE AND RESOURCE MANAGEMENT METHOD - The processing performance of an entire system is enhanced by efficiently using CPU resources shared by a plurality of guests. A server | 05-05-2022 |
20220138018 | CROSSBOW DE-COCKING MECHANISM - A crossbow de-cocking mechanism may include a trigger mechanism, a trigger latch mechanism and a winch assembly. A first rotational input to the winch may move a trigger latch to disengage the trigger mechanism. A second rotational input to the trigger latch, opposite to the first, may move the trigger mechanism to move a crossbow bowstring from a cocked position to an un-cocked position. | 05-05-2022 |
20220138057 | ARRAY INTEGRATION FOR VIRTUAL MACHINE BACKUP - Methods and systems for improving the performance of a primary system that is running one or more virtual machines and capturing snapshots of the one or more virtual machines over time are described. The performance penalty on the primary system when a hypervisor running the one or more virtual machines is used to capture the snapshots of the one or more virtual machines may be reduced by leveraging storage array snapshots to reduce the amount of time that the hypervisor must freeze virtual disks of the one or more virtual machines. In this case, changed block tracking information for changed data blocks associated with the snapshots may be acquired from the hypervisor and the changed data blocks themselves may be pulled from the storage array snapshots without requiring the hypervisor to keep the virtual disks of the one or more virtual machines in a frozen state. | 05-05-2022 |
20220141178 | COMMUNICATION CONTROL METHOD, COMPUTER SYSTEM, AND COMPUTER - A computer system comprises cloud infrastructures including computers including a hypervisor manages a VM. The hypervisor includes a duplication correction virtual machine operating thereon, the duplication correction virtual machine controls communication of a duplication virtual machine to which a duplication MAC address assigned. Each of the cloud infrastructures includes a MAC address duplication monitoring module for monitoring duplication of the MAC address. The MAC address duplication monitoring module generates a translated MAC address in a case of detecting duplication of the MAC addresses; and transmits a duplication correction request including the translated MAC address to one of the computers on which the duplication virtual machine operates. The duplication correction virtual machine generates translation information in a case of receiving the duplication correction request, and controls communication between the duplication virtual machine and another virtual machine by using the translation information. | 05-05-2022 |