Patents - stay tuned to the technology

Inventors list

Assignees list

Classification tree browser

Top 100 Inventors

Top 100 Assignees


Active/idle mode processing

Subclass of:

713 - Electrical computers and digital processing systems: support

713300000 - COMPUTER POWER CONTROL

713320000 - Power conservation

Patent class list (only not empty are listed)

Deeper subclasses:

Entries
DocumentTitleDate
20080201591Method and apparatus for dynamic voltage and frequency scaling - A machine learning technique is used to improve dynamic prediction of processor utilization for multi-threaded user-level applications in a dynamic run-time environment based on processor utilization history. Processor supply voltage and processor clock frequency may be dynamically scaled based on the predicted processor utilization in order to reduce processor power consumption.08-21-2008
20080201592Hibernating a processing apparatus for processing secure data - A data processing apparatus for processing secure data is disclosed. The data processing apparatus comprising: processing circuitry comprising a plurality of state retention cells in the form of scan chains for holding a current state of said processing circuitry, at least some of the state retention cells being arranged in series; encryption circuitry; and a hibernate signal input; said data processing apparatus being responsive to receipt of a hibernate signal at said hibernate signal input to switch from an operational mode in which said data processing apparatus is powered up, to a low power mode in which at least said processing circuitry is powered down, said data processing apparatus being operable prior to powering down said processing circuitry, to output a state of said processing circuitry from said plurality of state retention cells and to encrypt said output state using said encryption circuitry and to save said encrypted state to said storage device.08-21-2008
20080209246SYSTEM AND METHOD OF MANAGING POWER CONSUMPTION OF COMMUNICATION INTERFACES AND ATTACHED DEVICES - A system and method of managing power consumption of communication interfaces and attached devices is disclosed. In one form of the disclosure, a communication module can include a communication interface operable to receive link utilization information of a plurality of communication channels of the communication interface. The communication module can also include a processor operable to determine a percent utilization of the plurality of communication channels using the link utilization information. The processor can also be operable to initiate issuing a power management request of at least one of the plurality of communication channels in response to comparing the percentage of link utilization to a threshold level.08-28-2008
20080209247MONITORING AND SYNCHRONIZATION OF POWER USE OF COMPUTERS IN A NETWORK - A method and system for controlling the power management profiles of computers connected through a network. The method and system monitoring the electrical power use of each computer in the network, and reports this information to an authorized party, such as the network administrator. According to the method and system, an authorized party may configure and maintain a power management profile for each computer in the network. In particular, the authorized party may individually configure and maintain a power management profile for each computer. Alternately, each computer in the network can be classified in a group, and the authorized manager can then configure and maintain a single power management profile shared by each computer in the group.08-28-2008
20080222437Low power computer with main and auxiliary processors - An architecture for a computer includes a primary processor that consumes power at a first rate, that is operated when the computer is in an high power mode and that is not powered when the computer is in a low power mode. A primary graphics processor communicates with the primary processor, is operated when the computer is in the high power mode and is not powered when the computer is in the low power mode. A secondary graphics processor communicates with a secondary processor. The secondary processor consumes power at a second rate that is less than the first rate. The secondary processor and the secondary graphics processor are operated when the computer is in the low power mode.09-11-2008
20080229130Power reduction for system on chip - Disclosed herein are SOC devices with peripheral units having power management logic.09-18-2008
20080229131Storage System and Management Information Acquisition Method for Power Saving - The object of the invention is to control the power consumption in a storage subsystem. In a storage system, when a monitor in a host computer acquires information concerning a storage region to manage the storage region, a monitor in the host computer checks whether or not the power supply state in the storage region is ‘on’ based on a storage region information that stores first information indicating whether or not the power supply state in the storage region is ‘on’ and second information concerning the storage region, and acquires the second information from the storage region information table if the power supply state in the storage region is not ‘on’.09-18-2008
20080244291Resource power controller - Embodiments of the invention are generally directed to systems, methods, and apparatuses for a resource power controller. In some embodiments, an integrated circuit includes a resource power controller to control whether a resource is in an up state or a down state. In some embodiments, the resource power controller heuristically estimates when to return the resource to an up state based, at least in part, on an estimate of a gap size.10-02-2008
20080244292Method and Apparatus to Re-create trust model after sleep state - A processing system features random access memory (RAM), a processor, and a trusted platform module (TPM). When the processing system enters a sleep mode during which the RAM is to stay powered, the processing system may measuring a VMM and one or more secure VMs in the processing system. However, the processing system may not measure or encrypt all of system memory. Upon resuming from sleep, the processing system may verify the measurements, to ensure that the VMM and secure VMs have not been tampered with. Other steps may include sealing encryption keys to the TPM, while preserving the blobs in memory. Other embodiments are described and claimed.10-02-2008
20080250259Power Machine or Vehicle with Power Management - Power management control for a power machine or vehicle is disclosed. In illustrated embodiments, a user interface of the power machine or vehicle includes a power management selection for activating and deactivating power management control. In illustrated embodiments, power management control is implemented through a power management control component based upon feedback from an RPM sensor. As disclosed in illustrative embodiments, the power management control component utilizes control methods and characteristics stored in system memory to determine control input to adjust hydrostatic parameters for power management.10-09-2008
20080256374Sharing Non-Sharable Devices Between an Embedded Controller and A Processor in a Computer System - System and method for sharing a device, e.g., non-volatile memory, between a host processor and a microcontroller. In response to system state change to a first state wherein the microcontroller is assured safe access to the non-volatile memory (e.g., in response to power-on reset, system reset, sleep state, etc.), the microcontroller holds the system in the first state (e.g., system reset), and switches access to the non-volatile memory from the processor to the microcontroller. While the system is held in the first state, the microcontroller accesses the device (e.g., non-volatile memory), e.g., fetches program instructions/data from the non-volatile memory and loads the program instructions/data into a memory of the microcontroller. After the access, the microcontroller changes or allows change of the system state, e.g., switches access to the device, e.g., the non-volatile memory, from the microcontroller to the processor, and releases the system from the first state.10-16-2008
20080263378System and method for protecting disk drive password when bios causes computer to leave suspend state - To unlock a HDD when a computer is in the suspend state, at both BIOS and the HDD a secret is combined with a password to render a new one-time password. BIOS sends its new one-time password to the HDD which unlocks itself only if a match is found. The new one-time password is then saved as an “old” password for subsequent combination with the secret when coming out of subsequent suspend states. In this way, if a computer is stolen the thief cannot sniff the bus between BIOS and the HDD to obtain a password that is of any use once the computer ever re-enters the suspend state.10-23-2008
20080270811Fast Suspend-Resume of Computer Motherboard Using Phase-Change Memory - A personal computer motherboard has a main memory of phase-change-memory (PCM) chips in PCM memory modules. An operating system (OS) image is stored in the PCM memory modules and is retained during suspend since the PCM chips are non-volatile. The microprocessor can directly read the OS image retained in the PCM memory modules without copying an OS image from a hard disk to the main memory upon resume. Therefore a boot loader program in the boot ROM does not have to be fetched to the microprocessor for suspend/resume. The video memory can also be PCM, allowing the frame buffer to be retained during suspend/resume, yet be directly addressable by the microprocessor. The display is quickly activated since the frame buffer does not have to be re-constructed after suspend/resume. PCM cells use amorphous and crystalline states of a variable resistor to store data.10-30-2008
20080270812ASYNCHRONOUS WAKEUP MECHANISM - A system for asynchronous process sleep or wake management and corresponding methods thereof are described. The system comprises a sleep queue hash table, a process, and a first sleep object and a second sleep object. The first and second sleep objects each comprise a sleep queue and each of the first and second sleep objects are associated with the process. The system further comprises one or more kernel-space processes arranged to perform at least one of associating the first sleep object with the sleep queue hash table and designating the second sleep object to be used for sleeping the process.10-30-2008
20080270813Mother/daughter switch design with self power-up control - System and method for providing power to integrated circuitry with good power-on responsive time and reduced power-on transient glitches. A preferred embodiment comprises a daughter switch coupled to a circuit block, a first control circuit coupled to the daughter circuit, a second control circuit coupled to the first control circuit, and a mother circuit coupled to the circuit block and to the second control circuit. After the daughter switch is turned on by a control signal, the mother switch is not turned on until the daughter switch has discharged (charged) the voltage potential across power rails of the mother circuit to a point where glitches are minimized. The second control circuit turns on the mother circuit when the reduced voltage potential is reached, with a signal produced by the first control circuit reflects the voltage potential. Furthermore, a bypass circuit can be used to reduce leakage current.10-30-2008
20080270814Controlling power states of a peripheral device in response to user proximity - In a method of controlling the power state of a peripheral device, the peripheral is changed from a first power state to a second power state in response to communications across a network connected to the peripheral indicating that a user is proximately located to the peripheral. A user may be determined to be proximately located to the peripheral by monitoring communications across the network to detect traffic that is associated with a user logging onto a computer that can utilize the peripheral, by discovering a wireless terminal that is associated with a user and which is proximately located to the peripheral, by receiving information from a cellular communication network across the network that indicates that a user of the peripheral is proximately located to the peripheral, and/or in response to a time of day and/or day of week/month schedule.10-30-2008
20080276107Method and Device to Wake-Up Nodes in a Serial Data Bus - A method of communication comprising sending communication signals switched between dominant and recessive values at clock intervals in frames over a serial data bus from at least one of a plurality of sending nodes to a plurality of receiving nodes. The receiving nodes have an operational state and a standby state in which the current consumption of the node is reduced compared to the operational state. The receiving nodes include wake-up trigger means for triggering transition from the standby state to the operational state in response to the communication signals. The frames of the transmitted signals include an identifier field during which the communication signal alternates between the dominant and recessive values in successive clock intervals with at least one significant occurrence during which the communication signal remains at one of the dominant and recessive values during at least two successive clock intervals, and the trigger means is selectively responsive to the position of the occurrence within the identifier field for triggering the transition from the standby state to the operational state.11-06-2008
20080276108METHOD AND SYSTEM FOR IMPLEMENTING GENERALIZED SYSTEM STUTTER - A method and system for implementing a generalized system stutter are disclosed. Specifically, one embodiment of the present invention sets forth a method, which includes the steps of blocking a first request received from a first of a plurality of bus masters during a low power state of a computing device for as long as permissible by the timing requirements of the computing device, wherein the first request is capable of triggering the computing device to transition out of the low power state, and during an active state of the computing device, servicing the first request along with other pending requests from the rest of the plurality of bus masters before the computing device transitions back to the low power state.11-06-2008
20080276109IMAGE DISPLAY DEVICE HAVING BACKLIGHT - An image display device 11-06-2008
20080282102Maintaining Optimum Voltage Supply To Match Performance Of An Integrated Circuit - Power supply voltage to an integrated circuit (IC) or a portion of an IC is maintained at an optimum level matching the IC performance. Voltage ranges and delay measures for corresponding operating frequencies are stored in tables in a voltage control block. When a new frequency of operation is desired, the voltage control block measures delay performance of the IC, and sets the supply voltage to a value specified in a corresponding entry in a table. The voltage control block then continues to measure delay performance, and dynamically adjusts the power supply voltage to an optimum value thereby minimizing power consumption.11-13-2008
20080288799DYNAMIC PROCESSOR POWER MANAGEMENT DEVICE AND METHOD THEREOF - A processor can operate in different power modes. In an active power mode, the processor executes software. In response to receiving a halt indication from the software, hardware at the processor evaluates bus transactions for the processor. If the bus transactions meet a heuristic, hardware places a processor core in a lower power mode, such as a retention mode. Because the bus transactions are evaluated by hardware, rather than by software, and the software is not required to perform handshakes and other protocols to place the processor in the lower power mode, the processor is able to place the processor core into the lower power mode more quickly, thereby conserving power.11-20-2008
20080288800SEMICONDUCTOR DEVICE WITH A POWER DOWN MODE - includes a power down detecting block for generating a power down mode signal by detecting if the power down mode is activated, a power source control block for producing a power control signal whose ratio of an enable period to a disable period is determined by the power down mode signal, a current saving block whose driving current requirement is reduced in the power down mode, a power switching block for controlling the power supply to the current saving block in response to the power control signal, and a current non-saving block whose driving current requirement in the power down mode is identical to that in a normal operation mode. The semiconductor device can prevent the current consumption due to off-leakage components and static current components generated at internal analog circuits in the power down mode.11-20-2008
20080294920METHOD FOR CONTROLLING ELECTRIC POWER OF COMPUTER SYSTEM - To reduce the electric power consumption of the computer system, the computer system includes at least one server and at least one data processing apparatus, the data processing apparatus includes an electric power consumption state control module by which electric power consumption of the data processing apparatus can be changed, obtains a use relationship between each server and each processing apparatus included in the computer system, monitors a change in a state of the server, searches for a related data processing apparatus in the use relationship with the server, obtains a state of at least one related server in the use relationship with the related data processing apparatus, and determines whether an electric power consumption state of the related data processing apparatus is to be changed or not based on the state of the related server, and changes the electric power consumption state of the related data processing apparatus.11-27-2008
20080294921DATA PROCESSING DEVICE, AND CONTROL METHOD OF DATA PROCESSING DEVICE - A multifunctional device shifts the states of plural reception units from a state that the device operates in a power saving state to a state that the device operates in an operating state, according to which of the plural reception units a reception request of data is input to. The multifunctional device can shorten a time from the reception of the reception request of the data to the reception unit to a start of a data process of the data.11-27-2008
20080301480COMPUTER SYSTEM AND METHOD FOR CONTROLLING A PROCESSOR THEREOF - A computer system and a method for controlling a processor thereof are provided. A processor management unit (PMU) is programmed by the processor itself or by another processor according to a change of the operating condition of the processor. Then, a notification signal is sent to the PMU by the processor when the processor is entering a standby mode. Upon receiving the notification signal, the PMU adjusts the operating condition of the processor according to the change. Finally, a completion signal is sent by the PMU to the processor after the change of the operating condition of the processor is stabilized. Therefore, the unpredictable behavior caused by premature awakening of the processor during the adjustment of the operating condition can be avoided.12-04-2008
20080301481POWER CONTROL SYSTEM FOR CAR COMPUTER - A power control system for car computer includes a power switching circuit located between a power input terminal of a computer host and an external power source, such that the computer host outputs a keep-power-on signal when a key power is supplied thereto; a power ON/OFF circuit receiving the keep-power-on signal to thereby connect the external power source to the computer host; a key power detection circuit for constantly detecting whether the key power is ON, so that the computer host outputs a shut-down signal when the key power is OFF; a computer shut-down circuit for receiving the shut-down signal from the computer host and thereby driving the computer host to execute shut-down procedures; and a power OFF circuit for sensing an OFF state of the key power and outputting a control signal to drive the power ON/OFF circuit to disconnect the external power source from the computer host.12-04-2008
20080301482Method and apparatus for connecting multiple multimode processors - A computer array 12-04-2008
20080307244Method of and Apparatus for Reducing Power Consumption within an Integrated Circuit - An integrated circuit comprising a plurality of processing cores, characterised by comprising electrically controllable switches for controlling the supply of power to one or more of the processing cores, a memory for saving state data from at least one of the processing cores and a controller adapted to control the supply of power to one or more of the processing cores such that processing cores can be de-powered.12-11-2008
20080313480ARCHITECTURE INCORPORATING CONFIGURABLE CONTROLLER FOR REDUCING ON CHIP POWER LEAKAGE - The present invention provides a method and system for controlling leakage power consumption at a System on Chip (SoC) level during a normal run or a boot-up mode. The leakage power reduction is achieved by incorporating a central programmable controller in the SoC architecture and test structures of idle SoC peripherals to place them into an Absolute Minimum Power consumption state with respect to static and dynamic power.12-18-2008
20080313481Systems and Methods for Wake-on-LAN for Wireless LAN Devices - A system and method for wireless waking computing devices over a computer network is provided. A signal is broadcast over the network that includes one or more device specific wake-up data sequences. Each device specific wake-up data sequence includes multiple iterations of the hardware address of the wireless network card associated with that device. While in a reduced power or “sleep mode”, the wireless network card monitors wireless channels for packets containing a wake-up data sequence. If a wake-up data sequence is received, the sequence is matched against the hardware address information for that network card. If a match is determined, the network card sends a signal to the computing device causing full system power to be restored. A signal is sent to the network confirming that the device has been successfully woken from the sleep mode.12-18-2008
20080320321Computer with low-power secondary processor and secondary display - A computer having an active mode and an inactive mode includes a primary processor and a primary memory. A primary display is associated with the primary processor and the primary memory. The primary processor, the primary memory, and the primary display are operated when the computer is in the active mode and are powered down when the computer is in the inactive mode. A secondary processor dissipates less power than the primary processor. A secondary display communicates with the secondary processor. The secondary processor and the secondary display are powered up when the computer is in the inactive mode, and the secondary processor processes at least one of wireless network data and disk drive data when the computer is in each of the active mode and the inactive mode.12-25-2008
20090006877POWER MANAGEMENT IN A STORAGE ARRAY - A data storage system and associated method is provided within an enclosure supporting a self-contained plurality of discrete data storage devices configured for connecting with a network device via a network. The data storage system includes a redundant array of independent drives (RAID) container services module in the enclosure that allocates and manages a storage space of the data storage devices for storing primary and redundant data, and a policy engine in the enclosure that continuously and qualitatively characterizes the network load to the data storage system and manages a power distribution to each of the data storage devices based on a predicted utilization that differentiates between access commands for primary data and access commands for redundant data.01-01-2009
20090013202Portable Information Processing Apparatus And Method Of The Same - The present invention relates to a portable information apparatus which can realize electrical power for a longer period of time. Under the condition that the suspending mode is set, when an exclusive key is manipulated, a switch monitoring circuit detects this condition and issues an interruption to the CPU. In this timing, the CPU supplies the necessary electrical power to the CD-ROM controller, CD-ROM drive and audio circuit which are required for reproduction of CD. Thereby, a CD can be reproduced under the suspending mode.01-08-2009
20090019298METHOD AND SYSTEM FOR MANAGING ECOSYSTEM SLEEP - A method for managing sleep modes in an ecosystem of components, the method includes: receiving an inactivity signal from at least one component in the ecosystem of components, the inactivity signal indicating that a predefined period of inactivity has been exceeded for that component; sending a sleep command to one or more components in the ecosystem in response to the inactivity signal, thereby establishing a sleep mode in the one or more components; subsequent to establishing the sleep mode, detecting activity in one or more of the one or more components through an awake signal received therefrom; sending an awake message to the one or more components in response to the awake signal, thereby terminating the sleep mode in the one or more components; wherein the sleep mode shuts off defined non-essential features within the one or more components, while maintaining defined essential processing tasks associated with of the one or more components placed into the sleep mode.01-15-2009
20090019299METHOD AND APPARATUS FOR ADJUSTING WAKEUP TIME IN ELECTRICAL POWER CONVERTER SYSTEMS AND TRANSFORMER ISOLATION - An electrical power converter system adjusts a wakeup voltage periodically, to permit earlier connection and/or operation, to increase performance. The electrical power converter system selects between a mathematically adjusted wakeup voltage based on at least one previous period, and a table derived wakeup voltage that takes into account historical information. The electrical power converter system is particularly suited to applications with periodicity such as solar based photovoltaic power generation.01-15-2009
20090024858System and method for reserving information handling system battery charge to perform diagnostics - A power diagnostics module running on an information handling system initiates a power down state of the information handling system upon detection of a predetermined battery charge that is sufficient to allow the information handling system to recover from the power down state and run the power diagnostics module. The power diagnostics module runs on the predetermined charge to diagnose faults associated with the power system, such as failure of an external AC adapter, and to present the faults to an end user, such as at a display, with LEDs or through a network message.01-22-2009
20090024859STRUCTURE AND METHOD TO OPTIMIZE COMPUTATIONAL EFFICIENCY IN LOW-POWER ENVIRONMENTS - A method and structure to optimize computational efficiency in a low-power environment. A design structure is embodied in a machine readable medium used in a design process. The design structure includes a component to determine an optimal point for maximizing computational efficiency in a low-power environment, and a component to selectively control operation of at least one processing unit of a plurality of processing units in accordance with the determined optimal point. The design structure further includes at least one of a component for controlling a frequency of a clock signal transmitted to the at least one processing unit in accordance with the determined optimal point, and a component for determining a present power available.01-22-2009
20090024860LOWEST POWER MODE FOR A MOBILE DRIVE - A hard disk drive enters a low power mode to reduce power consumption. To maintain communication with a host device, a communication interface remains energized along with a circuit portion storing configuration data for the communication interface. The hard disk drive may have a Universal Serial Bus (USB) interface to provide two-way communication to external data processing equipment. The hard disk drive may have a system on a chip (SoC) core to issue the low power mode entry command. During the low power mode, circuitry for external communication is kept in an active state.01-22-2009
20090031156Electronic Apparatus and Method of Conserving Energy - An electronic apparatus and a method of conserving energy comprises providing an energy-conservation module to control use of one or more energy-saving mechanism by a hardware element. The energy-conservation module comprises a performance estimation module that estimates a performance level requirement of the hardware element and a slack time. A cost-benefit qualifier module is provided that uses one or more generic algorithm and at least one separate record that characterises power use and performance by the hardware element in relation to a Performance Power state of the selected energy-saving mechanism in order to determine an existence of an energy saving. The cost-benefit qualifier module sets the hardware element to use the Performance Power state of the selected energy-saving mechanism if the energy-saving exists.01-29-2009
20090037756System and Method for Suspending Operation of a Mobile Unit - Described is a method for suspending operation of a mobile unit. Data, settings, an operating system state, and/or at least one application state of a mobile unit is saved to a non-volatile memory. At least one component of the mobile device is deactivated. The mobile unit is placed in a suspend mode.02-05-2009
20090055670MODE-SWITCHING SYSTEM AND CAMERA - A mode-switching system, comprising plural switches, a timer, and a controller, is provided. The mode-switching system switches an operation mode of a first unit to one of normal and power-saving modes. The plural switches separately correspond to plural specific functions. Each of the specific functions is carried out when the corresponding switch is switched on. The timer clocks the elapsed time since the switching operation is carried out for any of the switches. The controller switches the operation mode to the power-saving mode from the normal mode when the elapsed time exceeds a threshold value determined for the switch for which the latest switching operation has been carried out. The threshold value is determined individually for each of the switches.02-26-2009
20090055671Apparatus and method for entering idle mode in a broadband wireless access (BWA) system - A power saving mode is provided. A method for entering a power saving mode of a terminal includes a first operation for starting a first timer after transmitting an idle mode request message; a second operation for, when an idle mode approval message is not received until the first timer expires, checking whether a number of retransmissions of the idle mode request message exceeds a number of allowed retransmissions of the idle mode request message; a third operation for, when the number of the retransmissions of the idle mode request message exceeds the number of the allowed retransmissions of the idle mode request message, increasing a number of idle mode entry failures; and a fourth operation for, when the increased number of the idle mode entry failures is greater than N times, entering a power saving mode which powers off a modem.02-26-2009
20090077404Method and system of reducing power consumption of system on chip based on analog-to-digital control circuitry - Methods and system of reducing power consumption of system on chip based on analog-to-digital control circuitry are disclosed. In one embodiment, a method includes converting an analog signal of external device coupled to the system on chip to a digital signal using an analog-to-digital converter (ADC) of the system on chip with a processor of the system on chip in a sleep mode or power down mode. The method further includes waking up the processor of the system on ship to perform an exception event based on a comparison of the digital signal with a threshold value associated with the external device.03-19-2009
20090077405AUDIO-VISUAL SYSTEM ENERGY SAVINGS USING A MESH NETWORK - In embodiments of the present invention, improved capabilities are described for powering, controlling, and operating a home audio visual system using a mesh network that includes an energy savings mode based at least in part on intermittently powered mesh network nodes that are associated with the home audio-visual system. In embodiments, the intermittently powered mesh network nodes may periodically wake up, listen for wake up calls and/or initiate a full power mode in the home audio visual system when a intermittently power mesh network node is awakened and receives a subsequent full power instruction. Intermittently powered mesh network nodes may be further associated with instruction routing that utilizes silent acknowledgement.03-19-2009
20090077406Computer, Power Saving Method And Method For Reducing Output Current Of A Web Camera - A computer, a power saving method and a method for reducing output current are disclosed. The computer includes a driving module, an application module, and a daemon module. The driving module initializes the web camera when the web camera connects to the computer. When the web camera outputs signals to the application module, a signal channel is established. The daemon module detects the signal channel. When the signal channel stops, the daemon module set the web camera into the standby mode through the driving module.03-19-2009
20090083560Computing Device Power Management - A system, method, and computer usable medium for computing device power management. The method includes identifying a correlation between active states of a computing device and active states of a connected device connected to the computing device through a network, the correlation indicating the desirability of the computing device being active; and providing an active state in the computing device based on the identified correlation. Providing an active state in the computing device based on the identified correlation may include preventing the computing device from entering a power-saving mode based on the identified correlation or waking the computing device.03-26-2009
20090083561Dynamic power management of dimms - In some embodiments, an electronic apparatus comprises a processor, at least one non-volatile memory module, and logic to activate a first DIMM while placing at least a second DIMM in a sleep mode, assign operating system memory to grow from a first location in a first DIMM device, assign application memory to grow from a second location in the first DIMM device, mark at least one DIMM boundary in the first DIMM device, generate a page fault when at least one of the operating system memory or the application memory crosses the DIMM boundary; and in response to the page fault, activate at least a second DIMM in the plurality of DIMMs in the electronic device.03-26-2009
20090083562CONVERTIBLE COMPUTER - A convertible computer is equipped with a notebook computer function and a tablet computer function. When a system power supply is turned on, a functionality or physical configuration such as rotation state of a display module can be detected, and an environment can be controlled such as an operating system (OS) for a tablet computer or notebook computer is selectively booted. Input signals of input units can also be controlled in an activation or inactivation state according to a system mode. An operation of switch sensing an open/closed state of a liquid crystal display (LCD) can be controlled by a signal generated by the rotation operation of the display module. In a state in which at least one control value necessary for setting a system environment is stored in a memory, the system mode switching operation is monitored and the system environment is set according to the switched system mode.03-26-2009
20090089599POWER SUPPLY SYSTEM FOR LOW POWER MCU - A microcontroller includes a processing unit having a processing unit having normal power mode of operation and a low power mode of operation. The processing unit further having digital circuitry connected to the processing unit having a plurality of logic circuits associated therewith for processing digital values. A plurality of retention flip-flops are associated with the digital circuitry for storing a logical state of at least one or more of the logic circuits within the digital circuitry when the processing unit enters the low power mode of operation. The plurality of retention flip flops include a first type of transistors for operating in both the low and high power modes of operation and a second type of transistors for operation only in the normal mode of operation and wherein substantially the remainder of the digital circuitry in the processing unit comprises the second type of transistors.04-02-2009
20090089600Power supply efficiency optimization - Methods and apparatus operative in a portable electronic device having a processor and a switching mode power supply detect entry of the processor into a power saving mode during active operations and signal the switching mode power supply that the processor has entered a power saving mode. In response to the signaling the switching mode power supply optimizes its operating state in order to increase power supply efficiency.04-02-2009
20090089601Network system - Power consumption on GMPLS controlled networks can be reduced by cutting power consumption on spare paths that are not normally used. To achieve power consumption reduction, in the path setting process, a path is calculated while taking the power saving capability of each interface into account, and the applicable interface is set to the power-saving state when setting the spare path. When the spare path was set to the operating state, then the power-saving state on the applicable interface was canceled so that interface could operate normally.04-02-2009
20090100281COMMUNICATION DEVICE AND COMMUNICATION METHOD - A communication method includes transmitting a first transition notification of a sleep mode to make allotment of a channel time unnecessary to a first host, and communicating first data to a second host in a first transition state which the first host transits to the sleep mode.04-16-2009
20090113224Method of operation of a portable computer apparatus with thermal enhancements and multiple modes of operation - A portable computer adapted for electrical connection to a docking station having multiple power modes of operation is described. The portable computer has one or more CPU chips which have at least two power modes of operation, a low power mode and a high power mode. When the portable computer is operated as a stand-alone computer, it operates in the low power mode. When the portable computer is operated while electrically connected to the docking station, it operates in a high power mode. The docking station has greater cooling capacity than the portable computer alone to provide enhanced cooling of the high power mode of operation.04-30-2009
20090113225Method of operations of a portable computer apparatus with thermal enhancements and multiple modes of operation - A portable computer adapted for electrical connection to a docking station having multiple power modes of operation is described. The portable computer has one or more CPU chips which have at least two power modes of operation, a low power mode and a high power mode. When the portable computer is operated as a stand-alone computer, it operates in the low power mode. When the portable computer is operated while electrically connected to the docking station, it operates in a high power mode. The docking station has greater cooling capacity than the portable computer alone to provide enhanced cooling of the high power mode of operation.04-30-2009
20090113226Portable computer apparatus with thermal enhancements and multiple modes of operation - A portable computer adapted for electrical connection to a docking station having multiple power modes of operation is described. The portable computer has one or more CPU chips which have at least two power modes of operation, a low power mode and a high power mode. When the portable computer is operated as a stand-alone computer, it operates in the low power mode. When the portable computer is operated while electrically connected to the docking station, it operates in a high power mode. The docking station has greater cooling capacity than the portable computer alone to provide enhanced cooling of the high power mode of operation.04-30-2009
20090113227Method of operation of a portable computer apparatus with thermal enhancements and multiple modes of operation - A portable computer adapted for electrical connection to a docking station having multiple power modes of operation is described. The portable computer has one or more CPU chips which have at least two power modes of operation, a low power mode and a high power mode. When the portable computer is operated as a stand-alone computer, it operates in the low power mode. When the portable computer is operated while electrically connected to the docking station, it operates in a high power mode. The docking station has greater cooling capacity than the portable computer alone to provide enhanced cooling of the high power mode of operation.04-30-2009
20090113228Portable computer systems with thermal enhancements and multiple power modes of operation - A portable computer adapted for electrical connection to a docking station having multiple power modes of operation is described. The portable computer has one or more CPU chips which have at least two power modes of operation, a low power mode and a high power mode. When the portable computer is operated as a stand-alone computer, it operates in the low power mode. When the portable computer is operated while electrically connected to the docking station, it operates in a high power mode. The docking station has greater cooling capacity than the portable computer alone to provide enhanced cooling of the high power mode of operation.04-30-2009
20090119525Power Supply Device and Communication System - The invention relates to a power supply device and a communication system. The power supply device supplies power to a main device. The communication system is provided with the main device mounting the power supply device therein and an external device connected to the main device. A feature of the invention is to offer a power supply device and a communication system that are capable of being responsive to external signals for returning to a normal operation mode with minimum power consumption in a power-saving operation mode. In the power saving operation mode, a main power supply circuit (05-07-2009
20090119526ELECTRONIC SYSTEM AND POWER CONTROL METHOD THEREOF - Electronic systems capable of entering a power saving mode even if a processing unit therein crashes are provided, in which a system core block comprises a processing unit. A power control block, operating in a different power domain than the system core block comprises a power control logic circuit, which sends an enabling signal to a power supply to power down the system core block according to a triggering signal.05-07-2009
20090119527PORTABLE COMPUTER AND METHOD OF CONTROLLING POWER SAVING MODE OF PORTABLE COMPUTER - A portable computer and a method of controlling a power saving mode of the portable computer, in which an embedded controller directly turns off system power without system wake up operation in a process of turning off the system power or switching a power saving mode when battery power is decreased. The computer includes a control unit for notifying whether a power saving mode is a hybrid sleep mode when the portable computer enters the power saving mode; and an embedded controller for detecting whether the remaining amount of a battery decreases below a threshold value or a predetermined period of time has passed after the computer enters the power saving mode to perform hibernation or turn off system power, if the power saving mode notified from the control unit is the hybrid sleep mode.05-07-2009
20090119528MAIN COMPUTER FOR VEHICLE AND POWER MANAGEMENT METHOD THEREOF - A main computer for vehicle includes a central processing unit and a programmable logic device. The central processing unit is configured for controlling operations of the main computer. The programmable logic device is coupled to the central processing unit and includes a built-in power state machine for managing power statuses of the main computer. The power state machine includes a turn-off status, an operating status, and a predetermined status located between the turn-off status and the operating status.05-07-2009
20090125740Dual programmable energy saving timer system - A dual control programmable energy savings timer is described that provides primary control to a utility provider or other supplier of electrical power but a second level of programmable control to an end user that enables additional power savings to end users of electrical energy.05-14-2009
20090125741AUTONOMOUS THERMAL MANAGEMENT - The present invention provides both a peripheral device that regulates its own temperature by adjusting its power consumption, and a method to accomplish the same. The method generally includes monitoring the temperature of the mass storage device and reducing power consumption when the temperature exceeds a certain threshold.05-14-2009
20090125742ARRANGEMENT AND METHOD FOR CONTROLLING POWER MODES OF HARDWARE RESOURCES - A circuit arrangement and method of executing program code which utilize power control instructions capable of dynamically controlling power dissipation of multiple hardware resources during execution of a program by a processor. The processor configured to process such power control instructions and to maintain the power modes of the multiple hardware resources to that specified in an earlier-processed power control instruction, such that subsequently-processed instructions will be processed while the power modes of the multiple hardware resources are set to that specified by the earlier-processed power control instruction.05-14-2009
20090132843CURRENT MODE BUS INTERFACE SYSTEM, METHOD OF PERFORMING A MODE TRANSITION AND MODE CONTROL SIGNAL GENERATOR FOR THE SAME - A current mode bus interface system includes a host interface device configured to transmit a reference current and a clock current, and to transmit a data current during a first transfer mode, and to receive a reverse direction data current and compare the reverse direction data current with the reference current to generate a reverse direction data voltage during a second transfer mode; and a client interface device configured to receive the reference current and the clock current and compare the reference current with the clock current to generate a clock voltage, to receive the data current and compare the data current with the reference current to generate a data voltage during the first transfer mode, and to transmit the reverse direction data current through a conducting wire over which the data current is received during the second transfer mode.05-21-2009
20090138738METHOD FOR AUTOMATICALLY SWITCHING POWER STATES - A method for automatically switching power states is disclosed. According to the method, when an electronic apparatus is in a power-saving state and a waking up time is reached, the electronic apparatus is switched into a working state, and when an executing time is reached, the electronic apparatus automatically executes an assigned function. After completing the assigned function, the electronic apparatus is switched back into the power-saving state for energy-saving purpose.05-28-2009
20090144573IMAGE FORMING APPARATUS AND CONTROL METHOD OF IMAGE FORMING APPARATUS - A disclosed image forming apparatus includes a printing unit configured to print an image onto a recording sheet based on image data; a controlling unit configured to control a print execution process for the printing unit and to control all units of the image forming apparatus; and a monitoring unit configured to monitor, while the printing unit and the controlling unit are in a power-saving mode in which power supply to the printing unit and the controlling unit is stopped, whether there is a resuming factor for resuming operation from the power-saving mode. The monitoring unit includes a requesting unit configured to send, to the printing unit, a request to resume operation and a request to set a printing mode, before sending a request to resume operation to the controlling unit, in the event that the resuming factor is detected.06-04-2009
20090144574Method and Device for Controlling Operation of a Portable Electronic Device - A method for controlling operation of a portable electronic device includes detecting an angle between a lid of the portable electronic device and a plumb line and controlling the operation of the portable electronic device according to the angle.06-04-2009
20090150695Predicting future power level states for processor cores - In one embodiment, the present invention includes a method for providing power state change information from a plurality of cores of a processor package to a predictor at a periodic interval and generating a prediction to indicate a predicted operation level of the cores during a next operating period. Other embodiments are described and claimed.06-11-2009
20090150696Transitioning a processor package to a low power state - In one embodiment, a processor package is controlled to be in a package low power state for a first portion of an operation interval and in a package active state for a second portion of the operation interval. To enable the low power state, operations scheduled during the first portion are delayed until the second portion. Other embodiments are described and claimed.06-11-2009
20090150697MEDIA FILE REPRODUCTION APPARATUS AND METHOD - A media file reproduction apparatus and method which reduces power consumption by cutting off the power to a storage medium driving unit when a media file stored in a storage medium is being played back. The apparatus includes a storage medium driving unit for retrieving a media file per loading unit from a storage medium; a memory; a power supply; and a controller adapted to load the retrieved media file into the memory and to access the memory and play back the media file. The controller instructs the power supply to reduce or cut off power to the storage medium driving unit from when loading of a corresponding loading unit of the retrieved media file into the memory is completed when instructing a retrieval of a next loading unit of the media file. While the retrieved media file is played back, power to the storage medium driving unit is stopped.06-11-2009
20090150698HOST APPARATUS CONNECTED TO IMAGE FORMING APPARATUS AND POWER SAVE MODE CONTROL METHOD THEREOF - A method of controlling a power save mode of an image forming apparatus connected to a host apparatus, the method includes: requesting information on a power mode of the image forming apparatus; transmitting the power mode information and information on an entering time for a power save mode of the image forming apparatus from the image forming apparatus; and displaying the entering time for the power save mode of the image forming apparatus on a basis of the entering time information.06-11-2009
20090150699SLEEP SCHEDULING METHOD BASED ON MOVING DIRECTIONS OF TARGET IN SENSOR NETWORK - Provided is a sleep scheduling method based on directions of a target in a sensor network. A track subregion is set as an oval shape that is in proportion to a probability of the target moving in certain directions so as to track the target, and sleep patterns of sensor nodes in the tracking subregion are scheduled in consideration of a probability of the target moving in certain directions. As such, the energy efficiency of each sensor node in the sensor network can be improved.06-11-2009
20090158067SAVING POWER IN A COMPUTER SYSTEM - A power management unit (PMU) may promote a processing core from a working state to a first non-working power saving state after receiving a signal from an automatic core C-state promotion (ACCP) unit. An OS component may detect the idling of the processing core and may initiate the ACCP. The ACCP may initiate the PMU to promote the processing core to a first non-working power saving state. The ACCP may track the residency time of the processing core in the first non-working power saving state and may initiate the PMU to promote the processing core to a next non-working power saving state if residency time of the processing core in the first non-working power saving state exceeds a first value. The ACCP may initiate the PMU to demote the processing core back to the working state if a break event occurs during the residency time.06-18-2009
20090158068REDUCING CORE WAKE-UP LATENCY IN A COMPUTER SYSTEM - A power control unit (PCU) may reduce the core wake-up latency in a computer system by concurrently waking-up the remaining cores after the first core is woken-up. The power control unit may detect arrival of a first, second, and a third interrupt directed at a first, second, and a third core. The power control unit may check whether the second interrupt occurs within a first period, wherein the first period is counted after waking-up of the first core is complete. The power control unit may then wake-up the second and the third core concurrently if the second interrupt occurs within the first period after the wake-up activity of the first core is complete. The first period may at least equal twice the time required for a first credit to be returned and next credit to be accepted.06-18-2009
20090158069APPARATUS AND METHOD FOR POWER MANAGEMENT CONTROL - A power management control apparatus including a memory unit configured to store a program for disabling a previously determined Link power management state when a system enters a specific operating state and for enabling the disabled Link power management state when the system is resumed, a processor configured to access the memory unit and to execute the program, and a control unit connected to the processor and the memory unit and configured to manage Link power based on a result of executing the program.06-18-2009
20090164818ACTIVITY WINDOW NOTIFICATION PROTOCOL - Power management protocols for maximizing energy efficiency in power usage by mobile devices are described in this application. The power management protocols may allow for at least two power states by a CPU—active state, and inactive state. The active state corresponds to an active window when the mobile device is functional at full capacity and using the full clock speed frequency. The inactive state and opportunistic flush and fill states may be maximized by coordinating the activity of the CPU and other devices associated with a mobile device such as a bus, memory, graphics controller, hard drive, etc. By coordinating the critical functions of devices and CPU to occur during the active window, and delaying non-critical functions until an active window, the inactive and off states may be maximized, resulting in power savings and efficiency. Other embodiments are also described in this application.06-25-2009
20090164819TRI-LAYERED POWER SCHEME FOR ARCHITECTURES WHICH CONTAIN A MICRO-CONTROLLER - Various embodiments are directed to a tri-layered power scheme for architectures which contain a microcontroller. In one embodiment, a power management system may comprise a microcontroller in a chipset, a low consumption power well to control a power supply to the microcontroller, and a power controller to control a power supply to the low consumption power well. The power management system may be arranged to switch among multiple power consumption states. In a maximum power consumption state, the microcontroller is on, the power controller is on, and the low consumption power well is on. In an intermediate power consumption state, the microcontroller is off, the power controller is on, and the low consumption power well is required to be on. In a minimum power consumption state, the microcontroller is off, the power controller is on, and the low consumption power well is optionally on or off at the discretion of the power controller. Other embodiments are described and claimed.06-25-2009
20090164820METHODS AND APPARATUS FOR MANAGING POWER ON A COMPUTER IN THE EVENT OF A POWER INTERRUPTION - A computer power management system, comprises a source of primary power, a motherboard, and an uninterruptible power system (UPS) including a power sensing circuit, a battery charger, a battery and power regulator module, a switching device, and control logic. The power management system operates to manage power on a computer in the event of a power interruption by: monitoring primary input power to a computer; delivering battery derived power from a battery internal to the computer to a subset of the computer's components; disabling at least one of a user input device and/or user output device; and saving zero or more open files to a storage device. Sizing the UPS to deliver only that amount of power required to save open files minimized cost and size of the computer.06-25-2009
20090164821METHOD AND A SYSTEM FOR CONTROLLING A SLEEP MODE OF A DEVICE IN A WIRELESS COMMUNICATIONS NETWORK OR IN A MOBILE POINT-TO-POINT CONNECTION - The present invention relates to a method for controlling a sleep mode of a device in a wireless communications network or in a mobile point-to-point connection in order to turn off system components of the device, especially to turn off a medium access control (06-25-2009
20090172438METHOD AND APPARATUS FOR COST AND POWER EFFICIENT, SCALABLE OPERATING SYSTEM INDEPENDENT SERVICES - A low cost, low power consumption scalable architecture is provided to allow a computer system to be managed remotely during all system power states. In a lowest power state, power is only applied to minimum logic necessary to examine a network packet. Power is applied for a short period of time to an execution subsystem and one of a plurality of cores selected to handle processing of received service requests. After processing the received service requests, the computer system returns to the lowest power state.07-02-2009
20090172439SYSTEM AND METHOD FOR FAST PLATFORM HIBERNATE AND RESUME - In some embodiments, an apparatus includes processor cores, a smaller non-volatile memory, a larger non-volatile memory to hold an operating system, programs, and data for use by the processor cores. The apparatus also includes volatile memory to act as system memory for the processor cores, and power management logic to control at least some aspects of power management. In response to a power state change command, a system context is stored in the smaller non-volatile memory followed by the volatile memory losing power, and in response to a resume command, the volatile memory receives power and receives at least a portion of the system context from the smaller non-volatile memory. Other embodiments are described.07-02-2009
20090172440COUPLED LOW POWER STATE ENTRY AND EXIT FOR LINKS AND MEMORY - In some embodiments if a new request appears in a receive queue relating to a resource, and a controlled direction of the resource is in a low power state, a method starts an exit of the controlled direction after a delay. If receive direction of power control of the resource is in a low power state and preparation is being made to enter a low power state at the controlled direction, then the method decreases a watch and wait period that occurs prior to moving into the low power state at the controlled direction. Other embodiments are described and claimed.07-02-2009
20090172441HARDWARE PROACTIVE IMPLEMENTATION FOR ACTIVE MODE POWER CONTROL OF PLATFORM RESOURCES - In some embodiments, estimating a duration of an idle period gap of a lower power state of a resource by exponentially smoothing successive idle period gaps. Other embodiments are described and claimed.07-02-2009
20090172442SYSTEM AND METHOD FOR MEMORY PHASE SHEDDING - Embodiments of the invention supply power to DRAM or other memory devices with a multi-phase voltage regulator. A power controller coupled to the multi-phase voltage regulator causes one or more phases of the multi-phase voltage regulator to be activated or deactivated (shed) according to predetermined criteria. Embodiments of the invention thus improve power management by providing one or more reduced power states for the memory devices. Other embodiments are described.07-02-2009
20090172443Methods and apparatuses for processing wake events of communication networks - Methods, apparatuses, and computer program products that respond to wake events of communication networks are disclosed. One or more embodiments comprise setting a wake password of a computing device, such as a notebook computer or a server. Some of the embodiments comprise receiving a wake request from a communications network, establishing a secure communication session, and setting the wake password with the secure communication session. Some embodiments comprise an apparatus having a network controller to allow a platform to communicate via a communications network, non-volatile memory that stores a wake password, and a management controller which may communicate with a management console via a secure communication session to update the wake password. One or more embodiments the network controller may wake management hardware and/or wake the management controller while keeping one or more of the devices in the power conservation mode.07-02-2009
20090172444Computer System and Power-Saving Method Thereof - The present invention relates to a computer system and a power-saving method thereof. The computer system comprises a slot, a switch set, and a controlling module. An expansion card is capable of inserting into the slot. The switch set controls transmission of a power, a clock, and a bus signal to the slot. When activating a power-saving operation, the controlling module is capable of sending a controlling signal to command the switch set to cut off transmission of power, the clock, and the bus signal to the slot.07-02-2009
20090172445ELECTRONIC DEVICE WITH SERIAL ATA INTERFACE AND POWER SAVING METHOD FOR SERIAL ATA BUSES - In an electronic device with a serial ATA interface, upon detection of the issue or reception of a preset command, a confirmation device, such as a CPU, confirms the completion of execution of the command. Upon confirming the completion of execution of the command, a controller, which may also be the CPU, controls shifting of the serial ATA interface to a power saving mode.07-02-2009
20090172446ELECTRONIC DEVICE WITH SERIAL ATA INTERFACE AND POWER SAVING METHOD FOR SERIAL ATA BUSES - In an electronic device with a serial ATA interface, upon detection of the issue or reception of a preset command, a confirmation device, such as a CPU, confirms the completion of execution of the command. Upon confirming the completion of execution of the command, a controller, which may also be the CPU, controls shifting of the serial ATA interface to a power saving mode.07-02-2009
20090172447ELECTRONIC DEVICE WITH SERIAL ATA INTERFACE AND POWER SAVING METHOD FOR SERIAL ATA BUSES - In an electronic device with a serial ATA interface, upon detection of the issue or reception of a preset command, a confirmation device, such as a CPU, confirms the completion of execution of the command. Upon confirming the completion of execution of the command, a controller, which may also be the CPU, controls shifting of the serial ATA interface to a power saving mode.07-02-2009
20090172448ELECTRONIC DEVICE WITH SERIAL ATA INTERFACE AND POWER SAVING METHOD FOR SERIAL ATA BUSES - In an electronic device with a serial ATA interface, upon detection of the issue or reception of a preset command, a confirmation device, such as a CPU, confirms the completion of execution of the command. Upon confirming the completion of execution of the command, a controller, which may also be the CPU, controls shifting of the serial ATA interface to a power saving mode.07-02-2009
20090177905ELECTRONIC DEVICE WITH SERIAL ATA INTERFACE AND POWER SAVING METHOD FOR SERIAL ATA BUSES - In an electronic device with a serial ATA interface, upon detection of the issue or reception of a preset command, a confirmation device, such as a CPU, confirms the completion of execution of the command. Upon confirming the completion of execution of the command, a controller, which may also be the CPU, controls shifting of the serial ATA interface to a power saving mode.07-09-2009
20090187779AUTOMATIC POWER MANAGEMENT METHOD - The application discloses methods applied to an electronic system capable of operating in a non power saving mode and a power saving mode. According to one of the methods, the idle time when the electronic system is idle in the non-power saving mode is measured. If the idle time equals or exceeds a mode entry time, the electronic system enters the power saving mode. The power down duration when the electronic system stays in the power saving mode is measured. The mode entry time is then modified based upon the power down duration.07-23-2009
20090193275Quick-Stop Feature For Multiple Output Power Systems - A quick-stop feature built into power systems enables deactivating the power output of output supplies in the shortest possible time. This feature prevents damage to the power system and to the electrical devices connected to the output supplies. The quick-stop feature can be implemented as a hardware or a software solution. A button on the front panel of the power system can initiate the quick-stop feature. Alternatively, the power system can be connected to a network to watch over and provide fault protection mechanisms. A processor within the power system can execute the quick-stop feature by deactivating the power output. Deactivating the power output can follow a programmed shutdown, a sequenced shutdown or a complete shutdown. Downprogrammers ensure deactivating the power output in the shortest time.07-30-2009
20090199027METHOD AND APPARATUS TO AVOID POWER TRANSIENTS DURING A MICROPROCESSOR TEST - Exemplary embodiments provide a computer-implemented method and a system for a startup cycle for a cycle deterministic start. An initializing mechanism applies power to a microprocessor. The initializing mechanism initializes the configuration of the microprocessor. The initializing mechanism initializes a timer. The initializing mechanism then sends a clock start command to the microprocessor. The clocks on the microprocessor are started. Upon the clocks starting, the timer begins and allows temporary transients, such as voltage droop due to a large instantaneous change in demand for current due to the commencement of clock switching. Responsive to the timer reaching a target value, an interrupt unit sends a system reset interrupt. Responsive to the interrupt unit sending the system reset interrupt, an instruction fetch unit fetches a first instruction. This operation will be deterministic to the state of the rest of the microprocessor memory elements (latches, arrays, et al.).08-06-2009
20090199028Wake-and-Go Mechanism with Data Exclusivity - Snoop response logic on a system bus is configured to detect on the system bus requests to access data at a target address with data exclusivity from at least one of a plurality of wake-and-go engines. The snoop response logic is further configured to determine a winning wake-and-go engine from the at least one wake-and-go engine that obtains a lock on the target address and generate a combined snoop response. The combined snoop response identifies the winning wake-and-go engine. The snoop response logic sends the combined snoop response to the at least one wake-and-go engine on the system bus. Each remaining wake-and-go engine within the at least one wake-and-go engine places an entry in its respective wake-and-go storage array to spin on a lock for the target address.08-06-2009
20090199029Wake-and-Go Mechanism with Data Monitoring - A wake-and-go mechanism is provided for a data processing system. The wake-and-go mechanism recognizes a programming idiom, specialized instruction, operating system call, or application programming interface call that indicates that a thread is waiting for an event. The wake-and-go mechanism updates a wake-and-go array with a target address, expected data value, and comparison type associated with the event. The thread then goes to sleep until the event occurs. The wake-and-go array may be a content addressable memory (CAM). When a transaction appears on the symmetric multiprocessing (SMP) fabric that modifies the value at a target address in the CAM, logic associated with the CAM performs a comparison based on the data value being written, expected data value, and comparison type.08-06-2009
20090199030Hardware Wake-and-Go Mechanism for a Data Processing System - A hardware wake-and-go mechanism is provided for a data processing system. The wake-and-go mechanism recognizes a programming idiom that indicates that a thread is waiting for an event. The wake-and-go mechanism updates a wake-and-go array with a target address associated with the event. The thread then goes to sleep until the event occurs. The wake-and-go array may be a content addressable memory (CAM). When a transaction appears on the symmetric multiprocessing (SMP) fabric that modifies the value at a target address in the CAM, the CAM returns a list of storage addresses at which the target address is stored. The wake-and-go mechanism associates these storage addresses with the threads waiting for an even at the target addresses, and may wake the one or more threads waiting for the event.08-06-2009
20090199031USB Self-Idling Techniques - USB self-idling techniques are described. In one or more embodiments, a Universal Serial Bus (USB) device comprises one or more modules to communicate via USB and self-idle by presenting an idle mode to a USB host and entering a suspend mode while the USB host is presented with the idle mode.08-06-2009
20090199032METHOD FOR DETERMINING AND MAXIMIZING UNAVAILABILTY INTERVAL - The method for maximizing an unavailability interval includes the steps of initializing the starting frame number of each power saving class f08-06-2009
20090204833MINIMIZATION OF POWER CONSUMPTION IN SERVER ENVIRONMENT - A method for minimizing power consumption in a computer server is provided. Collected data for an event is compared with an entry in a power consumption table. A power reduction mechanism is triggered if the collected data and the entry are within a specified range. The power consumption table is updated with the collected data if the collected data and the entry are not within the specified range.08-13-2009
20090204834SYSTEM AND METHOD FOR USING INPUTS AS WAKE SIGNALS - A system and method for waking up a portion of a programmable system on a chip (SoC). The system includes a power management unit for controlling power levels to the SoC and one or more inputs for receiving inputs from a coupled device. The system further includes a power management interface coupled to the one or more inputs. The power management interface signals the power management unit to adjust power levels to the SoC in response to receiving a signal via the one or more inputs corresponding to a wake event.08-13-2009
20090204835USE METHODS FOR POWER OPTIMIZATION USING AN INTEGRATED CIRCUIT HAVING POWER DOMAINS AND PARTITIONS - In a programmable SoC (system-on-a-chip) integrated circuit device, a method for optimizing power efficiency for a requested device functionality. The method includes determining a requested device functionality, and in response to the requested device functionality, turning on power for a selected one or more power domains out of a plurality of power domains included within the integrated circuit device. Each of the power domains has its own respective voltage rail to obtain power. The method further includes turning on one or more power islands out of a plurality of power islands included within the integrated circuit device. The requested device functionality is then implemented using one or more functional blocks wherein each functional block is configured to provide a specific device functionality.08-13-2009
20090204836SYSTEM AND METHOD FOR USING A LINK ENERGY SIGNAL IN A PHYSICAL LAYER DEVICE HAVING A SILENT CHANNEL/INTERFACE IN ENERGY EFFICIENT ETHERNET - A system and method for using a link energy signal in physical layer devices (PHYs) having a silent channel/interface in energy efficient Ethernet (EEE). LPI modes in EEE suffer deficiencies in cable unplug detection due to the latency in refresh cycles. LPI modes in EEE also suffer from potential frequency drift, which leads to high bit error rate (BER) when coming out of LPI mode. A link energy signal transmitted during LPI modes enables real-time detection of cable unplug and the frequency lock to be maintained.08-13-2009
20090210733INFORMATION PROCESSING APPARATUS, INFORMATION PROCESSING SYSTEM, AND INFORMATION PROCESSING METHOD - An information processing apparatus includes a transfer unit configured to retain at least one network address from among a plurality of network addresses and transfer other network addresses to other information processing apparatus, a power saving state shifting unit configured to shift to a power saving state, and a return unit configured to return the power saving state to a normal state when the retained network address is accessed.08-20-2009
20090217071DATA PROCESSING DEVICE AND METHOD FOR SWITCHING STATES THEREOF - The present invention provides a data processing device and a method for switching states thereof. The data processing device comprises an operating system and a communication interface for communicating with another data processing device, wherein the communication interface is enabled when the operating system stays in the operating state of the operating system, and the communication interface is disabled when the operating system stays in a low-power-consumption state. The method for switching states comprises the following steps of: detecting whether the communication interface stays in the operating state of the communication interface and generating detection information; determining whether the operating system stays in the operating state of the operating system when the detection information indicates that the communication interface stays in the operating state of the communication interface, and generating determination information; generating a system state holding command when the determination information indicates that the operating system stays in the operating state of the operating system; and controlling the operating system to stay in the operating state of the operating system based on the system state holding command. The present invention can not only secure communication via the data communication interface, but also allow the operating system to handle normally and stably an interrupt, so as to reduce the system power consumption.08-27-2009
20090240965ELECTRONIC APPARATUS AND AUTO WAKE-UP CIRCUIT THEREOF - The present invention relates to an electronic apparatus and an auto wake-up circuit thereof. The electronic apparatus comprises a main module for performing a main function of the electronic apparatus and generating a first control signal when the electronic apparatus enters a sleep mode; an auxiliary module, coupled to the main module, for performing an auxiliary function of the electronic apparatus and generating a second control signal; and a wake-up circuit module, coupled to the main module and the auxiliary module, for generating a third control signal based on the first control signal and the second control signal. The auxiliary module maintains the auxiliary function based on the third control signal while the electronic apparatus is in the sleep mode.09-24-2009
20090240966IMAGE PROCESSING DEVICE, IMAGE PROCESSING METHOD, AND RECORDING MEDIUM - An image processing device including a first control unit to control a normal operating mode during which image processing is performed, a second control unit to control an energy-saving mode during which power consumption is lower than during the normal operating mode, an interrupt request receiver connected to an external device to receive an interrupt request from the external device, interrupt factor distributors to distribute the interrupt request received by the interrupt request receiver based on whether or not the interrupt request includes a predetermined interrupt factor, interrupt request notification units to notify the second control unit as well as the first control unit of the interrupt request in accordance with distribution results from the interrupt factor distributors, and job adjustors to control transfer of a job between the first control unit and the second control unit based on notification from the interrupt request notification units.09-24-2009
20090240967EFFICIENT LOW POWER RETRIEVAL TECHNIQUES OF MEDIA DATA FROM NON-VOLATILE MEMORY - Power consumption may be reduced in a media device including a first processor coupled to the non-volatile memory, either directly or indirectly, allowing the first processor to generate a pointer structure. The first processor may also be coupled, either directly or indirectly to a memory space, allowing the first processor to write the pointer structure in the memory space. The media device includes a second processor, such as a DSP/SHW or peripheral processor, and may be also be coupled, either directly or indirectly to the memory space, allowing the second processor to retrieve a block of media data from the non-volatile memory. Retrieval of the block of media data may be read directly from the non-volatile memory, or in some cases, the media data being retrieved may be parsed. The media data may be an audio file data, video file data, or both.09-24-2009
20090249099INFORMATION APPARATUS AND CONTROLLING METHOD THEREOF - An information processing apparatus and method include mode controlling. A first information processing unit controls a telephone process using a radio communication unit and displays the image to display unit in response to a request, and a second information processing unit mutually operable in parallel with the first information processing unit controls a telephone process using the radio communication unit and makes the display unit display the image in response to a request. The mode controlling sets one of the first information processing unit and the second information processing unit to a master mode and sets other of the first information processing unit and the second information processing unit to a slave mode.10-01-2009
20090249100CIRCUIT SYSTEM - One aspect of the embodiment is related to a circuit system having multiple circuit blocks each of which operates upon reception of power supply. The circuit system includes multiple circuit blocks each of which operates upon reception of power, multiple switch elements which are provided respectively to the multiple circuit blocks and each of which supplies and cuts off power to the corresponding circuit block upon reception of control and a power control unit that controls each of the multiple switch elements to cause the switch element to supply and cut off power to the corresponding circuit block.10-01-2009
20090249101METHOD AND SYSTEM FOR CONTROLLING POWER PROVIDED TO AN ACCESSORY - A method for a portable media player to control power provided an accessory is disclosed. The method includes requesting information about the power capabilities of the accessory by the portable media player. The method further includes providing information about the power capabilities of the accessory by the accessory to the portable media player, and utilizing the information to set the power of the accessory. A method and system in accordance with the present invention provides a system that allows a portable media player to obtain information from an accessory concerning its power requirements. A portable media player can then utilize the power requirements information to allow for the powering of the accessory when connected to the portable media player.10-01-2009
20090254770Low voltage reset determination and operational flow modification for microprocessor-controlled devices - A method of identifying a reset condition includes the steps of determining if a stored value is equal to a specified value, executing a first mode if the stored value is not equal to the specified value, and executing a second mode if the stored value is equal to the specified value. The second mode also includes the step of setting the stored value to a value different than the specified value.10-08-2009
20090254771USB Power Conservation Method and Apparatus - Embodiments of a method and system for conserving power used in a central processing unit (CPU) are described. An embodiment uses direct memory access (DMA) fetch suspend logic to allow the CPU to stay in a sleep state indefinitely until a break event occurs. Embodiments include power management monitoring and Universal Serial Bus (USB) descriptor monitoring logic. Power management monitor logic monitors the CPU sleep state and sets a status flag to the USB descriptor monitoring logic whenever the CPU is in a predefined sleep state. The USB descriptor monitoring logic monitors the fetching of linked descriptor lists. When the CPU status flag is raised, it causes monitoring of the descriptor fetch by the USB descriptor monitoring logic. If the USB controller has completed all of the descriptor fetches while the CPU sleep flag is true, this logic sets a flag to cause the USB controller to suspend DMA fetch operations.10-08-2009
20090259863RESPONDING TO INTERRUPTS WHILE IN A REDUCED POWER STATE - To reduce power consumption, a processor can be placed into a reduced power state. Before doing so, interrupt events can be designated as wakeup events. While the processor is in the reduced power state, if an event designated as a wakeup event occurs, then a signal is directed to a wakeup event handler instead of to an interrupt handler. In response to the signal, the wakeup event handler causes power to be restored to the processor, so that the event can be subsequently serviced.10-15-2009
20090259864SYSTEM AND METHOD FOR INPUT/OUTPUT CONTROL DURING POWER DOWN MODE - A system and method for maintaining values on output pads of an integrated circuit during entry, exit, and while a portion of the integrated circuit is in a power conservation or deep power down mode. The method for entering a power conservation mode includes determining a power conservation mode value which will be maintained at an output pad while a portion of an integrated circuit is in a power conservation mode. The power conservation mode value may then be selected for output and the power conservation mode value is held at the output pad. The portion of the integrated circuit to enter the power conservation mode is then electrically decoupled from the output pad. The portion of the integrated circuit may then be placed in the power conservation mode without output signal slighting while maintaining the output value.10-15-2009
20090259865Power Management Using At Least One Of A Special Purpose Processor And Motion Sensing - A power management device useable in a mobile station includes a main processor configured to execute applications including signal processing applications and further configured to enter a sleep mode in response to predetermined criteria. The device further includes a circuit configured to operate when the main processor is in the sleep mode comprising at least one of a low power processor and a sensor to monitor at least one of signals, commands, inputs, and changes in environment, the circuit waking up the main processor responsive to one of the low power processor and the sensor. The device may operate a method and may execute instructions based on a machine readable medium.10-15-2009
20090259866ELECTRONIC DEVICE AND POWER SUPPLY UNIT - An electronic device has: an operation unit; a power supply unit; an input changeover switch; and a controller. The power supply unit is supplied commercial power and supplies the operating power to the operation unit. The input changeover switch switches between supplying or not supplying the commercial power to the power supply unit. The controller controls the input changeover switch. The controller includes: a power input portion; a control signal input portion; and a switching signal output portion. When the device power is being supplied from a master electronic device to the power input portion and the control signal from the master electronic device is received by the control signal input portion, the switching signal output portion outputs to the input changeover switch a signal instructing to switch and supply the commercial power to the power supply unit.10-15-2009
20090259867Power Supply Capable of Receiving Digital Communications from Electronic Devices - A power supply capable of receiving digital communications from an electrical device is described. The power supply includes a microprocessor and instructions, configured for execution by the microprocessor, to receive a digital communication from a device to be powered by the power supply. A method of supplying power is also described. The method includes receiving a digital communication from a device and supplying power to the device based on the digital communication.10-15-2009
20090271647POWER SUPPLY CONTROL METHOD AND CURCUIT IN COMMUNICATION EQUIPMENT - A circuit includes: an input buffer for storing input data; a plurality of processing sections connected in series including a head processing section and a tail-end processing section to sequentially process the input data; and a power supply controller for controlling power supply to each of the plurality of processing sections depending on a lapse of time during which no input data is stored in the input buffer.10-29-2009
20090287945IMAGE PROCESSING APPARATUS AND CONTROL METHOD THEREOF - An image processing apparatus includes: a main controller which controls a processing of an image; a power supply which supplies power to the main controller; a switching unit which selectively allows the power to be supplied from the power supply to the main controller; and a sub controller which determines whether a first power-off event occurs, and controls the switching unit to cut off the power supplied to the main controller if the sub controller determines that the first power-off event occurs, wherein the main controller determines whether a second power-off event different from the first power-off event occurs, and the switching unit cuts off the power supplied to the main controller if the second power-off event occurs.11-19-2009
20090287946Power supply control circuit - A power supply control circuit includes a standby control circuit, a microprocessor, and a power supply main circuit. The standby control circuit generates a pulse signal, outputs a first control signal, and sets the first control signal to an active state upon actuation of the switch member. The microprocessor outputs and sets a second control signal to first and second states upon first and second generations of the pulse signal, respectively. The standby control circuit maintains the first control signal at the active state when the second control signal is set to the first state, and sets the first control signal to an inactive state when the second control signal is set to the second state. The power supply main circuit outputs a power when the first control signal is set to the active state, and cuts off the power when the first control signal is set to the inactive state.11-19-2009
20090287947CIRCUIT AND METHOD FOR ULTRA-LOW IDLE POWER - A method and circuit for reducing power consumption during idle mode to ultra-low levels, such as 1/1011-19-2009
20090292934INTEGRATED CIRCUIT WITH SECONDARY-MEMORY CONTROLLER FOR PROVIDING A SLEEP STATE FOR REDUCED POWER CONSUMPTION AND METHOD THEREFOR - A method comprising determining that a minimum operation level of an integrated circuit (11-26-2009
20090292935Method, System and Apparatus for Power Management of a Link Interconnect - A proposal for power management control of an interconnect structure based on power state transition control. The power state transition is based on generating early warning signals and an idle timeout value setting based on response time and detection of subsequent requests.11-26-2009
20090300389POWER SUPPLY HAVING MULTIPLE SETS OF OUTPUT POWER - A power supply having multiple sets of output power includes a primary power system to transform AC power to DC power when a computer host is power on and a standby power system linking and transforming the AC power to the DC power when the computer host is shut down. The power supply has a power output port located outside. The power output port has a primary power terminal dock linking to the primary power system and a standby power terminal dock linking to the standby power system. A transmission line is provided that has one end electrically connected to the power output port and other end forming at least a first coupling end and a second coupling end to output respectively primary power and standby power to a linking and corresponding computer equipment and an external electronic device. Thus the power supply can provide electric power to the computer equipment and external electronic device through the transmission line when the computer host is power on, and continuously provide the electric power to the external electronic device when the machine is shut down. It solves the problem of the conventional power supply that can provide power only when the machine is power on.12-03-2009
20090300390Imaging device with adaptive power saving behavior and method for use thereon - An imaging device with an adaptive power saving behavior conserves power by establishing reduced power mode entry and/or exit timeout values based on device usage statistics. Such an imaging device in some embodiments comprises at least one interface and a processor communicatively coupled with the interface, wherein under control of the processor the imaging device determines usage statistics for the imaging device based on jobs received on the interface and selects a power save entry timeout value for the imaging device based on the usage statistics.12-03-2009
20090300391Self-Powered Devices and Methods - A system includes a computing device that selectively communicates with a self-powered device. The self-powered device has several modes of operation, including a first low-power mode of operation and a second high-power mode of operation. The self-powered device is to communicate with the computing device when the self-powered device is in the second mode of operation. The self-powered device may conserve power by alternating between the first mode of operation and the second mode of operation such that the self-powered device is in the second mode of operation during pre-determined or adaptively-determined time intervals. A duty-cycle of the second mode of operation relative to the first mode of operation may be in accordance with a power budget for the self-powered device.12-03-2009
20090300392HIGH SPEED NETWORK INTERFACE WITH AUTOMATIC POWER MANAGEMENT WITH AUTO-NEGOTIATION - A computer system comprises host processor and a network interface, wherein the host processor includes resources supporting a full power mode, a lower power mode and a power down mode, as seen in standard system bus specifications such as PCI and InfiniBand. The network interface includes a medium interface unit coupled to network media supporting a least high speed protocol, such as a Gigabit Ethernet or high-speed InfiniBand, and a lower speed protocol, such as one of 10 Mb and 100 Mb Ethernet or a lower speed InfiniBand. Power management circuitry forces the medium interface unit to the lower speed protocol in response to an event signaling entry of the lower power mode. In the lower power mode, the network interface consumes less than the specified power when executing the lower speed protocol, and consumes greater than the specified power when executing the high speed protocol. Logic in the network interface operates in the lower power mode, and uses the lower speed protocol to detect a pattern in incoming packets. In response to the detection of said pattern, the logic issues a reset signal to the host processor. Thus, the network interface operates as a wake-up device in the lower power mode, using the lower speed protocol.12-03-2009
20090300393DYNAMIC POWER CONTROL FOR REDUCED VOLTAGE LEVEL OF GRAPHICS CONTROLLER COMPONENT OF MEMORY CONTROLLER BASED ON ITS DEGREE OF IDLENESS - A method includes detecting a trigger condition, and in response to detecting the trigger condition, reducing a voltage applied to a graphics controller component of a memory controller. The reduction in voltage may cause the voltage to be reduced below a voltage level required to maintain context information in the graphics controller component.12-03-2009
20090307511PORTABLE ELECTRONIC DEVICES WITH POWER MANAGEMENT CAPABILITIES - An electronic device may operate in standby and active modes. A headset may be coupled to the electronic device. The electronic device may have audio codec circuitry. The audio codec circuitry may use general purpose input-output circuitry in handling button and headset activity during active mode. Processing circuitry may be used to reconfigure the audio codec in real time. When the electronic device is in the standby mode and activity is detected, the codec may be configured to provide an interrupt signal to a power management unit that wakes the device. When the electronic device is in active mode and no user inputs are received within a given period of time, the central processor in the electronic device may place the device in standby mode.12-10-2009
20090313492Sleep Processor - An apparatus, method, and system are provided for optimizing computer performance while a first processor is in a sleep mode of operation. For example, an embodiment of the apparatus includes a first processor, a second processor (also referred herein as a “sleep” processor), and one or more peripheral devices. The peripheral devices are coupled to the first processor and the sleep processor through a computer bus architecture. During an active mode of operation, the first processor interacts with and controls the functions of the peripheral devices. In an embodiment, the sleep processor also interacts with and controls the functions of the peripheral devices during the active mode of operation. However, when the first processor is in a sleep mode of operation, the sleep processor is configured to control one or more functions of the computer system incorporating the first processor and the sleep processor. These functions can include applications that may not otherwise be executed while the first processor is in sleep mode such as, for example, functions of the one or more peripheral devices. These functions can also include applications that are not computationally intensive such that the sleep processor can execute the functions at a slower clock frequency, over a longer period of time. In having the sleep processor execute these simpler functions, the first processor does not expend a significant amount of power on these types of applications. As a result, power management of the computer system is improved since the first processor remains in sleep mode for a longer period of time.12-17-2009
20090313493INFORMATION PROCESSING APPARATUS AND CONTROL METHOD THEREFOR - An information processing apparatus which operates in one of a normal power state and a plurality of power saving states, includes a storage unit to store power saving information that designates one of the plurality of power saving states, an acquisition unit to acquire from the connected peripheral device identification information for identifying the peripheral device, a specification unit to specify the power saving information associated with the acquired identification information based on the identification information and the setting information, and a control unit to control the apparatus to shift to the power saving state designated by the power saving information to the power saving state that is designated by the specified power saving information.12-17-2009
20090327779ENERGY CONSERVATION IN MULTIPATH DATA COMMUNICATIONS - A method, system, and computer usable program product for energy conservation in multipath data communications are provided in the illustrative embodiments. A current utilization of each of several of I/O devices is determined. A violation determination is made whether an I/O device from the several I/O devices can be powered down without violating a rule. The I/O device is powered down responsive to the violation determination being false. A powering up determination may be made whether an additional I/O device is needed in a multipath I/O configuration. The I/O device may be located, powered up, and made available for multipath I/O configuration. A latency determination may be made whether a latency time of the I/O device can elapse before the time when the additional I/O device is needed. The powering on may occur no later than the latency time before the time the additional I/O device is needed.12-31-2009
20090327780Systems, Methods and Media for Reducing Power Consumption in Multiple Controller Information Handling Systems - An information handling system (IHS) provides a method for conserving power. The method includes monitoring at least one performance characteristic of the IHS and determining if the at least one performance characteristic is below a low performance threshold. If the at least one performance characteristic is below the low performance threshold, a first controller is switched into a low power mode, wherein a first set of logical unit numbers (LUNs) are reassigned to a second controller.12-31-2009
20100011234APPARATUS, SYSTEM, AND METHOD FOR REDUCING IDLE POWER IN A POWER SUPPLY - An apparatus, system, and method is provided for reducing idle power in a power supply. The apparatus includes a connection module to determine whether a load is connected to the output terminal of the power supply. Also included is an idle module that turns off the power supply for an idle interval when the connection module determines that a load is not connected to the output. A monitor module turns on the power supply for a monitor interval when the idle interval ends. During the monitor interval, the connection module determines whether the load has been connected to the output terminal of the power supply. An activation module turns on the power supply if the connection module determines, during the monitor interval, that the load has been connected to the power supply. If the load has not been connected, another idle interval is initiated.01-14-2010
20100017637PORTABLE ELECTRONIC TERMINAL AND METHOD THEREFOR - A portable electronic terminal capable of changing to an idle or sleep mode during which selective powering of portions of the electronics of the terminal takes place to save energy, the terminal comprising:—at least one memory storing, when in the idle or sleep mode, a program code of a background task and data necessary for the execution of the background task,—a calculator (01-21-2010
20100031071Platform-Based Idle-Time Processing - A system and method for transitions a computing system between operating modes that have different power consumption characteristics. When a system management unit (SMU) determines that the computing system is in a low activity state, the SMU transitions the central processing unit (CPU) into a low power operating mode after the CPU stores critical operating state of the CPU in a memory. The SMU then intercepts and processes interrupts intended for the CPU, modifying a copy of the critical operating state. This effectively extends the time during which the CPU stays in lower power mode. When the SMU determines that the computing system exits a low activity state, the copy of the critical operating state is stored in the memory and the SMU transitions the CPU into a high power operating mode using the modified critical operating state.02-04-2010
20100031072SYSTEM FOR POWER MANAGEMENT AND SAFETY PROTECTION AND METHOD THEREOF - A system for power management and safety protection and a method thereof are presented. The system and method are applicable to a computer device having a sensor. The sensor detects position information of a user relative to the computer device so as to determine whether to enter a sleep mode or a recognition mode. The sensor also detects motion information of a particular motion of the user so as to return to an operating mode.02-04-2010
20100037075DUAL VOLTAGE SWITCHING CIRCUIT - A dual voltage switching circuit includes a first resistor and two transistors. Each transistor has a first terminal, a second terminal, and a third terminal. The first terminals are connected to a control terminal of a computer. The second terminals are connected to a standby power supply of the computer. The third terminals are connected to a power-on terminal of the computer via the first resistor. When the first terminals receive a low level signal from the control terminal, the first and second transistors are on, and the power-on terminal receives a voltage form the standby power supply via the first resistor.02-11-2010
20100042858MANAGEMENT OF POWER DOMAINS IN AN INTEGRATED CIRCUIT - A method of operating a circuit, including operating in a first mode, wherein in the first mode, a first power domain operates in an active power mode and a second power domain operates in an active power mode, wherein in the first mode, a first set of at least one terminal of a first circuit of the first power domain are coupled to a second set of at least one terminal of a second circuit of the second power mode via an isolation circuit for providing signals from the first circuit to the second circuit, is provided. The method further includes operating the circuit in a second mode, wherein in the second mode, the first power domain operates in a power gated mode and a second power domain operates in an active power mode.02-18-2010
20100042859Computer having Power Saving State Control Function and Control Method Thereof - A computer has a plurality of sleeping modes to be switch directly. The power supply assemblies provide a plurality of power supplies to elements in the computer. The storage module is used to store executing data corresponding to each sleeping mode. The power management module is used to set the number of the power supply assemblies which need to be switched on in each sleeping mode. The control module determines the sleeping mode which is switched to according to a received trigger event and sends a first switching signal to the storage module to make the storage module store the executing data and a second switching signal to the power management module to make the power management module set the number of the power supply assemblies and switches the sleeping mode of the computer directly.02-18-2010
20100058088Computer System and Method for Energy-Saving Operation of a Computer System - A computer system has at least one supply device, at least one system component with a data-processing device and at least one communications device. The supply device has at least one programmable control module that is supplied with an operating energy by a voltage source coupled to the at least one supply device and operated independently thereof.03-04-2010
20100064158METHOD AND CONTROLLER FOR POWER MANAGEMENT - Resuming from a sleep state. A request may received to resume operation of a computer system from a sleep state to an executing state. A restoring process may be initiated to restore the computer system to an executing state. The restoring process may include loading information from a nonvolatile memory medium to a computer system memory medium. A request may be received from a processor of the computer system to access the computer system memory medium. The request may require access to a portion of the computer system memory medium in the executing state, and may be received prior to completion of the restoring process. It may be determined if the portion of the computer system memory medium has been restored. If the portion of the computer system memory medium has not been restored, the portion of the computer system memory medium may be restored from the nonvolatile memory medium ahead of other portions in the restoring process.03-11-2010
20100064159METHOD AND CONTROLLER FOR POWER MANAGEMENT - Power management of a system. A request may be received to enter a first sleep state for a system. One or more processes may be performed to enter the first sleep state in response to the request to enter the first sleep state. A system memory of the system may be stored in a nonvolatile memory (NVM) in response to the request to enter the first sleep state in order to enter a second sleep state. Power may be removed from the system memory after storing the system memory in the NVM in response to the request to enter the first sleep state. After removing power to the system memory, the system may be in the second sleep state.03-11-2010
20100070788OPTIMIZED COMPENSATION IN A VOLTAGE REGULATOR - Multiple components of a computer system may be capable of entering wake and sleep states. The power consumption of a processor during wake state may draw significant amount of current. In order to keep power consumption of a voltage regulator low, a two-phase voltage regulator may be used during wake state. During sleep state, since power consumption is lower, the two-phase voltage regulator may be operated in a single-phase mode to further reduce voltage regulator power consumption.03-18-2010
20100077243CONSERVING POWER IN A COMPUTER SYSTEM - A power management unit (PMU) may determine an optimal power saving state using a break-even period of a power saving state and an expected idle duration based on a first policy. The PMU may determine the optimal power saving state using a first break even period and actual idle duration based on a second policy. The break-even period may equal a minimum time a computer system should remain in a power saving state to compensate for the power consumed by the system to enter and exit that power saving state. The expected idle time duration is determined as an average of idle duration and a recent sample of idle duration. The actual idle duration is the difference of a first and second time point that represents entry and exit points to and from the power saving state. The PMU may transition the system to the optimal power saving state.03-25-2010
20100088531HYBRID-SYSTEM COMPUTER - Disclosed is a hybrid-system computer comprising a first system, a second system and a power supply unit, wherein the power supply unit is connected to the first system and the second system, respectively, and wherein power consumption in an operating state of the second system is lower than that in an operating state of the first system; the hybrid-system computer further comprises: a triggering unit configured for obtaining a switching command when the first system is in an operating state and the second system is in a non-operating state; and a switching unit connected to the triggering unit, the first system and the second system, respectively, and configured for receiving the switching command and controlling the first system to enter a non-operating state and the second system to enter an operating state based on the switching command. With the hybrid-system computer, in the case that only low power consumption applications are required, the first system is caused to enter a non-operating state, and the second system is put into an operating state. Therefore, the overall power consumption can be effectively reduced.04-08-2010
20100095143INFORMATION PROCESSING APPARATUS, ACTIVATION METHOD, AND PROGRAM - The present invention relates to an information processing apparatus, an activation method, and a program which allow activation to be performed more quickly so as to enter a state according to a user's operation. A host CPU (04-15-2010
20100095144INFORMATION PROCESSING UNIT, POWER-SAVING MANAGEMENT PROGRAM, AND STORAGE MEDIUM STORED WITH THE POWER-SAVING MANAGEMENT PROGRAM - An information processing unit having an auto power-off function includes: a mode setting means for setting one mode from a plurality of pre-prepared power-saving modes in conformity with input by a user, wherein the plurality of power-saving modes includes a first mode and a second mode, and the first mode and the second mode differ in electricity consumption reduction effectiveness; a determination means for determining whether a non-operated state in which no input operation has been carried out by the user has continued for a predetermined time or longer; a processing execution means for executing internal processing; and a decision means for deciding whether or not to execute the auto power-off function based on the mode set by the mode setting means and internal processing executed by the processing execution means when the determination means has determined that the non-operated state has continued for a predetermined time or longer. A storage medium stores a computer program for power-saving management. A power-saving management method includes a mode setting step, a determination step, a processing execution step, and a decision step.04-15-2010
20100100753POWER CONTROL CIRCUIT - A power control circuit for supplying power for a computer component of a computer includes first to sixth switches. In response to the computer changing to a normal work state, a power state signal changes from low level to high level and a motherboard state signal is at high level, the fourth switch is turned on, the fifth switch is turned off, and the sixth switch is turned on, and power of the computer component is stably supplied by a system power supply. The motherboard state signal and the power state signal are at low level in response to the computer changing to a sleep state, the second switch is turned off, the first and third switches are turned on, and power of the computer component is stably supplied by a standby power supply.04-22-2010
20100100754AUTOMATIC POWER-UP OF PORTABLE ELECTRONIC DEVICE BASED ON TIME-DEPENDENT EVENT - A method of controlling a portable electronic device includes receiving a power-down command, determining an automatic power-up date and time based on an earliest one of a next preset power-up and a next time-dependent event reminder, entering a power-down state, monitoring a date and time, and automatically powering up at the automatic power-up date and time.04-22-2010
20100106990POWER SAVINGS USING DYNAMIC STORAGE CLUSTER MEMBERSHIP - A system for controlling power usage in a storage cluster by dynamically controlling membership in the storage cluster is disclosed. The storage cluster includes multiple storage servers that provide access to one or more storage subsystems. The power management system uses a power management policy to set parameters for controlling membership in the storage cluster and monitors the storage cluster based on the policy. Based on the monitoring, the system detects when the number of storage servers in the storage cluster should be reduced or increased. To reduce the number, the system selects a storage server to deactivate and directs the selected storage server to migrate storage resources (e.g. data, metadata) associated with the server to a different storage server. The system then deactivates the selected storage server by directing it to transition to a low power mode. The system may increase the number of servers in the storage cluster by reversing these steps.04-29-2010
20100106991SLAVE CIRCUIT OF A LIN BUS AND METHOD FOR OPERATION - A slave circuit of a LIN bus and method for operating a slave circuit is provided. The slave circuit includes a receiver circuit that is connected to the bus in order to output bit sequences as a function of a bus voltage, an interface circuit for controlling a sleep mode and a normal mode, a detector circuit for evaluating the bus voltage which is connected to the bus, a timer device that is connected to an output of the detector circuit and to the interface circuit. Whereby, the detector circuit, the timer device, and the interface circuit are designed to detect an exceedance of a time threshold by a waveform of the bus voltage of the bus, and to continue a sleep mode in the event of an exceedance, wherein the time threshold is greater than the duration of a wake-up command.04-29-2010
20100106992METHOD AND APPARATUS FOR DETECTING AN IDLE MODE OF PROCESSING EQUIPMENT - Methods and apparatus for detecting an idle mode of processing equipment are provided herein. In some embodiments, an apparatus for monitoring a processing system may include a first system adapter for monitoring a first process chamber and determining a state thereof; and a first support adapter for communicating with the first system adapter and a first support system coupled to the first process chamber, the support adapter configured to communicate a readiness to operate the first support system at a low power mode to a controller of the support system in response to the state of the first process chamber being in an idle mode.04-29-2010
20100106993IMAGE FORMING APPARATUS AND CONTROL METHOD THEREOF - An image forming apparatus and a control method thereof includes an image forming unit to form images, a main control unit to control operations of the image forming unit; a switching unit to selectively supply an operating voltage to the main control unit according to a level of the voltage control, a power mode selecting unit to convert an on state or an off state according to a user's operation, a first voltage determining unit to determine a level of the control voltage according to the state of the power mode selecting unit, and a second voltage determining unit to determine the level of the control voltage in parallel with the first voltage determining unit, according to the control signal output from the main control unit.04-29-2010
20100115313INFORMATION HANDLING SYSTEM WITH INTEGRATED LOW-POWER PROCESSING RESOURCES - An information handling system employs low-power processing. In a particular form, an information handling system can include a processing system configured operate using a power system configured to power a shared resource of the processing system and a non-shared resource of the processing system. The information handling system can also include a low-power processing system configured to access the shared resource of the processing system during operation of the low-power processing system. The operation of the low-power processing system can be separate from the operation of the processing system. The information handling system can also include a chipset including a processor of the processing system and operable to be enabled during operation of the processing system. The processor can be configured to be disabled during operation of the low-power processing system.05-06-2010
20100115314POWER CONTROL FOR INFORMATION HANDLING SYSTEM HAVING SHARED RESOURCES - An information handling system includes at least two processing systems that share system resources. In response to detecting a designated event, a power control module of the information handling system can select one of a plurality of available power profiles. The power profile can be selected based on the event and state information indicative of a state of the processing systems. Based on the selected profile, the power control module can set an operational power mode of one or more of the shared system resources.05-06-2010
20100115315ESTABLISHING POWER SAVE MODE IN HYPERVISOR SYSTEM - A hypervisor intercepts a reduced power mode call from a guest operating system (GOS) and executes reduced power scripts, prior to passing control back to BIOS for entry into the reduced power mode.05-06-2010
20100115316METHOD AND SYSTEM FOR MANAGING ENERGY EFFICIENCY OF A NETWORK LINK VIA PLUGGABLE TRANSCEIVER MODULES IN AN ENERGY EFFICIENT NETWORK DEVICE - An Ethernet network may comprise link partners that may be coupled via an Ethernet link. The link partners may comprise pluggable PHY devices. The pluggable PHY devices and/or other link partner devices may determine energy efficient network (EEN) control policies, may select a power level mode and may configure the link partners to operate in the power level mode. Some components may be reconfigured prior to sending an energy efficient network control signal to a link partner and configuring remaining components. Hardware, software and/or firmware may execute the pluggable PHY energy efficient network control policies. Packet data pending delivery may be buffered in the pluggable PHY. The pluggable PHY devices may comprise a MAC and/or a SERDES device. Exemplary form factors for the pluggable PHYs may comprise a SFP, a SFP+, a XENPAK, a X2, a XFP and/or a XPAK. Low power idle mode and/or sub-rate mode may be utilized.05-06-2010
20100115317GENERATION OF AN IDLE MODE SIGNAL FOR AN ELECTRICAL CONTROL DEVICE - A method for generating a low-voltage power supply for an electrical control device, the method includes switching a first power supply unit to one of an active mode and an idle mode by inputting an activation/deactivation input to the first power supply unit, wherein the activation/deactivation input is input by one of connecting a low-voltage switching signal to the first power supply unit and disconnecting the low-voltage switching signal from the first power supply unit, wherein the low-voltage switching signal is generated at an output end by an additional power supply unit, at least in the idle mode of the first power supply unit, and wherein the low-voltage signal is one of connected and disconnected from the activation/deactivation input of the power supply unit using a low-voltage switch. The invention further relates to a low-voltage power supply circuit of an electrical control device for carrying out such a method.05-06-2010
20100115318DATA PROCESSING DEVICE AND POWER CONTROL METHOD - A data processing device comprising: a receiving unit operable to receive a control signal from a display device externally connected; a signal processing unit for operable to generate video data and/or audio data; a drive unit operable to store the data generated by the signal processing unit to a recording medium; a signal output unit operable to output a video signal and/or audio signal; and a control unit operable to control operation of the signal processing unit, the drive unit, and the signal output unit; the data processing device further comprising: a power control unit operable to control electric power supplied to the signal processing unit, the drive unit, the signal output unit, and the control unit, wherein the power control unit can control supplying of the electric power in three power states including at least a power-off state, a recording standby state, a power-on state, and wherein the power control unit stops the supplying of the electric power to at least the drive unit in the power-off state, supplies the electric power to at least the drive unit and the control unit in the recording standby state, and supplies the electric power to the signal processing unit, the drive unit, the signal output unit, and the control unit in the power-on state, and wherein the signal output unit stops outputting of the video signal and/or audio signal in the power-off state and the recording standby state, and outputs the video signal and/or audio signal in the power-on state, and wherein the power control unit switches the power state from the power-off state to the recording standby state when the receiving unit receives a signal indicating that the display device is turned on, in the power-off state, and wherein the power control unit switches the power state from the recording standby state to the power-on state when the receiving unit receives a signal instructing start of a recording operation or a power state confirmation signal inquiring the power state of the data processing device from the display device, in the recording standby state.05-06-2010
20100125744Method and system for providing presence service - A system is configured to provide a presence service with reduction of resource and energy waste. The presence service method includes receiving, at a presence server, a message requesting suspension of delivery of presence information of presentities subscribed by a watcher, the suspension request message being transmitted by the watcher of which a sleep mode condition is satisfied; receiving a changed part of the presence information from one of the presentities; retaining the changed part of the presence information; receiving a message requesting restart of the delivery of presence information from the watcher; and sending the changed part of the presence information to the watcher in response to the restart request message.05-20-2010
20100131788Motion Sensor Assisted Auto-Shutdown Mechanism in Portable Audio Systems - A mechanism for automatically controlling the power condition of a portable audio system. The mechanism of the illustrative embodiments determines whether a user is interacting with the portable audio player. If no user interaction with the portable audio player is detected, the mechanism of the illustrative embodiments determines whether the portable audio player is moving. If the portable audio player is not moving, an inactivity duration counter in the portable audio player is incremented to an incremented value. Responsive to a determination that the incremented value of the inactivity duration counter meets or exceeds a time duration threshold value, the mechanism of the illustrative embodiments change the power condition of the portable audio player by turning the portable audio player off.05-27-2010
20100131789INFORMATION PROCESSING APPARATUS AND POWER SUPPLY CONTROL METHOD - In standby mode, memory contents are saved to a hard disk. After AC power has been removed by disconnecting the AC plug, when the AC power is restored the data saved on the hard disk is automatically restored into memory to set the power-saving mode back to the standby mode. When the power is turned on next, quick resumption from standby mode can be accomplished.05-27-2010
20100146317Apparatus, System, and Method for Power Management Utilizing Multiple Processor Types - An apparatus, system, and method are disclosed for computer system power management. A control module 06-10-2010
20100153760Power Settings in Wireless Ultra-Wide band Universal Serial Bus - Various embodiments enable a host controller, through its Protocol Adaption Layer (PAL) driver, to efficiently manage power consumption by employing “sleep mode” and “active mode” power settings. In some embodiments, the PAL driver may employ sleep mode settings to transition the host controller from an idle state to an energy conserving sleep state. In further embodiments, the PAL driver may use active mode settings to govern communications between the host controller and various devices, such as WUSB devices and others, thereby conserving power.06-17-2010
20100153761MULTIPROCESSOR CONTROL UNIT, CONTROL METHOD PERFORMED BY THE SAME, AND INTEGRATED CIRCUIT - A multiprocessor control unit according to the present invention comprises acquisition means for acquiring first non processing time information on a first non processing time represented for each processor, wherein the first non processing time represents a time in which a first block is not executed in a first barrier establish time from barrier synchronization start until barrier synchronization establishment of the first program block, and acquiring second non processing time information on a second non processing time represented for each processor, wherein the second non processing time represents a time in which a second block is not processed in a second barrier establish time from barrier synchronization start until barrier synchronization establishment of the second program block; and power control means for controlling power supply to the plurality of processors while the first and second program blocks are consecutively executed in parallel, using the first and second non processing time information acquired by the acquisition means.06-17-2010
20100153762METHOD AND APPARATUS FOR ADAPTIVE BLUETOOTH LOW POWER DISCOVERY AND WAKE UP - A method of low power discovery (LPD) that extends the battery life of a portable Wi Fi device or portable media player (PMP) using IEEE 802.11 interface by ensuring that PMP host processor is only on when in designated 802.11 coverage or when needed by a user, by employing probabilistic channel scanning-comprising: 06-17-2010
20100162019POWER MANAGEMENT IN A DEVICE - Implementations of power management in a device are described. The device includes a power driver configured to manage power supply to one or more components in system-on-chip (SOC) hardware. The device further includes power subsystems configured as drivers for controlling the components of the SOC hardware and a user interface configured to receive a selected power mode as an input and identify profiles of one or more applications being executed in the device. Based on the selected power mode, the power driver directs the power subsystems to change a mode of operation of at least one component determined from the profiles of the one or more applications being executed.06-24-2010
20100169683Platform and processor power management - The present invention relates to platform power management.07-01-2010
20100169684DOWNSTREAM DEVICE SERVICE LATENCY REPORTING FOR POWER MANAGEMENT - For one disclosed embodiment, a transition from a first state to a second, different state for at least a portion of a downstream device may be identified. The first and second states may correspond to different levels relating to activity for at least a portion of the downstream device. Data corresponding to a service latency may be transmitted to an upstream device in response to the identified transition for one or more upstream devices to manage power based at least in part on the service latency. Other embodiments are also disclosed.07-01-2010
20100169685IDLE DURATION REPORTING FOR POWER MANAGEMENT - For one disclosed embodiment, data corresponding to an idle duration for one or more downstream devices may be received. Power may be managed based at least in part on the received data. Other embodiments are also disclosed.07-01-2010
20100169686NEAR FIELD COMMUNICATION HOST CONTROLLER INTERFACE - Provided is a communication interface between an NFCH and an NFC device and a method for controlling an NFC HCI. In the method, a command message is transmitted from the host to the device. The command message includes one of recording data, reading data of the device, requesting the device to transmit predetermined data, and setting the device. A response message informing a performance result of a relevant command is transmitted from the device to the host in response to the command message. After that, an event message is transmitted from the device to the host when needed.07-01-2010
20100180135Dynamic Power Management For Internal Information Handling System Links - In some embodiments, a method for automatically and dynamically controlling the power states of physical layer links (PHYs) in a modular information handling system is provided. A chassis manager automatically determines a status of at least one of the chassis manager and a managed chassis module of a modular information handling system. The chassis manager automatically identifies a PHY power down condition based at least on the determined status of at least one of the chassis manager and the managed chassis module, and in response to identifying the power down condition, the chassis manager powers down one or more management link PHYs associated with a management link between the chassis manager and the managed chassis module.07-15-2010
20100185886INFORMATION PROCESSING DEVICE - An information processing device of the present invention comprises a main CPU capable of taking at least two states which are an operating state and a sleeping state, a sub-CPU having power consumption lower than that of the main CPU and capable of taking at least two states which are an operating state and a sleeping state, and a process request determining section for determining which of the main CPU and the sub-CPU is caused to execute a process related to a request from a peripheral device. The process request determining section determines whether the main CPU is in the sleeping state or the operating state, and when the main CPU is in the sleeping state, determines whether or not the sub-CPU can be caused to execute the process, and when the main CPU is in the operating state, determines whether or not the main CPU can be caused to execute the process, and depending on a result of the determination, causes the main CPU or the sub-CPU to execute the process.07-22-2010
20100191994METHOD AND APPARATUS FOR CONTROLLING ENERGY CONSUMPTION DURING RESOURCE SHARING - An approach is provided for controlling energy consumption during resource sharing. One or more peer nodes determine scheduling information relating to when the peer nodes can utilize a predetermined level of communication capacity for sharing a computer resource (e.g., sharing content). The peer nodes transition between an active state for sharing the computer resource at the predetermined capacity and an inactive state to minimize energy use according to the scheduling information until the content transfer is complete.07-29-2010
20100191995In-Band Sleep Protocol for Embedded Bus - A sleep protocol is provided for controlling sleep mode in a device having a receive port and a transmit port. A location is established in a map of locations in the device as a sleep/wake control location. When the device receives a command to store a sleep value in the sleep/wake control location, this indicates there is no pending traffic for the receive port. When the device also determines that there is no pending traffic on the transmit port, then the device may enter a low power sleep mode. When the device receives a command to store a wake value in the sleep/wake control location to indicate pending traffic for the receive port, it awakens from sleep mode and responds to the wake command with a reply command to indicate the receive port is ready to receive the pending traffic.07-29-2010
20100191996SUPPLY-AND-DEMAND CONTROL SYSTEM OF DISTRIBUTED AND COORDINATED TYPE, FOR USE IN POWER SYSTEMS - According to one embodiment, each of the nodes incorporated in the respective electrical apparatuses that constitute a local power system establishes a communication path connected to any adjacent node, transmits and receive average supply-and-demand state data to and from the adjacent node through the communication path. Each node adjusts (increases or decreases) the power to generate or consume in it, on the basis of the average supply-and-demand state data. Each node performs a supply-and-demand control of distributed and coordinated type, in which the average supply-and-demand state value is corrected based on the value by which the power has been adjusted (increased or decreased) and the average supply-and-demand state value thus corrected is supplied to the adjacent node through the connection path.07-29-2010
20100191997PREDICT COMPUTING PLATFORM MEMORY POWER UTILIZATION - A method is to include implementing at least one statistical prediction model to predict memory power utilization and reduce power consumption for a computing platform. The implementation includes determining a configuration parameter for the computing platform, monitoring an operating parameter for the computing platform and predicting memory power utilization for the computing platform based on the determined configuration parameter and the monitored operating parameter. The method is to also include transitioning at least one memory module resident on the computing platform to one of a plurality of power states based at least in part on memory power utilization predicted via the implementation of the at least one statistical prediction model.07-29-2010
20100199115PORTABLE ELECTRONIC DEVICE AND POWER CONTROL METHOD THEREOF - A power control method for a portable electronic device. The portable electronic device comprises a power supply unit and a volatile memory for storing data when the power supply unit supplies power thereto. First, the portable electronic device is set to enter a deep sleep mode. Then, data accessed from the volatile memory is transferred to a non-volatile memory. Finally, except for maintaining sufficient power to restore the device, the power supply unit is turned off.08-05-2010
20100218021Notebook Computer - An exemplary notebook computer includes a smart battery, a number of status indicator lights, a function key and a control unit electrically coupled to the smart battery, the status indicator lights and the function key. In the condition that the notebook computer is situated either in a shutdown mode or in a power saving mode and the function key is pressed, the control unit reads the data of the remaining capacity of the smart battery through a bus between the smart battery and the control unit so as to calculate the remaining capacity of the smart battery. Thus, the control unit can control the status indicator lights to be light on/off to display the information of the remaining capacity of the smart battery.08-26-2010
20100218022Processor system and operation mode switching method for processor system - A processor system according to an exemplary aspect of the present invention includes a first processor, a second processor, a control unit, a signal line group, and a selection circuit. The control unit switches an operation mode between a lock step mode for the first and second processors to execute the same instruction stream and a free step mode for the first and second processors to execute different instruction streams. The signal line group includes at least one signal line disposed between a first memory circuit included in the first processor and a second memory circuit included in the second processor. The signal line group is capable of transferring a storage state of the first memory circuit to the second memory circuit. The selection circuit is capable of switching a connection destination of the second memory circuit between the second processor and the signal line group.08-26-2010
20100218023INFORMATION PROCESSING APPARATUS, METHOD OF CONTROLLING THE SAME, AND STORAGE MEDIUM - An information processing apparatus which is capable of quickly responding to a data access request from an external information processing apparatus without using an external storage device, while enabling appropriate control of the power supply to a circuit including a storage device. The storage device stores a plurality of data items. A power controller controls a state of the power supply to the storage device. A storage section stores identification information for identifying an external device permitted to access data in association with a data item stored in the storage device. The power controller causes electric power supplied to the storage device to be reduced when a CPU detects that the external device identified by identification information is not in a state capable of acquiring the data item stored in the storage device.08-26-2010
20100229013METHOD AND SYSTEM FOR IMPLEMENTING ENERGY EFFICIENT ETHERNET TECHNIQUES IN A MACSEC ENABLED PHY - Aspects of a method and system for implementing energy efficient Ethernet techniques in a MACSec enabled PHY are provided. In this regard, an Ethernet PHY comprising memory may be operable to perform packet processing functions comprising MACSec protocol processing and energy efficient Ethernet (EEE) processing. In this regard, the memory may be utilized for implementing the MACSec protocol processing and energy efficient Ethernet (EEE) processing. The Ethernet packet processing functions may comprise packet inspection, packet generation, and packet modification. The energy efficient Ethernet (EEE) processing may comprise generating and/or inspecting messages for controlling when to transition into and out-of an energy-saving mode. The Ethernet PHY may be operable to monitor signals and/or conditions within the Ethernet PHY and control transitions into and out-of an energy-saving mode based on the monitored signals and/or conditions. The energy saving mode may comprise a low power idle mode and/or a subset PHY mode.09-09-2010
20100229014MONITORING A COMPUTER - A computer implemented method of monitoring the operational state of a computer, comprises running on the monitored computer a monitoring program configured to monitor a set of parameters. The set of monitored parameters comprises for example the name(s) of any process(es) running on the computer, together with i) the values of a plurality of metrics indicating the level of activity of the computer, and/or ii) time. The monitored parameters are provided by the monitoring program to another, monitoring, computer; which runs a comparison program which compares the set of monitored parameters with a predetermined model which determines whether or not the monitored computer is in a predetermined operational state defined by the model, and produces an indication of whether or not the monitored computer complies with the model.09-09-2010
20100229015Method and Apparatus of Power Management - A power management system for home entertainment networks having three power states. The network controller is empowered to move nodes within the home entertainment network between the power states.09-09-2010
20100235663DATA INTERFACE POWER CONSUMPTION CONTROL - Apparatus and techniques relating to data interface power consumption control are disclosed. Components of a data transfer module may be selectively moved between their normal operating states and reduced power states at times when the data transfer module is not to be used for transferring data. Decisions as to particular components that are to be moved to their reduced power states may be based on respective timing characteristics of the components and/or respective power consumption characteristics of the components, for example. In some embodiments, an action may be performed to reduce a powering up time of the data transfer module when normal operation of the data transfer module is to resume. In the case of a multiple-connection interface having respective data transfer modules for each connection, the interface may be partially shut down by moving a subset of the data transfer modules into reduced power states.09-16-2010
20100235664ADAPTIVE POWER SAVING - A device may enter a first active state associated with a device, exit the first active state and entering a sleep state associated with the device when user input is not received within a inactivity period, receive a first user input at the device during the sleep state, measure an elapsed time between a start of the sleep state and the first user input, and increase the inactivity period of the device when the elapsed time is less than a particular threshold.09-16-2010
20100235665System and Method for Enabling Fallback States for Energy Efficient Ethernet - A system and method for enabling fallback states for energy efficient Ethernet (EEE). EEE devices can be designed to support multiple power saving states that impact layers higher than the PHY layer. Typically, these higher levels of power savings would require a greater period of time to accommodate a return to an active state. In a dynamic negotiation process, the receiving device can advertise multiple fallback power saving states to the transmitting device. The transmitting device's allocation of buffering can then determine which of the power saving states would be supported.09-16-2010
20100235666METHOD FOR DETERMINING SWITCHING OF SLEEP MODE, COMPUTER PROGRAM PRODUCT FOR PERFORMING THE METHOD, AND RECORDING MEDIUM FOR THE COMPUTER PROGRAM PRODUCT - A method for determining switching of the sleep mode for a device is provided. The device and a base station have several connections therebetween. In the determining method, one of the connections is first provided and it is determined whether the connection is realtime or non-realtime. It is then determined whether or not the realtime and non-realtime connections satisfy the condition for entering the sleep mode according to a first condition and a second condition, respectively. If the connection does not satisfy the condition for entering the sleep mode, the device enters the normal mode. If the connection satisfies the condition for entering the sleep mode, then the foregoing steps are repeated till the connections have all been checked. If all of the connections satisfy the condition for entering the sleep mode, the device enters the sleep mode.09-16-2010
20100235667MOTION SENSOR DATA PROCESSING USING VARIOUS POWER MANAGEMENT MODES - Systems and methods for processing motion sensor data using various power management modes of an electronic device are provided. Power may be provided to a motion sensor during a first power mode of the device. In response to the motion sensor detecting a motion event with a magnitude exceeding a threshold, the sensor may transmit a wake up signal to a power management unit of the device. In response to receiving the wake up signal, the power management unit may switch the device to a second power mode. The device may provide power to a processor and load the processor with a motion sensing application when switching to the second power mode. During the second power mode, motion sensor data may be processed to determine that the motion event is not associated with an intentional user input and the device may return to the first power mode.09-16-2010
20100235668METHOD AND APPARATUS FOR IMPLEMENTING A SLEEP PROXY FOR SERVICES ON A NETWORK - One embodiment of the present invention provides a system for implementing a sleep proxy. The system starts by receiving a request at the sleep proxy for information pertaining to a service provided by a device. In response to this request, the system determines if the device is a member of a list of devices for which the sleep proxy takes action. If so, the system determines if the sleep proxy can answer the request. If so, the sleep proxy sends a response to the request on behalf of the device. In a variation on this embodiment, if the system cannot answer the request on behalf of the device, the system sends a wakeup packet to the device, wherein the wakeup packet causes the device to exit a power-saving mode so that the device can respond to the request directly.09-16-2010
20100241886METHOD AND SYSTEM OF HALTING CONTENT DELIVERY BASED ON NON-DETECTION OF USER INPUT - An approach is provided for determining if video content provided to a device is still being viewed, without disrupting the presentation of the content. A device is monitored for input from the user, wherein the device is configured to present content to the user. A determination is made whether the user input is within a predetermined time period. A control signal is generated to change the presentation of the content without explicitly notifying the user of the change, wherein the presentation of content will cease if the user input is not within the predetermined period.09-23-2010
20100241887Touch display system and control method thereof - A control method is applied to a touch display system. The touch display system includes a display module, a touch module, and a programmable circuit. The programmable circuit is electrically connected between the touch module and the display module for executing the control method. The method includes: the touch module providing a first sense area; detecting whether the first sense area being touched or not; the display module displaying an boot image when the first sense area being touched; providing a second sense area after the boot image being displayed; detecting whether the second sense area being touched or not; and the display module displaying an on-screen display menu when the second sense area being touched, wherein the on-screen display menu includes a power item and a plurality of function items.09-23-2010
20100250985BODY HEAT SENSING CONTROL APPARATUS AND METHOD - Embodiments of the disclosed invention include a body heat sensing control apparatus and method for automating features of an electronic device based on detection of a user's body heat. For example, in one embodiment, a data processing system is disclosed having a heat sensing mechanism for detecting the body heat of a user. In addition, the data processing system includes a data storage component for storing computer executable instructions and a processing unit for executing the computer executable instructions for enabling a user to configure one or more functions associated with the data processing system that are triggered in response to detecting the presence or absence of the user within the proximity of the data processing system using the heat sensing mechanism.09-30-2010
20100250986Method and Device for Improving Battery Life of a Mobile Computing Device09-30-2010
20100250987Processing Device - A processing device may comprise a first controlling unit, a second controlling unit, a first memory and a second memory. The second memory may be set to a normal operation mode in a case where the first controlling unit is in the non-sleeping state, and may be set to a low power consumption mode in a case where the first controlling unit is in the sleeping state. In the case where the first controlling unit is in the sleeping state, the second controlling unit may be configured to perform one of the below processes depending on its condition. If the second controlling unit is to perform a specific process by using a first program, the second controlling unit may perform the specific process on behalf of the first controlling unit by using the first program stored in the first memory while the first controlling unit is maintained in the sleeping state and the second memory is maintained in the low power consumption mode. If the second controlling unit is to perform the specific process by using a second program, the second controlling unit may change a mode of the second memory from the low power consumption mode to the normal operation mode, and perform the specific process on behalf of the first controlling unit by using the second program stored in the second memory, while the first controlling unit is maintained in the sleeping state.09-30-2010
20100250988VIDEO DISPLAY SYSTEM, DISPLAY DEVICE, PLUG-IN MODULE AND POWER CONTROL METHOD OF PLUG-IN MODULE - It provides a video display system capable of effective control of power consumption, safe power-off operation, and resetting from a hang-up state by properly setting power supply to a plug-in module in accordance with the operational request and operational status of a digital broadcast receiving device and the plug-in module, a display device, a plug-in module, and a plug-in module power control method. Each of the digital broadcast receiving device and plug-in module includes an authentication part for equipment authentication thereof, CEC communication part for control signal communication, and a video audio transmitter receiver. The digital broadcast receiving device serves for switching the module power supply part for power supply to the plug-in module from standby power to main power in accordance with the control signal after equipment authentication is obtained for the plug-in module.09-30-2010
20100250989METHOD AND APPARATUS FOR A COMPUTING SYSTEM HAVING AN ACTIVE SLEEP MODE CPU THAT USES THE CACHE OF A NORMAL ACTIVE MODE CPU - A method is described that involves storing active sleep mode software instructions to be executed by a low end central processing unit into an on chip cache that caches normal active mode software instructions executed by a high end central processing unit. The active sleep mode software instructions are to be executed by the low end central processing unit during an active sleep mode. The normal active mode software instructions are executed by the high end central processing unit during a normal active mode. The active sleep mode consumes less power than the normal active mode.09-30-2010
20100262852AUTOMATIC SUSPENDING APPARATUS FOR COMPUTER - An automatic suspending apparatus is electrically connected to a computer, to allow the computer to enter into a sleep state. The suspending apparatus includes an image identifying module and a control module. The image identifying module includes an image capturing device to get image information in front of the computer, and an image identifying unit to determine whether body information of a user of the computer exists in the image information. The control module includes a timing module to record time and output an enable signal, and a signal simulation module to output a sleep signal to the computer for controlling the computer to enter into the sleep state.10-14-2010
20100262853INFORMATION PROCESSING APPARATUS, METHOD FOR CONTROLLING INFORMATION PROCESSING APPARATUS, AND RECORDING MEDIUM - An information processing apparatus that shortens the waiting time that a user feels when restoration from a hibernation state is performed, a method for controlling the same, and a recording medium are provided. To accomplish this, when restoration from a power saving state (hibernation state) is performed, the information processing apparatus of the present invention restores only an operating system (OS) to a state of an execution in a main storage memory (RAM), and thereafter restores processes in the OS to a state of an execution. Further, the OS sequentially transfers images of the processes to the RAM from a non-volatile storage apparatus, and resumes execution of the processes from a process for which transfer is complete.10-14-2010
20100262854MANAGEMENT OF POWER STATES IN A PORTABLE COMPUTING DEVICE - Embodiments of the present invention provide a method and apparatus for managing power states in a personal computing device, while maintaining a perception by the user of “instant on” functionality. In various embodiments of the invention, the power states are presented to the user as a simple on/off option and the power management protocol is not visible within the user interface of the personal computing device thereby providing the user with the impression that the system is operating with a simple binary on/off protocol. In one embodiment of the invention, the personal computing device is operable to transition between a set of power states that include: 1) an “on” power state wherein the display is on and the customer can use all input devices; 2) a “ready” state wherein the display is turned off, but some modules, such as a radio module, remain on; 3) an “off” state wherein the personal computing device turns off after a slight pause, but a “booted kernel” is held in SDRAM; and 4) a “dead” state wherein none of the modules of the personal computing device are powered and the device must be cold booted to restart.10-14-2010
20100268973INTERFACE CARD, NETWORK DEVICE HAVING THE SAME AND CONTROL METHOD THEREOF - An interface card is capable of communicating with an external device and includes a power supplier; a non-volatile memory which stores executable instructions to operate in an active-mode and a sleep-mode; a small-capacity volatile memory which is supplied with power in the sleep mode; a transmitter-receiver which transmits and receives packet data to/from the external device; and a controller which retrieves sleep-mode instructions stored in the non-volatile memory and loads the sleep mode instructions in the small-capacity volatile memory to transition the interface card into the sleep mode if the transmitter-receiver does not receive the packet data for predetermined time period in an active mode. The interface card processes certain packet data in the sleep mode and transitions back into the active mode when sleep mode operations determine that the packet data cannot be processed in the sleep mode. The non-volatile memory, and other components of an external circuit, is powered down when the interface card is in the sleep mode.10-21-2010
20100275046INFORMATION PROCESSING APPARATUS AND POWER-SAVING CONTROL METHOD - According to one embodiment, a power management module in an information processing apparatus is configured to execute a process of setting a power-down signal to a peripheral device in an active state in response to a power-down signal generation command, a process of setting the information processing apparatus in a sleep state in response to a sleep state transition request signal, a process of restoring the information processing apparatus to a working state in response to generation of a predetermined wakeup event, and a process of setting the power-down signal in an inactive state before a predetermined time has passed since the restoration of the information processing apparatus to the working state.10-28-2010
20100275047INFORMATION PROCESSING APPARATUS AND POWER-SAVING EFFECT DISPLAY METHOD - According to one embodiment, an information processing apparatus having a power-saving function includes a power-saving control module, a power consumption measuring module, a log accumulation module, and a log display module. The power-saving control module sets each of predetermined components in the information processing apparatus in either a normal operation state or a power-saving state, based on power-saving parameters corresponding to the predetermined components. The power consumption measuring module measures a total power amount consumed by the apparatus in a predetermined time period. The power-saving effect calculation module calculates a power-saving effect value indicative of a power amount reduced in the predetermined time period by the setting of the power-saving parameters. The log storing module stores information indicative of the total power amount and the power-saving effect value. The log display module displays cumulative values which are periodically accumulated the total power amounts and the power-saving effect values.10-28-2010
20100275048SEMICONDUCTOR INTEGRATED CIRCUIT - A semiconductor integrated circuit has an internal circuit to which operation power is supplied or interrupted, and a power supply control circuit for controlling the supply and interruption of operation power to the internal circuit in accordance with an operation mode. The power supply control circuit has a storage circuit and a power supply control sequence circuit. The storage circuit inputs and holds switching instruction data for instructing switching between supply and interruption of the operation power and low-power-consumption-mode data determining an operation mode of the interruption of operation power and cancellation of the interruption. The power supply control sequence circuit performs a control of transition between the interruption and supply of the operation power with reference to the switching instruction data and the low-power-consumption-mode data held in the storage circuit and, at the time of cancelling a state of interrupting the supply of operation power in response to the switching instruction data in the operation power supply interruption state according to the low-power-consumption-mode data, stops newly referring to the low-power-consumption mode data until an operation of the internal circuit to which the operation power is to be supplied is assured.10-28-2010
20100281281Server with Multiple Power Supplies - One exemplary embodiment is a server that includes multiple power supplies. When the server is in a standby state, one power supply is in a standby state and another power supply is in a deep sleep state.11-04-2010
20100281282LOOK-AHEAD PROCESSOR FOR SIGNALING SUITABLE PERFORMANCE STATE FOR MAIN PROCESSOR - A look-ahead processor identifies instructions that are at least likely to be executed by a main processor. The look-ahead processor determines a power state for the main processor that is suitable for executing the instructions. The look-ahead processor signals the main processor to enter the suitable performance state.11-04-2010
20100281283PRIMARY SIDE CONTROL CIRCUIT AND METHOD FOR ULTRA-LOW IDLE POWER OPERATION - A method and circuit for reducing power consumption during idle mode to ultra-low levels, such as about 1/1011-04-2010
20100287394NORTH-BRIDGE TO SOUTH-BRIDGE PROTOCOL FOR PLACING PROCESSOR IN LOW POWER STATE - A processor integrated circuit has one or more processor cores and a power management controller in a North-Bridge that generates a first power state recommendation for the one or more processor cores. The North-Bridge also receives a second power state recommendation from a South-Bridge integrated circuit. The North-Bridge determines a final power state for the one or more processor cores based on the first and second power state recommendations.11-11-2010
20100287395COMPUTER SYSTEM FOR PROCESSING DATA IN NON-OPERATIONAL STATE AND PROCESSING METHOD THEREOF - A computer system for processing data in a non-operational state and processing method thereof are provided. The computer system includes a data output unit, a data source, a data processing module and a state monitor unit. The data processing module accesses and processes data from the data source, and transmits the processed data to the data output unit. The state monitor unit monitors a power supply state of the computer system to generate a state switch signal, which indicates whether the computer system is in an operational state or a non-operational state. When the state switch signal indicates that the computer system is in a non-operational state, the data source and the data processing module receives operating voltages to access and process data.11-11-2010
20100287396DATA PROCESSOR PERFORMANCE PREDICTION - A method of processing data using a data processor having an operating system for performing tasks of an application programme, and a power and performance controller controlling parameters and modes of execution of the tasks by the data processor. The power and performance controller includes a performance predictor producing an estimation of required performance of the data processor for the tasks taking account of inactive periods of the tasks and adjusting the performance and power consumption of the data processor in response to the estimation. The performance predictor distinguishes for each of the tasks between: —available inactive periods of the task during which the operating system is available to continue to process the same task, and —unavailable inactive periods of the task during which the operating system is not available to continue to process the same task. A substantial improvement is obtained in quality of service, with fewer missed deadlines in performance of the tasks.11-11-2010
20100293403Data processing apparatus, image processing apparatus, and method of processing data - A data processing apparatus is switchable between a power saving mode and a normal operating mode. A transition triggering event determining section determines an event (e.g., detection of a document or operation of a power saving key) that causes the data processing apparatus to shift from the power saving mode to the normal operating mode, and a transition triggering event holding section stores the event. Then, a mode switching section causes the data processing apparatus to shift from the power saving mode to the normal operating mode in accordance with the event. An execution priority determining section determines based on the event, an execution priority level and/or an order of precedence in which a plurality of programs are executed during the normal operating mode. A program controlling section executes the plurality of programs in accordance with the execution priority level and/or an order of precedence.11-18-2010
20100299546Method for Operating a Control Computer - A method for operating a control computer connected to a system to be controlled. The system is connected to a power supply line by a first switch, with the control computer being shut down by execution of a control command to enter an idle state. An uncoordinated switching of the control computer and the system is thereby avoided and operational reliability is increased.11-25-2010
20100306562CIRCUIT FOR FACILITATING COMPUTER SYSTEM WAKING UP FROM SLEEP STATE - A circuit for a computer system, includes a pulse width module (PWM) module and a control circuit. The PWM module is capable of converting a first voltage to a second voltage. The first voltage is capable of decreasing slower than the second voltage to have the PWM module entering in an unwanted state when the computer system is changed from a first state to a second state. The PWM module includes a disabling pin capable of locking the PWM module when a voltage of the disabling pin is low. The control circuit includes a control pin connected to the disabling pin, a ground pin connected to ground, and a monitoring pin capable of monitoring the computer system being changed from a first state to a second state to control the control pin and the ground pin to pull the disabling pin low to lock the PWM module to prevent the PWM module from entering in the unwanted state.12-02-2010
20100306563COMPUTER SYSTEM FOR SAVING POWER CONSUMPTION OF A STAND-BY/POWER-OFF STATE AND METHOD THEREOF - A computer system consists of a plurality of electronic elements and a switch control circuit. The switch control circuit controls the computer system to enter a stand-by/power off state from a normal state when the computer system receives a stand-by/power off command under the normal state, and stops outputting a stand-by power having at least one stand-by voltage level to at least one part of electronic elements among the plurality of electronic elements. At this time, the computer system has entered a simulated mechanical off state from the stand-by/power off state. A number of electronic elements supplied by the stand-by power when the computer system lies under the simulated mechanical off state is smaller than a number of electronic elements supplied by the stand-by power when the computer system lies under the stand-by/power off state.12-02-2010
20100306564INFORMATION PROCESSING APPARATUS AND CONTROL METHOD OF INFORMATION PROCESSING APPARATUS - According to one embodiment, an information processing apparatus from which a display module is detachable, the apparatus includes a processor configured to executes arithmetic processing, a controller configured to communicate with an external device, a detector configured to detect an attachment and a detachment of the display module, a determination module configured to determine whether the apparatus is permitted to be switched to a sleep state when the detector detects the detachment, a switching module configured to switch the apparatus to the sleep state when the determination module determines that the apparatus is permitted to be switched to the sleep state, and a lock module configured to inhibit a communication between the external device and the controller and to invalidate an input of data from an input device to the processor when the determination module determines that the apparatus is not permitted to be switched to the sleep state.12-02-2010
20100313051POWER LINE COMMUNICATION APPARATUS AND METHOD FOR CONTROLLING THE SAME - The embodiments of the present disclosure provide a PLC apparatus and a method for controlling the PLC apparatus. The method includes: acquiring a working state and a network state of the PLC apparatus; and switching the working state of the PLC apparatus in accordance with the working state and the network state of the PLC apparatus. The PLC apparatus includes: a working state acquiring unit adapted to acquire a working state of the PLC apparatus; a network state acquiring unit adapted to acquire a network state of the PLC apparatus; a switching unit adapted to switch the working state of the PLC apparatus in accordance with the network state of the PLC apparatus acquired by the network state acquiring unit and the working state of the PLC apparatus acquired by the working state acquiring unit. The embodiments of the disclosure are used in the design of controlling the PLC apparatus.12-09-2010
20100318824STORAGE DEVICE WITH ADVANCED POWER MANAGEMENT - In a particular embodiment, a data storage device includes a controller. The controller includes device performance management logic to retrieve an advanced power management (APM) setting from an APM register of a host system. The APM register includes a plurality of register settings adapted to represent a respective plurality of APM settings. The controller further includes policy selection logic to select at least one storage device (SD) policy from a plurality of SD policies based on the determined APM setting and configuration logic to apply the selected at least one SD policy to adjust at least one of a performance characteristic and a power characteristic associated with first and second data storage media.12-16-2010
20100318825Power Conservation During A Power Mode Transition - An apparatus and associated method to begin performing a power reduction enablement sequence based on a first predetermined value of elapsed time after an execution of a data access command is completed. The power reduction enablement sequence is performed at an adaptively selected rate that is related to a second predetermined value of elapsed time after the execution that calls for a switch to a reduced power mode for the apparatus.12-16-2010
20100318826Changing Power States Of Data-Handling Devices To Meet Redundancy Criterion - A computer system provides for changing the power states of data-handling devices in response to a detection of a change in the redundancy associated with energy-transfer devices.12-16-2010
20100325457Quiescent State Retention Mode for Processor - A quiescent state retention mode (QSRM) permits minimal power consumption and dissipation by an electronic device while idle without producing adverse latencies to users or causing system instability. Upon a call to enter the QSRM, processes may be frozen, clocks may be gated, switching regulators may be placed in low power mode, SDRAM may be placed into self-refresh mode, caches may be flushed, IRQs may be disabled, and the system waits for interrupt to wakeup. In the QSRM, powered components include the switching regulator configured to provide power to the processor is maintained in a low power mode while the SDRAM is placed in self-refresh.12-23-2010
20100325458ELECTRIC DEVICE - An electric device is capable of operating in a normal operation mode and a power save operation mode. The electric device includes a first processor for processing information input externally in the normal operation mode, and a second processor for processing an internal operation of the electric device in the normal operation mode. The second processor consumes power smaller than that of the first processor. In the electric device, power of the first processor is restricted through a restriction process in the power save operation mode. Further, in the power save operation mode, the second processor restricts the internal operation and processes the information input externally. When the second processor detects the information input externally, power of the first processor is released through a restriction releasing process.12-23-2010
20100325459PEER-TO-PEER GROUP OWNER ENHANCED POWER MANAGEMENT - A device includes a peer-to-peer group owner processor. The device also includes a memory coupled to the peer-to-peer group owner processor. At least one client associated with the device is a legacy client, and the peer-to-peer group owner processor enters a listening state after a dozing state. The device consumes less power in the listening state than in an awake state.12-23-2010
20100325460HYBRID OPTIMIZED PERSONAL COMPUTER - An Optimized Personal Computer (OPC) system may be a multi-functional processing unit with ultra-low power consumption and may consist of a single chip having a plurality of processors thereon. Each processor may be specialized for tasks including computing, graphic processing and audio processing. The OPC may be connected to a mother board, a memory unit and an I/O interface. The OPC may be connected to a primary PC (either in an expansion slot or in a drive bay) via a USB connection, for example, and be configured to run continuously and take over certain tasks from the primary PC as needed while the primary PC hibernates. The OPC may also be embedded in a monitor or other peripheral devices.12-23-2010
20100332876REDUCING POWER CONSUMPTION OF COMPUTING DEVICES BY FORECASTING COMPUTING PERFORMANCE NEEDS - Techniques and systems are provided that work to minimize the energy usage of computing devices by building and using models that predict the future work required of one or more components of a computing system, based on observations, and using such forecasts in a decision analysis that weighs the costs and benefits of transitioning components to a lower power and performance state. Predictive models can be generated by machine learning methods from libraries of data collected about the future performance requirements on components, given current and recent observations. The models may be used to predict in an ongoing manner the future performance requirements of a computing device from cues. In various aspects, models that predict performance requirements that take into consideration the latency preferences and tolerances of users are used in cost-benefit analyses that guide powering decisions.12-30-2010
20100332877METHOD AND APPARATUS FOR REDUCING POWER CONSUMPTION - A system, apparatus, method and article to reduce power consumption are described. The method may include receiving a power management request for a reduced power consumption state from each of a plurality of processors. A power management request for the reduced power consumption state may be sent to a controller to cache data. Each of the plurality of processors may be instructed to enter the reduced power consumption state. An interrupt may be received to return to an active power consumption state. A power management request may be sent to the controller to flush cached data into a memory. Each of the plurality of processors may be instructed to enter the active power consumption state. Other embodiments are described and claimed.12-30-2010
20100332878INFORMATION PROCESSING APPARATUS, CONTROL METHOD OF INFORMATION PROCESSING APPARATUS, AND PROGRAM - In an information processing apparatus which is connected to a Web server and equipped with a Web browser for displaying an operation screen provided by the Web server and a processing unit for processing image data, an access from the Web browser to the Web server is detected, and, in a case where the Web browser accesses to the Web server, the processing unit is activated according to a content of the detected access.12-30-2010
20100332879IMAGE FORMING APPARATUS - An image forming apparatus connected to a plurality of computer apparatuses via a network including; a detecting section detecting the state of at least one prescribed computer apparatus out of the plurality of the computer apparatuses; and a power supply control section controlling a power mode based on the state of the prescribed computer apparatus detected by the detecting section.12-30-2010
20100332880Mobile Electronic Device - An object of the invention is, in a mobile electronic device having a sleep mode for power saving, to make it easy to measure a current in a sleep period without requiring a measurement device with high-resolution precision. On the basis of a reference capacity table and a sleep period current value measured by a measurement device connected from the outside which are stored in a storage unit (12-30-2010
20100332881Gateway card, gateway device, method of controlling gateway card, and computer product - A gateway card is connected to an information processor, and receives and transmits data between different networks. The information processor has a normal power mode and a power saving mode. A switching unit connects a memory with the information processor if the information processor is in the normal power mode, or to the gateway card if the normal power mode of the information processor is changed to the power saving mode.12-30-2010
20110004776ELECTRIC DEVICE, AND METHOD AND COMPUTER PROGRAM PRODUCT FOR CONTROLLING POWER SUPPLY IN ELECTRIC DEVICE - An electric device includes at least one or more processing units that perform a predetermined process; a power-supply control unit that controls supply of electric power from a power source to the processing units and shutoff of the supply; a main control unit that performs a start-up process if the main control unit is supplied with power from the power source; and a sub control unit that controls the power-supply control unit so as not to supply the electric power to all or some of the processing units after the start-up process.01-06-2011
20110004777DISPLAY CONTROL CIRCUIT AND DISPLAY CONTROL METHOD - A display control circuit in accordance with an exemplary aspect of the present invention is including a display memory that stores display data to be displayed on a display device, the display memory being supplied with electric power through a power-supply terminal, a power-supply unit that connects the power-supply terminal to a power-supply or a ground according to a request, and a control unit that requests the power-supply unit to connect the power-supply terminal to a ground when the display memory enters a standby mode in which no displaying is performed on the display device, and requests the power-supply unit to connect the power-supply terminal to a power supply when a predetermined time has elapsed after the request even if the display memory is in the standby mode.01-06-2011
20110010571PRINTER TIME-OUT - A system and a method of providing a time-out for a device, such as a printer are provided. The time-out determines when the device is shifted from a higher energy to a lower energy mode, absent the arrival of another job to be processed by the device. The method includes acquiring data comprising a set of inter-arrival times for at least one device over a period of time, such as a week and, for each of a set of candidate time-outs, deriving a probability from the data that an inter-arrival time from the set of inter-arrival times is greater than the candidate time-out. A cost function is computed, based on the derived probability and a robustness term which allows adversarial action not predicted by the histogram to be taken into account. A time-out for the at least one device can then be identified for which the cost function is a minimum.01-13-2011
20110010572NOTEBOOK COMPUTER AND POWER-SAVING METHOD THEREOF - The infrared sensor detects whether presence of a human body within a predetermined range of a front of the notebook computer, and outputs a level signal according to the detecting result. The south bridge chip receives the level signal from the infrared sensor and outputs the level signal. The basic input/output system (BIOS) chip storages a sleep signal and a wake-up signal, and reads the level signal from the south bridge chip and selectively outputs the sleep signal or the wake-up signal according to the level signal. The memory receives the sleep signal or the wake-up signal from the BIOS chip and stores the sleep signal or the wake-up signal. The center processing unit (CPU) reads the sleep signal or the wake-up signal from the memory and controls the notebook computer to enter into a sleep state or a wake-up state.01-13-2011
20110010573ELECTRONIC DEVICE WITH SERIAL ATA INTERFACE AND POWER SAVING METHOD FOR SERIAL ATA BUSES - In an electronic device with a serial ATA interface, upon detection of the issue or reception of a preset command, a confirmation device, such as a CPU, confirms the completion of execution of the command. Upon confirming the completion of execution of the command, a controller, which may also be the CPU, controls shifting of the serial ATA interface to a power saving mode.01-13-2011
20110016338Storage of system configuration data on a processor - A processor is disclosed having a plurality of general purpose registers for storing data for processing by the processor; a set of system configuration registers for storing data indicative of a current configuration of the processor; the system configuration registers being located together in a register file; and at least some of the set of system configuration registers having a shadow register for storing a duplicate value remote from the register file, the shadow register being located close to a component that the shadow register stores a configuration value for.01-20-2011
20110016339Dynamic Selection of Server States - Techniques for dynamically selecting a server state for one or more servers in a cluster of servers are provided. The techniques include tracking each active and sleep state of each server in a cluster of servers, and selecting a server state for one or more servers in the cluster of servers to meet one or more workload level requirements of the cluster of servers, wherein selecting a server state for one or more servers comprises scheduling a transition between one or more active and sleep states for the one or more servers, wherein scheduling the transition comprises using power consumption information for each state and transition time information for each transition.01-20-2011
20110022867APPARATUS AND METHOD FOR REDUCING THE STANDBY POWER CONSUMPTION OF A DISPLAY, AND DISPLAY WITH LOW STANDBY POWER CONSUMPTION - A display includes a power supply system using a power controller to switch a power switch to control power delivery, and an image scalar receiving a supply voltage and a supply current from the power supply system. An apparatus and method are proposed to determine a control signal in a standby mode by monitoring the supply voltage or the supply current, to wake up or turn off the power controller to reduce the switching times of the power switch in the standby mode, thereby reducing the switching loss of the power switch and the standby power consumption of the display.01-27-2011
20110022868Systems and Methods for Managing Power Consumption and Performance of a Processor - Processor-management techniques that purposely alternate a processor between an operating state and a non-operating state while the processor is executing the workload. The techniques leverage the “ultra-low-power” non-operating states of many processors to provide predictable power and/or frequency control of the processor. These techniques can provide better performance than known clock-throttling and dynamic voltage and frequency scaling schemes for controlling processors.01-27-2011
20110029796System and Method for Adjusting an Energy Efficient Ethernet Control Policy Using Measured Power Savings - A system and method for adjusting an energy efficient Ethernet (EEE) control policy using measured power savings. An EEE-enabled device can be designed to report EEE event data. This reported EEE event data can be used to quantify the actual EEE benefits of the EEE-enabled device, debug the EEE-enabled device, and adjust the EEE control policy.02-03-2011
20110035612DISTRIBUTED COMPUTING - On a typical motherboard the processor and memory are separated by a printed circuit data bus that traverses the motherboard. Throughput, or data transfer rate, on the data bus is much lower than the rate at which a modern processor can operate. The difference between the data bus throughput and the processor speed significantly limits the effective processing speed of the computer when the processor is required to process large amounts of data stored in the memory. The processor is forced to wait for data to be transferred to or from the memory, leaving the processor under-utilized. The delays are compounded in a distributed computing system including a number of computers operating in parallel. The present disclosure describes systems, method and apparatus that tend to alleviate delays so that memory access bottlenecks are not compounded within distributed computing systems.02-10-2011
20110035613MICROPROCESSOR HAVING A LOW-POWER MODE AND A NON-LOW POWER MODE, DATA PROCESSING SYSTEM AND COMPUTER PROGRAM PRODUCT - A microprocessor has a low-power mode and a non-low power mode. The microprocessor includes a processor core for executing instructions provided to the microprocessor and a clock providing a clock signal, which in the non-low power mode has a first frequency and in the low power mode has a second frequency lower than the first frequency. A hardware timer is present, for scheduling an execution of an event by the microprocessor at a future point in time. The hardware timer is connected to the clock for determining a period of time between a current point in time and a point in time the event based on a number of clock cycles of the clock signal. A timer controller can determine, when the data processing system switches from the low power mode to the non-low power mode, a number of clock cycles of a clock signal with the first frequency that corresponds to a low-power mode period during which the microprocessor has been in the low power mode and adjusting the hardware timer based on the determined number.02-10-2011
20110055606COMPUTER SYSTEM, INTEGRATED CHIP, SUPER IO MODULE AND CONTROL METHOD OF THE COMPUTER SYSTEM - A computer system including a wireless module and a super IO module is provided. The wireless module communicates with a mobile device to detect whether the mobile device is within a predetermined distance to the computer system. The super IO module electrically coupled to the wireless module manages the status of the computer system based on the distance of the mobile device. If the mobile device moves away from the range of the predetermined distance, the super IO module sends a first management signal to switch the computer system to an inactive state. Conversely, if the mobile device moves into the range of the predetermined distance while the computer system is in an inactive status, the super IO module sends a second management signal to switch the computer system back to a normal operation status or power up the computer system.03-03-2011
20110055607METHODS FOR MANAGING PROCESS ACTIVITY DATA USING A SYSTEM-WIDE DAEMON - Techniques for managing process activity statistics using a system-wide daemon are described herein. A power management daemon retrieves process activity status data from a system monitoring file stored within a data processing system, in response to a request received from a session client via an application programming interface (API). The power management daemon is a single system-wide component within the data processing system to provide the process activity status data to a plurality of session clients. The retrieved process activity status data is returned to the client via the API, where the system monitoring file requires a predetermined accessing privilege for accessing. Thus, through the power management daemon, the session client does not have to satisfy the predetermined accessing privilege in order to access the process activity status data stored in the system monitoring file.03-03-2011
20110055608NOTEBOOK COMPUTER WITH SLEEP MODE FUNCTION - An exemplary embodiment of notebook computer includes a main body, a display, and a proximity sensor. The display is pivotably connected to the main body. The proximity sensor is installed in the main body and includes an emitter and a receiver. The emitter is configured for emitting an emission. The receiver is configure for receiving the emission, determining if an included angle between the main body and the display is in a predetermined range based upon the received emission, and, if yes, generating a signal indicative of placing the notebook computer in the sleep mode.03-03-2011
20110055609Controlling the Power State of a Computer - A computer implemented method of controlling a computer comprises periodically determining the total value of at least one activity metric of the controlled computer. The contribution(s) to the said total value(s) of one or more predetermined activities are determined. In one embodiment, the said contribution(s) are subtracted from the said total value(s) to provide respective net value(s). The net values are compared with respective preset values and the power state of the computer is controlled in dependence on the comparison. The one or more predetermined activities may be identified using a predetermined data set. In another embodiment the net value of at least one activity metric of the monitored computer is a net value excluding contributions to the said value(s) from the said one or more predetermined activities identified from the said data set.03-03-2011
20110060928Method and Apparatus for Disabling a Device - A method of operating a device is provided. The method includes transitioning the GPU to a substantially disabled state in response to a first received signal, and generating, while the GPU is in the substantially disabled state, a response signal in response to a second received signal. The response signal is substantially similar to a second response signal that would be generated by the GPU in a powered state in response to the second received signal.03-10-2011
20110060929IMAGE FORMING APPARATUS, SYSTEM ON CHIP UNIT AND DRIVING METHOD THEREOF - An image forming apparatus which is connected to a host apparatus, the image forming apparatus including a first memory, a second memory, a universal serial bus (USB) interface to receive a USB control signal or a USB data signal from the host apparatus, and a central processing unit (CPU) operating in a normal mode using the first memory or a power saving mode using the second memory. If the USB data signal is input in the power saving mode, the CPU activates the first memory and converts the power saving mode into the normal mode, and, if the USB control signal is input in the power saving mode, the CPU retains the power saving mode and performs an operation corresponding to the USB control signal using the second memory. Accordingly, the power saving mode is realized effectively.03-10-2011
20110066868Variably Delayed Wakeup Transition - A computing system includes a controller configured to undergo a variably delayed wakeup transition. The controller is configured to transition a processing module from an idle state to an active state in response to successive assertions of a wakeup interrupt command. The system includes a variable delay module configured to vary delay lengths between assertion and execution of each of the successive wakeup interrupt commands during the wakeup transition to substantially cause power supply components to vibrate in a non-periodic manner.03-17-2011
20110072286POWER CONTROLLED NETWORK DEVICES FOR SECURITY AND POWER CONSERVATION - The present invention provides method and systems for activating or deactivating network devices by managing the power of the network device. By controlling the power for network devices, the size and coverage of the network can be adjusted to meet the needs for the current usage. This can be particularly advantageous in wireless networks where multiple wireless access points may be provided to provide coverage during peak usage but present the additional security concern of the network being accessible to unauthorized users. Being able to power down unneeded wireless access points during off-peak usage allows for the minimization of such potential security concerns.03-24-2011
20110072287POWER MANAGEMENT IMPROVEMENTS - A data processing system refreshes a display at a first frequency when operating in a first power mode. The data processing system refreshes the display at a second frequency when operating in a second mode. The first frequency is higher than the second frequency, and the second power mode is configured to consume less power than the first power mode.03-24-2011
20110072288Centralized Service for Awakening a Computing Device - Various technologies and techniques are disclosed for providing and interacting with a centralized wake service. A server-side wake service is provided that is operable to allow applications to subscribe to the wake service. The wake service receives a wake request directed to a particular computing device from a particular one of the applications. The wake request is forwarded from the wake service to a client-side communication service on the particular computing device if forwarding is determined to be appropriate. When the client-side communication service on the particular computing device receives the wake request while in a reduced power mode, the computing device wakes up and an appropriate response is determined.03-24-2011
20110078478METHOD AND APPARATUS FOR TRANSITIONING DEVICES BETWEEN POWER STATES BASED ON ACTIVITY REQUEST FREQUENCY - A method for transitioning power states in a device includes designating a first reduced power state as a target power state. A first expected residency for the target power state is determined based on a counting of activity requests associated with the device. The device is transitioned to the target power state responsive to the expected residency satisfying a first predetermined threshold.03-31-2011
20110083026INFORMATION PROCESSING APPARATUS, AND POWER SUPPLY CONTROL METHOD FOR INFORMATION PROCESSING APPARATUS - An information processing apparatus, if a shift condition for shifting a power state of the information processing apparatus to a power-saving state is satisfied, performs control to reduce power supply to an access control unit and a plurality of storage units. If an execution state of a specific operation is in progress even when the shift condition has been satisfied, the information processing apparatus performs control not to reduce power supply to the access control unit and the plurality of storage units.04-07-2011
20110083027CONTROLLER FOR IMAGE PROCESSING APPARATUS - A controller for an image processing apparatus, which has a function of switching a plurality of operation modes, includes a CPU of which number of times the power is turned ON/OFF in a range where operations are guaranteed is previously defined, a processor which performs a specified processing, a sub CPU, and other devices, the controller having a normal mode, a first power saving mode in which the power consumption is smaller than that in the normal mode, a second power saving mode in which the power consumption is smaller than that in the first power saving mode, and a third power saving mode in which the power consumption is smaller than that in the second power saving mode.04-07-2011
20110083028APPARATUS FOR MINIMIZING STANDBY POWER OF SWITCHING-MODE POWER SUPPLY - The present invention relates to an apparatus for minimizing the standby power of a Switching Mode Power Supply (SMPS). The apparatus for minimizing standby power according to the present invention is connected between an Alternating04-07-2011
20110087910Auxiliary Power Shutdown - An auxiliary power control system for enabling a software command that a management controller sends to the power supply to shut down auxiliary power. Such a power control system enables an AC cycle without needing to physically remove an AC power cord and provides additional power savings when a system is not in use. In certain embodiments, the auxiliary power control system includes a paradigm of a main power state, an auxiliary power state and a sub-auxiliary state. In this system many of the power states and wake vents apply to the auxiliary power state in addition to the main power state.04-14-2011
20110093729MOTION SENSOR DATA PROCESSING USING VARIOUS POWER MANAGEMENT MODES - Systems and methods for processing motion sensor data using various power management modes of an electronic device are provided. Power may be provided to a motion sensor during a first power mode of the device. In response to the motion sensor detecting a motion event with a magnitude exceeding a threshold, the sensor may transmit a wake up signal to a power management unit of the device. In response to receiving the wake up signal, the power management unit may switch the device to a second power mode. The device may provide power to a processor and load the processor with a motion sensing application when switching to the second power mode. During the second power mode, motion sensor data may be processed to determine that the motion event is not associated with an intentional user input and the device may return to the first power mode.04-21-2011
20110099401Microcontroller system - A microcontroller system includes a microcontroller, which is able to be switched over between a state having high power consumption and a state having restricted power consumption, a status register, a timer and a first logic assembly that is connected to the timer and the status register, and, in response to receiving a time-out signal from the timer, causes a transition of the microcontroller from the state of restricted power consumption to the state of high power consumption, if the content of the status register has a first specified value.04-28-2011
20110099402METHOD AND APPARATUS FOR ACTIVIATING SYSTEM COMPONENTS - A selected thread is scheduled to run on a corresponding master of a multiple threaded processing system. When the priority of the selected thread is high, the selected thread is run on the corresponding master. When the priority of the selected thread is low and the corresponding master is in an operational mode, the selected thread is run on the corresponding master. When the priority of the selected thread is low and the corresponding master is in a low power mode, the selected thread is selectively run on the corresponding master based on an amount of time that the corresponding master has been in the low power mode since its most recent entrance into the low power mode.04-28-2011
20110099403SERVER MANAGEMENT APPARATUS AND SERVER MANAGEMENT METHOD - A server management apparatus for lowering migration costs during scale-in/scale-out and workload consolidation of a cluster system(s) to thereby reduce power consumption is disclosed. The apparatus manages a physical server group which renders operative a virtual server group thereon and, when putting into practice a cluster system including a plurality of virtual servers placed in the physical server group, manages the layout state of virtual servers pursuant to the load state of the virtual server group. When executing scale-in, a virtual server operating on a physical server with the minimum number of operative virtual servers is specified as a shutdown target. When executing scale-out, a workload variation is predicted to control a scale-out destination of cluster system so that load variation-resembled cluster systems gather on the same physical server. The scale-in execution timing is delayed if the predicted load variation tends to rise and accelerated if it falls.04-28-2011
20110099404ELECTRONIC DEVICE, METHOD OF CONTROLLING AN ELECTRONIC DEVICE, AND SYSTEM-ON-CHIP - An electronic device is provided which comprises at least one processing unit (CPU) for processing at least one application having at least one task at least one operating frequency, an user event detecting unit (UED) for detecting at least one user event which initiates at least one task with an associated user event execution time, and a power manager (PM) for managing a power consumption of the processing unit (CPU) by controlling the operating frequency of the processing unit (CPU) in dependence of the associated user event execution time.04-28-2011
20110107130METHOD AND DEVICE FOR WAKING USERS OF A BUS SYSTEM, AND CORRESPONDING USERS - A device for waking up users of a bus system includes an evaluator having at least one clock-pulse generator and configured to selectively evaluate the wake-up information used to activate the users. The wake-up information to be evaluated has the structure of a standard CAN message. The activating procedure is initiated only in response to the presence of wake-up information that is specified for the respective user.05-05-2011
20110107131SAVING POWER WHEN IN OR TRANSITIONING TO A STATIC MODE OF A PROCESSOR - A method for reducing power utilized by a processor including the steps of determining that a processor is transitioning from a computing mode to a mode is which system clock to the processor is disabled, and reducing core voltage to the processor to a value sufficient to maintain state during the mode in which system clock is disabled.05-05-2011
20110119510APPARATUS AND METHOD FOR REDUCING POWER CONSUMPTION IN PORTABLE TERMINAL - An apparatus and method for reducing power consumption in a portable terminal are provided. The apparatus includes a mode manager for, if determining data reception before sleep mode entry, processing to convert a state of a controller into a wakeup state and to complete the data reception and, if determining data non-reception before the sleep mode entry, converting a state of the controller into a sleep state at the same time as the sleep mode entry.05-19-2011
20110119511SYSTEM FOR MANAGING POWER OF PERIPHERAL COMMUNICATIONS BY AUTOMATICALLY CLOSING COMMUNICATIONS CHANNEL IMMEDIATELY AFTER FINISHING A COMMUNICATION WITH A PERIPHERAL DEVICE - An improved method and apparatus manages communications port contention and power consumption for a handheld computer, particularly handheld computers with a communications protocol that boosts power consumption when active, such as an RS-232 protocol. The improved method provides communications channel management that automatically opens the communications channel in response to a wake-up signal sent to a handheld computer from a peripheral device. The computer peripheral device is explicitly and/or implicitly identified. The opened communications channel is closed in response to receiving some data from the peripheral device, and/or after a device timeout expires without the handheld computer receiving data from the computer peripheral device.05-19-2011
20110119512METHOD AND APPARATUS FOR WAKING UP A SLEEPING SYSTEM - One embodiment of the present invention provides a system that wakes up a sleeping target system located on a target LAN (Local Area Network) from a remote system located on a remote LAN. Because the sleeping target system is in a sleep state, it receives packets of a lower-layer protocol which cannot be used by the remote system to directly send packets to the sleeping target system. During operation, the remote system creates a wake-up packet. The remote system then uses a second protocol to send the wake-up packet to a relay agent located on the target LAN. Upon receiving the first wake-up packet, the relay agent uses the lower-layer protocol to send a second wake-up packet to the sleeping target system, which causes the sleeping target system to wake up.05-19-2011
20110126036IMAGE FORMING APPARATUS - An image forming apparatus includes a first control unit configured to control an operation of an image forming unit, and a second control unit configured to be capable of communicating with the first control unit and transmitting information associated with forming an image to the first control unit. The image forming apparatus has a normal operation mode in which an image forming operation is performed, a first power-saving operation mode in which an operation of the first control unit is stopped, and a second power-saving operation mode in which supplying of electric power to the second control unit is stopped. In the first power-saving operation mode, the second control unit determines whether to switch into the normal operation mode from the first power-saving operation mode. In the second power-saving operation mode, the first control unit determines whether to switch into the normal operation mode from the second power-saving operation mode.05-26-2011
20110126037POWER SAVING METHOD IN MOBILE COMMUNICATION NETWORK - Provided is The present invention provides a method to dynamically control the sleep window or the listening window of a sleep mode in order to minimize power consumption of a mobile terminal. The power saving method relates to a method for a transmitter of a communication system that controls data transmission to a listening window and a sleep window to control the sleep window, wherein if the data transmission is generated during the listening window, the transmitter gradually decreases a sleep window subsequent thereto by a predetermined ratio, and to the contrary, if the data transmission is not generated during the listening window, the transmitter gradually increases a sleep window subsequent thereto by a predetermined ratio.05-26-2011
20110131436IMAGE FORMING DEVICE AND METHOD THEREFOR - An image forming device that forms an image on a print medium includes a power saving mode shifting part that shifts a mode of the image forming device to a power saving mode, in which power consumption is decreased, when a set power saving mode shifting time has elapsed during a standby mode, an idle time watching part that measures an idle time in the standby mode from a time of a completion of a previous printing to a time of starting a subsequent printing, a counting part that counts a number of times that the idle time has been equal to or longer than a preset idle reference time, wherein the number of times is a count value, and a shifting time setting part that sets the power saving mode shifting time in response to the count value.06-02-2011
20110131437INFORMATION PROCESSING DEVICE - According to one embodiment, an information processing apparatus includes a controller, a wakeup controller, a power source, an input controller, and a switch. The controller configured to perform communications with an external device. The wakeup controller configured to wake up a system in accordance with a request from the external device. The power source configured to generate a driving power to drive the controller and to supply the driving power to the controller. An input controller configured to output a signal according to an operation of an input device. The switch configured to instruct the power source to switch between supply and non-supply of the driving power when a predetermined signal is output from the input controller in a state in which the system is not woken up.06-02-2011
20110138207POWER CONTROL AND OPERATION METHOD FOR NOTEBOOK COMPUTER - A power control and operation method of a notebook computer is disclosed. The notebook computer has a display and a switch is activated when the display is closed. The operation procedure comprises steps of activating the program in advance and detecting via an audio receiver/player whether the proceeding setup of the system is in idle state when the display closed; if it isn't, an voice inquiry is sent out for whether to change proceeding setup of the system in idle state to enable user to continue operating the notebook computer; after detecting the signal for display closed, the detection is repeated to the proceeding setup of the system or to inquire whether to change the proceeding setup as idle state, then shut down the display power and activate the voice identifier for further operating the notebook computer. Thus the user can operate the notebook computer by the voice control even without using the keyboard or the touch panel to substantially enjoy the convenience.06-09-2011
20110138208METHOD AND APPARATUS FOR REDUCING POWER CONSUMPTION IN DIGITAL LIVING NETWORK ALLIANCE NETWORK - A method and apparatus for reducing power consumption in a DLNA (Digital Living Network Alliance) network is provided. A method for operating a digital media renderer to reduce power consumption in a DLNA network includes receiving media content from a digital media server, and controlling the power of a communication connection device and a display according to the control state of the received media content.06-09-2011
20110138209METHOD TO CONTROL SLEEP MODE, AND TERMINAL AND APPARATUS THEREOF - During a listening window after a sleep window, a terminal receives a control signal that indicates existence of data corresponding to the terminal, and enters a sleep window if no data corresponding to the terminal exists.06-09-2011
20110138210NETWORK EVENT NOTIFICATION AND DELIVERY - Described are a main host system of the client computer, and a network event notification system, including a networking subsystem that communicates with a network server to act as a proxy for the client computer when the main host system of the client computer is in a sleep state. The networking subsystem may await a synchronization packet initiated by the network server, or may occasionally poll the network server to determine whether data is available. To this end, the computing device operates an associated network subsystem while the main host system of the computing device is in a sleep state, and receives data via the network subsystem indicating that content is available to the computing device. Policy is then invoked policy to determine whether to wake at least part of the main host system to handle the content. A target duty cycle mechanism is also provided to control the average power consumption during wake and sleep cycling.06-09-2011
20110145614DATA PROCESSING APPARATUS - A data processing apparatus comprises: a receiving unit configured to receive input data including processing-target data from outside; a measuring unit configured to measure a data amount of the processing-target data included in the received input data; a data processing unit configured to perform predetermined processing on the processing-target data, and to output a result of the processing as output data; and a control unit configured to set the data processing unit to an active state based on reception of the input data by the receiving unit, and when a data amount of the output data reaches a data amount of data obtained by performing the predetermined processing on data of the data amount measured by the measuring unit, to set the data processing unit to a sleep state.06-16-2011
20110145615SYSTEM AND METHOD FOR CONTROLLING CENTRAL PROCESSING UNIT POWER BASED ON INFERRED WORKLOAD PARALLELISM - A method of dynamically controlling power within a multicore CPU is disclosed and may include receiving a degree of parallelism in a workload of a zeroth core and determining whether the degree of parallelism in the workload of the zeroth core is equal to a first wake condition. Further, the method may include determining a time duration for which the first wake condition is met when the degree of parallelism in the workload of the zeroth core is equal to the first wake condition and determining whether the time duration is equal to a first confirm wake condition. The method may also include invoking an operating system to power up a first core when the time duration is equal to the first confirm wake condition.06-16-2011
20110145616SYSTEM AND METHOD FOR CONTROLLING CENTRAL PROCESSING UNIT POWER IN A VIRTUALIZED SYSTEM - A method of dynamically controlling power within a multicore central processing unit is disclosed and includes executing a plurality of virtual cores, virtually executing one or more tasks, one or more threads, or a combination thereof at the virtual cores, and physically executing one or more tasks, one or more threads, or a combination thereof at a zeroth physical core. The method may further include receiving a degree of parallelism in a workload of a plurality of virtual cores and determining whether the degree of parallelism in the workload of the virtual cores is equal to a first wake condition.06-16-2011
20110145617SYSTEM AND METHOD FOR CONTROLLING CENTRAL PROCESSING UNIT POWER WITH GUARANTEED TRANSIENT DEADLINES - A method of controlling power at a central processing unit is disclosed. The method may include moving to a higher CPU frequency after a transient performance deadline has expired, entering an idle state, and resetting the transient performance deadline based on an effective transient budget.06-16-2011
20110154078METHOD AND APPARATUS FOR MONITORING, ASSESSING, AND MANAGING POWER SOURCE PERFORMANCE - An approach is provided for monitoring, assessing, and managing power source performance. Depletion of a power source of a mobile device caused by executing a plurality of activities is determined. A duration value is determined for executing each of the activities. The duration values are attributed to the depletion. Statistical data corresponding to performance of the power source is generated.06-23-2011
20110154079Instruction For Enabling A Procesor Wait State - In one embodiment, the present invention includes a processor having a core with decode logic to decode an instruction prescribing an identification of a location to be monitored and a timer value, and a timer coupled to the decode logic to perform a count with respect to the timer value. The processor may further include a power management unit coupled to the core to determine a type of a low power state based at least in part on the timer value and cause the processor to enter the low power state responsive to the determination. Other embodiments are described and claimed.06-23-2011
20110154080METHOD AND APPARATUS FOR I/O DEVICES ASSISTED PLATFORM POWER MANAGEMENT - Embodiments of an apparatus, system and method are described for input/output (I/O) device assisted platform power management. An apparatus may comprise, for example, power management logic operative to receive idle duration information from one or more input/output (I/O) devices and to modify a power state for one or more components based on the idle information. Other embodiments are described and claimed.06-23-2011
20110154081DYNAMIC POWER REDUCTION - Some embodiments of the invention include systems, apparatuses, and methods for dynamically reducing requested supply voltage based on idle functional blocks.06-23-2011
20110154082I/O CO-PROCESSOR COUPLED HYBRID COMPUTING DEVICE - An apparatus and method provide power to perform functions on a computing device. In one example, the apparatus contains multiple processors that may operate at different power levels to consume different amounts of power. Also, any of the multiple processors may perform different functions. For example, one processor may be a low power processor that may control or operate at least one peripheral device to perform a low capacity function. Control may also switch from the low power processor to a high capacity processor. In one example, the high capacity processor controls the low power processor and further controls the at least one peripheral device through the lower power processor.06-23-2011
20110161704DATA PROCESSING APPARATUS, CONTROL METHOD, AND STORAGE MEDIUM - A data processing apparatus includes a storage unit and operates in a first power mode in which power that the storage unit can operate is supplied to the storage unit or in a second power mode in which power that the storage unit can operate is not supplied to the storage unit. The data processing apparatus includes an input unit configured to input data, a storing unit configured to store the data in the storage unit, a setting unit configured to set waiting time for making the data processing apparatus wait to shift from the first power mode to the second power mode according to a storage destination if the data is stored in the storage unit, and a control unit configured to shift the data processing apparatus from the first power mode to the second power mode when the waiting time elapses after the data is stored in the storage unit.06-30-2011
20110161705MULTIPLE-QUEUE MULTIPLE-RESOURCE ENTRY SLEEP AND WAKEUP FOR POWER SAVINGS AND BANDWIDTH CONSERVATION IN A RETRY BASED PIPELINE - Methods and apparatus relating to multiple-queue multiple-resource entry sleep and wakeup for power savings and bandwidth conservation in a retry based pipeline are described. In one embodiment, a bit indicates whether a corresponding queue entry is asleep or awake with respect to arbitration for resources in a retry based pipeline. Furthermore, multiple entries from different queues may be grouped together and multiple resources may be grouped together. Other embodiments are also disclosed.06-30-2011
20110161706COMPUTER SYSTEM WITH OVERCLOCKING FUNCTION AND METHOD - An overclocking method applied to a computer system includes the following steps: setting a first operating voltage and a first clock rate; generating a first control signal to a power supply and generating a second control signal to a clock generator according to the first operating voltage and the first clock rate, respectively; controlling the computer system into a sleep mode; resuming the computer system from the sleep mode after a predetermined time; restarting the power supply and the clock generator, and generating the first operating voltage by the power supply according to the first control signal and, generating the first clock rate by the clock generator according to the second control signal; and setting a parameter of a memory controller in a north bridge chip of the computer system via the first clock rate and the first operating voltage.06-30-2011
20110161707POWER MANAGEMENT OF COMPUTERS - A method of controlling power consumption in a computer by detecting whether or not there is any user activity, and also the identity of each process running on the computer. The identity of each process running on the computer is compared with a set of identities of previously identified processes, these having been deemed to be processes for which it is desirable that the computer maintains a high power state. The computer adopts a low power state if all the detected identities of processes are not in the set of high power state processes or no user activity is detected. The low power state is a state in which the computer is able to service requests. The computer freely adopts any available higher power state if user activity is detected or a detected process is in the set of high power state processes. The power state selected may depend on workload.06-30-2011
20110161708PRIMARY SIDE CONTROL CIRCUIT AND METHOD FOR ULTRA-LOW IDLE POWER OPERATION - A method and circuit for reducing power consumption during idle mode to ultra-low levels, such as about 1/1006-30-2011
20110161709METHOD AND SYSTEM FOR POWER SAVING MANAGEMENT - The present invention discloses a method and a system for power saving management. The method includes: a new power consuming state attribute added for existing device object of the communication network management system is configured, wherein the power consuming state attribute is used for indicating the power consuming state of the electrical energy; performing the power saving management on the device by setting the power consuming state of the object corresponding to the device. With the above technical solution, the division of the state information could be clearer and more efficient, meanwhile, the redundancy can be eliminated, in addition, has the feather of wide adaptability.06-30-2011
20110167286Fine Grain Non-Intrusive Distributed Information Technology Infrastructure Power Management System - A power management system includes a power management agent and a computing device comprising a CPU, memory, persistent storage, operating system, and communication mechanism. A power management server communicates with the communication mechanism using a secure communication protocol, communicates with the power management agent when the agent is in the connected mode, and provides a non-intrusiveness monitoring function. The power management agent operates in both a connected and disconnected mode, and maintains a list of applications, tasks, and activities and their dependency on power manageable components in the device. An application control framework defines a non-intrusiveness of a device for every application on the device and a usage of the device to allow fine grain control of the device. A management station sends a set of monitoring commands to at least one agent to monitor the intrusiveness of a power management function on the device without enforcing any power management.07-07-2011
20110167287PROVIDING POWER TO AN ACCESSORY DURING PORTABLE COMPUTING DEVICE HIBERNATION - A portable computing device (PCD) can selectively supply power to an accessory during PCD hibernation. In some embodiments, the PCD's default behavior is to disable accessory power output during hibernation, and this default behavior can be overridden in response to a request from a connected accessory. The accessory can use the power supplied during PCD hibernation to detect user input (or other) events and wake the PCD from hibernation in response to a detected event. Some accessories can wake the PCD by emulating accessory detachment and reattachment.07-07-2011
20110173470MINIMIZING POWER CONSUMPTION IN COMPUTERS - A computer is disclosed with an operating system including a kernel and a task scheduler to schedule execution of one or more processes on the computer; a power estimator coupled to the task scheduler to determine a required system power based on number or type of processes scheduled for execution; and a variable load power supply including a plurality of power generators each having a predetermined power output and a power manager receiving instructions from the power estimator in the kernel, the power manager enabling a sufficient number of power generators to match the required power generated by the power estimator.07-14-2011
20110173471SYSTEM AND METHOD OF SAMPLING DATA WITHIN A CENTRAL PROCESSING UNIT - A method of sampling data within a central processing unit (CPU) is disclosed. The method may include monitoring CPU activity, determining whether the CPU enters idle, and executing a dynamic clock and voltage switching (DCVS) algorithm if the CPU enters idle.07-14-2011
20110173472Graphic Controller, Information Processing Apparatus, and Power Saving Method - According to one embodiment, there is provided a graphic controller to be employed in an information processing apparatus that is operable in a system state of either a normal mode or a power saving mode, the graphic controller including: an image processing section provided within a first power domain and configured to generate screen data based on data received from a control section of the information processing apparatus; and a VGA controller provided within a second power domain and configured to output the screen data to a display device, wherein, upon receiving information indicating a transition from the normal mode to the power saving mode, the VGA controller continues outputting the screen data having been outputted to the display device at the time of receiving the information to the display device, even after the transition to the power saving mode.07-14-2011
20110173473Image forming apparatus and power management method thereof - A power management method of an image forming apparatus which communicates with at least one terminal device supporting a universal plug and play (UPnP) protocol, including: setting power save mode information which includes a plurality of levels corresponding to the UPnP protocol to the image forming apparatus; storing the set power save mode information; receiving a command to enter a first power save mode among the plurality of levels from the terminal device; comparing the received command to enter the first power save mode with the stored power save mode information; and entering a power save mode by the image forming apparatus corresponding to the received command to enter the first power save mode. With this configuration, the image forming apparatus supporting a UPnP protocol categorizes power save modes by using a low power protocol of the UPnP protocol, and can reduce unnecessary power consumption and improves usability.07-14-2011
20110173474DYNAMIC LOW POWER MODE IMPLEMENTATION FOR COMPUTING DEVICES - The aspects enable a computing device or microprocessor to determine a low power mode that provides the most system power savings by placing selected resources in a low power mode while continuing to function reliably, depending upon the resources not in use, acceptable system latencies, dynamic operating conditions (e.g., temperature), expected idle time, and the unique electrical characteristics of the particular device. Aspects provide a mechanism for determining an optimal low power configuration made up of a set of low power modes for the various resources within the computing device by determining which low power modes are valid at the time the processor enters an idle state, ranking the valid low power modes by expected power savings given the current device conditions, determining which valid low power mode provides the greatest power savings while meeting the latency requirements, and selecting a particular low power mode for each resource to enter.07-14-2011
20110173475DOMAIN SPECIFIC LANGUAGE, COMPILER AND JIT FOR DYNAMIC POWER MANAGEMENT - The aspects enable a computing device or microprocessor to determine a low-power mode that maximizes system power savings by placing selected resources in a low power mode while continuing to function reliably, depending upon the resources not in use, acceptable system latencies, dynamic operating conditions (e.g., temperature), expected idle time, and the unique electrical characteristics of the particular device. The various aspects provide mechanisms and methods for compiling a plurality of low power resource modes to generate one or more synthetic low power resources from which can be selected an optimal low-power mode configuration made up of a set of selected synthetic low power resources.07-14-2011
20110173476USING NON-LOSSLESS COMPRESSION TO SAVE POWER - Circuits, methods, and systems that reduce or eliminate the number of data transfers between a system memory and a graphics processor under certain conditions. After inactivity by a user of an electronic device is detected, the color fidelity of pixels being displayed is reduced. Color fidelity can be reduced by compressing pixel values, and the compression may be non-lossless, for example, pixel data bits may be truncated. The degree of compression can be progressively increased for longer durations of inactivity, and this progression may be limited by a threshold. Inactivity may be detected by a lack of input from devices such as a keyboard, pen, mouse, or other input device. Once activity is resumed, uncompressed pixel data, or pixel data that is compressed in a lossless manner, is displayed.07-14-2011
20110173477POWER CONSUMPTION CONTROL METHOD IN MULTICORE CPU - A power consumption control method for a multicore CPU containing a plurality of cores each occupying a memory with partial array self refresh mode. The method includes making, when a core in the multicore CPU enters a power save mode, another core in the multicore CPU compress data in the memory occupied by the core entering the power save mode, store back compressed data in the memory, and control the memory to perform refresh operations to one or more banks retaining the compressed data.07-14-2011
20110179297EXTERNAL DEVICE POWER CONTROL DURING LOW POWER SLEEP MODE WITHOUT CENTRAL PROCESSING UNIT INTERVENTION - An integrated circuit device controls power up of an external device used for sensing a process variable independently of whether the integrated circuit device is in a low power sleep mode. Once the external device becomes operational the integrated device, even when still in the low power sleep mode, samples the process variable status of the external device. Low power timing circuits operational during the low power sleep mode control the power up of the external device and sampling of the process variable status thereof. After the sample of the process variable status is taken, the integrated circuit device may be brought out of the low power sleep mode to an operational mode when appropriate as determined from the sampled process variable status.07-21-2011
20110179298METHOD FOR CONTROLLING VOLTAGES SUPPLIED TO A PROCESSOR - A power supply unit for supplying power to a processor is disclosed. The power supply unit includes a smoothing capacitor, a controller, and an arithmetic circuit. The controller controls an output voltage according to each power state of a processor operating in a transition state where a power state of the processor transitions between an active state and a predetermined sleeping state at a predetermined transition frequency. The arithmetic circuit determines a transition stop condition based on power consumption of the processor and charging loss generated at the smoothing capacitor during the transition of the active state, and outputs a transition stop signal to stop transition to the predetermined sleeping state.07-21-2011
20110179299Power Management In A System Having A Processor And A Voltage Converter That Provide A Power Voltage To The Processor - A system has a processor and a voltage converter to provide a power voltage to the processor. The processor is able to transition among different power modes, Wherein the voltage converter receives indications to specify different voltage levels of the power voltage for at least two of the power modes. A controller detects a transition of the processor to a tower one of the power modes, and in response to detecting transition of the processor to the lower one of the power modes, disables at least one portion of the voltage converter.07-21-2011
20110179300ELECTRONIC DEVICE THAT IS ABLE TO BE CONNECTED TO AN EXTERNAL DEVICE AND OPTICAL DISK REPRODUCING APPARATUS - An electronic device (e.g. an optical disk reproducing apparatus) can be connected to an external apparatus (e.g. a display apparatus) and has a standby state as a power state. The electronic device includes a movable member (e.g. a disk tray) which is provided to be ejectable from and retractable into a body of the electronic device and be movable between an ejected position and a refracted position; a driver which drives the movable member, a detector which detects whether the movable member is at the retracted position, a communication unit which receives various control signals from the external apparatus, a power controller which controls the power state of the electronic device, a controller which controls the driver to locate the movable member to the retracted position when the power state of the electronic device is changed to the standby state by the power controller. The power controller controls whether to change the power state to the standby state according to a result of the detection by the detector, when the communication unit receives a predetermined control signal.07-21-2011
20110185207PCMCIA MEMORY CARD WITH ETHERNET/WIRELESS CONNECTIVITY AND BATTERY BACKUP FOR AVIONICS APPLICATIONS - A method to facilitate data transfer to a line replaceable unit that lacks a transmission control protocol/Internet protocol (TCP/IP) interface is provided. The method comprises interfacing a memory-processing card to the line replaceable unit. The memory-processing card includes a memory, a central processing unit module, an interface to the line replaceable unit, an interface to an access point communicatively coupled to the central processing unit module, and a bus arbitrator communicatively coupled to the memory, the central processing unit module, and the interfaces. The method also includes determining a state of the line replaceable unit at the bus arbitrator responsive to the interfacing, providing access at the bus arbitrator from the central processing unit module to the memory when the determined state of the line replaceable unit is OFF, and providing access at the bus arbitrator from the line replaceable unit to the memory when the determined state of the line replaceable unit is ON.07-28-2011
20110185208MEMORY POWER REDUCTION IN A SLEEP STATE - A data processing system that uses memory power reduction in a sleep state. The system can include a volatile memory and at least one data input peripheral and a logic circuit that is configured to manage power consumption of the data processing system for a sleep of the system. The logic circuit can be coupled to the volatile memory and can be configured to turn off power to the volatile memory in response to an event, occurring during the sleep state, but to otherwise remain in the sleep state. The sleep state can be an ACPI complaint S3 sleep state in which the volatile memory, such as DRAM, is powered off after a period of user inactivity during the S3 sleep state.07-28-2011
20110185209COMPUTER THAT REDUCES POWER CONSUMPTION WHILE MAINTAINING A SPECIFIC FUNCTION - A laptop PC is enabled to operate with small power consumption while maintaining a specific function. A laptop PC is provided with a HDD and can operate in a normal mode and a doze mode as a new operating mode. In the doze mode, the computer can operate with power consumption less than in the normal mode while executing a process to implement a specific function. In the doze mode a shift event is created, and a process existing at that time is force-suspended or an I/O processing completion notification is suspended to a process that makes an I/O request to the HDD. As a result, the laptop PC is enabled to operate with small power consumption by stopping the HDD while maintaining a specific function.07-28-2011
20110191616USB POWER MANAGING SYSTEM AND METHOD THEREOF - The present invention relates to a USB power managing system and a method thereof, the USB power managing system comprises an USB peripheral device and an USB interface, wherein the USB interface includes: a micro controlling unit; a data switch coupled to the micro controlling unit; an USB connecting unit coupled to the data switch; and a power switch coupled to the micro controlling unit and the USB connecting unit; wherein when the USB peripheral device is coupled to the USB connecting unit, the micro controlling unit switches the power switch for providing a power the USB peripheral device through the USB connecting unit; moreover when the USB peripheral device enters a standby mode, the micro controlling unit turns off the power switch for stopping providing the power to the USB peripheral device.08-04-2011
20110191617APPARATUS FOR CHARGER CIRCUIT IN PORTABLE TERMINAL AND OPERATION METHOD THEREOF - An apparatus for a charger circuit in a portable terminal and an operation method thereof are provided. The apparatus includes a charger Integrated Circuit (IC) for, upon detecting an insertion of a charging cable, determining whether a charging error occurs by collecting charging-related information, and for outputting a signal for indicating the occurrence of the charging error, and a Central Processing Unit (CPU) for performing an error control operation upon receiving the signal for indicating the occurrence of the charging error from the charger IC.08-04-2011
20110197084POWER SAVING SYSTEM AND METHOD EMPLOYED IN COMPUTER - A power saving system for a computer incorporating a standby power source includes a setting module, a detecting module and a controlling module. The setting module communicates with the BIOS of the computer and is configured for setting power saving modes on an interface provided by the BIOS for at least one function circuit, during the time the computer powered on. The detecting module is configured for detecting “enable” and/or “disable” signals output from the BIOS. The controlling module includes at least one switching circuit configured for correspondingly interrupting the power supply from the standby power source to one or more of the at least one function circuit after the computer is shut down and the detecting module receives “enable” signals.08-11-2011
20110197085POWER LINE COMMUNICATION DEVICE - A power line communication (PLC) device is connected to a television power line to detect a working state of a television. When the television is turned off, the PLC device notifies a set-top box to enter a standby mode via a main power line. When the television is turned on, the PLC device notifies the set-to box to enter a normal working mode via the main power line.08-11-2011
20110202781System and Method for Loop Timing Update of Energy Efficient Physical Layer Devices Using Subset Communication Techniques - A system and method for loop timing update of energy efficient physical layer devices using subset communication techniques. During a quiet period during which a subset of communication channels are transitioned from an active mode to a low-power mode, circuitry in the active channel can be designed to track, on behalf of the inactive channels, the phase drift due to the frequency offset. This tracking of the frequency estimation error would reduce the time required to perform a timing update for the communication channels when transitioning back to the active mode.08-18-2011
20110202782ARCHITECTURE INCORPORATING CONFIGURABLE CONTROLLER FOR REDUCING ON CHIP POWER LEAKAGE - The present invention provides a method and system for controlling leakage power consumption at a System on Chip (SoC) level during a normal run or a boot-up mode. The leakage power reduction is achieved by incorporating a central programmable controller in the SoC architecture and test structures of idle SoC peripherals to place them into an Absolute Minimum Power consumption state with respect to static and dynamic power.08-18-2011
20110208986APPARATUS, SYSTEM, AND METHOD OF POWER SAVING CONTROL, AND POWER SAVING CONTROL PROGRAM - The transition between a normal operation mode and a power save mode of an apparatus is controlled by determining whether a power save mode transition condition is satisfied, the power save mode transition condition defining a condition under which the normal operation mode is to be switched to the power save mode, and sending notification from a sub controller to a main controller when the power save mode transition condition is satisfied.08-25-2011
20110208987Semiconductor Device and Data Processing System - A semiconductor device has reduced power consumption and processing time associated with the release of a low power consumption state set by a central processing unit thereof. The semiconductor device controls a relationship between a forcible release and reset of the low power consumption state previously set by the central processing unit. In one embodiment, a forcible release control circuit forcibly releases the supply and stop of power and clocks previously set to one or more controlled circuits, only during a period required by a signal outputted from a requesting circuit, which requesting circuit may be either internal to the device or external to the device. Once the request signal from the requesting circuit has ended, the controlled circuits and, if appropriate, the central processing unit as well, are restored to the original low power consumption state.08-25-2011
20110213996POWER CONTROL SYSTEM AND CONTROL METHOD FOR THE SAME - Provided is technology for enabling power saving control that allows a change of control content according to a user selection in a power control system that saves power consumed by an information processing apparatus. The power control system of the present invention include an image processing apparatus 09-01-2011
20110219248POWER-DOWN METHOD FOR SYSTEM HAVING VOLATILE MEMORY DEVICES - A power-down method for a system including a plurality of volatile memory devices is disclosed. The method includes providing some of the plurality of volatile memory devices or some memory regions of the volatile memory devices to operate in a self-refresh mode, thereby increasing a rebooting operation speed and reducing power consumption.09-08-2011
20110219249Network Interface Apparatus - A network interface controller includes a first NIC connected to a LAN and a second NIC, which can proceed to a low power consumption status, connected to the first NIC via a bus. The first NIC includes a storing unit arranged to store response history information transmitted from the second NIC, a registration determining unit arranged to determine whether the received data has been registered in the response history information when network data is received and the status of the second NIC is the low power consumption status, a transmitting unit arranged to transmit response data registered in association with the received data when the received data has been registered, and an activation signal transmitting unit arranged to transmit an activation signal to the second NIC when the received data has not been registered.09-08-2011
20110219250IMAGE FORMING DEVICE WHICH PERFORMS POWER SAVING CONTROL UPON AUTHENTICATION PRINTING - An image forming device can operate in a print mode, a first power saving mode, and a second power saving mode. In the print mode, a first circuit having a unit to acquire the state of the image forming device and a second circuit are both activated. In the first power saving mode, neither the first circuit nor the second circuit is activated. In the second power saving mode, only the first circuit is activated. When a secure print instruction is received in the first power saving mode, the operation mode is switched to the second power saving mode. When the identity of the user is authenticated in the second power saving mode, the operation mode is switched to the print mode. Accordingly, it is possible to provide the image forming device which can restrict power consumption and also reduce the time required for printing out after the identity authentication.09-08-2011
20110219251INFORMATION PROCESSING APPARATUS AND CONTROL METHOD OF INFORMATION PROCESSING APPARATUS - An information processing apparatus which operates in a normal mode or a power saving mode in which power consumption is lower as compared to the normal mode includes a volatile storage unit, a first supplying unit configured to supply power to the storage unit, a second supplying unit configured to supply, if the first supplying unit cannot supply power to the storage unit, power to the storage unit, a charging unit configured to charge, if the information processing apparatus is operating in the normal mode, the second supplying unit, a first measurement unit configured to measure an amount of time that the information processing apparatus operates in the normal mode after the information processing apparatus shifts to the normal mode; and09-08-2011
20110225440COMMUNICATION METHOD OF A TERMINAL AND AN ACCESS POINT FOR POWER SAVING - Provided is a communication technology of an access point and a terminal that may decrease power consumption by changing an operation state of the terminal from an awake state to a sleep state when a data stream to be transmitted to the terminal is absent or has completed in a transmission opportunity (TXOP) duration.09-15-2011
20110239019METHOD AND SYSTEM FOR MANAGING POWER CONSUMPTION OF A COMPUTING DEVICE - A power-state management module in any operating environment manages power consumption of a computing device in a power-on mode. The disclosed system and method based on predetermined criteria, classify computing device activity and switch the computing device from the power-on mode to either a hibernate mode or a shut down mode. The predetermined criteria include inactive computing device time compared to a predetermined time period and operational processes present in an exemption list of processes.09-29-2011
20110239020POWER MANAGEMENT BASED ON AUTOMATIC WORKLOAD DETECTION - An electronic device includes a kernel, a power manager to control power to a hardware component, and a neural network to monitor the kernel to recognize performance of a function of the electronic device. The neural network sends a signal to the power manager to reduce or turn off power to the hardware component based on information generated during monitoring of the kernel. The information may provide an indication of hit symbols for hardware components which are to be powered and/or hardware components which do not require power based on one or more operations performed by the kernel.09-29-2011
20110239021Memory calibration method and apparatus for power reduction during flash operation - A method for providing reduced power consumption in a computer memory system is provided. The method includes calibrating, by a processor, a volatile memory of the computer memory system at a first and a second operating speed, where the second operating speed is higher than the first operating speed. The method also includes operating, by a memory controller coupled to the processor and the volatile memory, the volatile memory at the second operating speed if a main power source provides power to the computer memory system. The method further includes operating, by the memory controller, the volatile memory at the first operating speed if a backup power source provides power to the memory controller and the volatile memory. The backup power source provides power to the memory controller and the volatile memory when there is a loss of main power to the computer memory system.09-29-2011
20110239022COMMUNICATION APPARATUS AND METHOD OF CONTROLLING THE SAME - There is provided a communication apparatus including an HDMI connector complying with HEC (HDMI Ethernet Channel)-compliant HDMI standards, comprising: an HEC communication unit configured to communicate, via HEC, with an HEC-compliant communication apparatus connected to the HDMI connector; a determination unit configured to determine, using a protocol of the HDMI standards, whether or not an HEC-compliant communication apparatus is connected to the HDMI connector; and a control unit configured to stop power supply to the HEC communication unit if it is determined that an HEC-compliant communication apparatus is not connected to the HDMI connector.09-29-2011
20110239023INFORMATION PROCESSING APPARATUS AND CONTROL METHOD OF INFORMATION PROCESSING APPARATUS - An information processing apparatus having a storage unit configured to store data, operated in a normal mode for supplying power to the storage unit or a power saving mode for preventing power supply to the storage unit, includes a determination unit configured to determine whether or not such a condition is established that the information processing apparatus is to be shifted from the normal mode to the power saving mode, and a measurement unit configured to measure an elapse time after a power source of the information processing apparatus is turned on.09-29-2011
20110239024Low Power Consumption Network Device and Communication Method Thereof - A low power consumption network device includes: a data rate meter for detecting a data rate of the network device; a state machine unit for determining a state of the network device according to the data rate and for generating an instruction signal; and a power control unit for controlling a power consumption state of the network device according to the instruction signal. According to the data rate, the state machine unit controls whether the network device transmits a pause frame to a link partner, so that the link partner stops transmitting data to the network device during a pause period. During the pause period, the power control unit controls the network device into a power saving mode.09-29-2011
20110239025System and Method for Adapting a Power Usage of a Server During a Data Center Cooling Failure - A device comprising a temperature measurement module, a performance state module, and a fan speed module. The temperature measurement module is configured to determine a temperature in a server, and to output a first control signal when temperature in the server is above a threshold. The performance state module is configured to change a performance state of the device to a lowest system performance state in response to the first control signal, and further configured to reduce a processor power consumption and a subsystem power consumption to a minimum power level in response to reducing the performance state to the lowest system performance state. The fan speed module is configured to reduce a fan speed to a minimum fan speed level based on the first control signal.09-29-2011
20110246801POWER MANAGEMENT OF ELECTRONIC DEVICE WITH DISPLAY - An electronic device, such as an e-book, for displaying information includes a power source; a display having a high power mode and a low power mode, said display being powered by said power source; and a power control arrangement for switching the display to a low power mode when no changes to selected regions of the display are required for at least a predetermined time. The power control arrangement switches said display from said low power mode to said high power mode when changes of said display are required in display portions other than said selected portions. A method for operating the electronic device. A computer readable medium having computer readable instructions thereon for implementing the method.10-06-2011
20110246802INFORMATION PROCESSOR - According to one embodiment, an information processor, which is capable of supplying power to an external device, includes a connector, a storage module, and a power supply control module. The connector connects the external device to the information processor. The storage module stores a plurality of power supply modes each defining conditions of respective modules of the information processor to make the external device connected to the connector chargeable. The power supply control module selects a power supply mode effective for the external device from the power supply modes when the external device is connected to the connector, and sets the respective modules of the information processor according to the conditions corresponding to the power supply mode selected.10-06-2011
20110252256METHODS, SYSTEMS, AND COMPUTER PROGRAM PRODUCTS FOR MANAGING AN IDLE COMPUTING COMPONENT - Methods and systems are described for managing an idle computing component. In one aspect, an idle period is detected for an addressable entity included in an application process and stored in a processor memory defined by an address space of an IPU in an application process. The addressable entity is not accessed by an IPU for executing during the idle period. A determination is made that specified idle condition is met for the idle period. The addressable entity is removed from the processor memory in response to the determination that the idle condition is met.10-13-2011
20110252257System and Method for Energy Efficient Ethernet Enhanced Information Technology Power Management Tools - A system and method for energy efficient Ethernet (EEE) enhanced information technology power management tools. EEE-based computing center resources are designed to monitor energy savings events hardware components (e.g., physical layer device) included within the computing center resource. Energy saving statistics based on such monitoring can be provided to a power management tool. This monitoring information enables the power management tool to make broad service-level energy savings decisions on actual network activity. In addition, feedback based on the broad service-level energy savings decisions can be provided to the EEE-based computing center resources for consideration by their individual EEE control policies.10-13-2011
20110252258HARDWARE ACCELERATION APPARATUS, METHOD AND COMPUTER-READABLE MEDIUM EFFICIENTLY PROCESSING MULTI-CORE SYNCHRONIZATION - Provided is a hardware acceleration apparatus, method and computer-readable medium efficiently processing multi-core synchronization. A processor core that fails to acquire a lock variable may be switched to a low power sleep mode and a waste of power may be reduced. Additionally, when a lock variable is returned, a wakeup signal may be transmitted to a processor core operated in the low power sleep mode, and the processor core may be activated.10-13-2011
20110252259Method and apparatus for managing power of portable information device - Provided are a method and apparatus for managing power of a portable information device. The apparatus includes: a display unit displaying a power management mode picture when a wake-up signal is input during a low-power consumption state of the portable information device; and a processing unit setting the portable information device again in the low-power consumption state when a user's input, as a response to the power management mode picture, is not received within a predetermined time after the power management mode picture is output to the display unit. Accordingly, even when a button on the portable information device is accidentally pressed, battery thereof can be prevented from being needlessly consumed.10-13-2011
20110258470ELECTRONIC DEVICE AND POWER CONSUMPTION MEASURING METHOD THEREOF - An embodiment is directed to an electronic device, including a power management device, the power management device generating a plurality of powers according to power management information, and a processor, the processor including a plurality of power blocks supplied with the plurality of powers through a plurality of power lines, and including a power consumption measurement block that measures power consumption of respective power blocks of the plurality of power blocks.10-20-2011
20110264936Power supply management controller integrated circuit, power management circuit for electrically powered systems, and method of managing power to such systems - The present invention provides a power supply management controller integrated circuit for managing power supply to one or more system units of a system, said integrated circuit comprising power supply means for powering said system units, a power supply control unit for controlling said powering of said system units, a wake-up timer unit, and means for acknowledging an active or passive state of said system, wherein said power supply control unit is arranged for cooperating with said means for acknowledging said state of said system for enabling said power supply control unit to cease powering of said system units for de-activation of said system during said passive state of said system, and for enabling said power supply control unit to maintain powering of said system units during an active state of said system, and wherein said power supply control unit is ranged for cooperating with said wake-up timer unit for periodically powering said system units during said passive state of said system for enabling activation of said system.10-27-2011
20110264937COMPUTER ENERGY CONSERVATION WITH A SCALABLE PSU CONFIGURATION - A power management method for use by a blade server or other networked computer having a CPU, memory, network interface, and timer. The method includes monitoring processes in the computer, determining that the computer has become idle, and switching the CPU and memory from an active state to a low power state while maintaining the network interface and timer in an active state. Power management for multicore processors is also provided. A power supply system can be used for providing shared power to groups of the networked computers that each have a similar active power demand. Each PSU in the power supply system is capable of supplying the active power demand at an efficiency greater than a preselected desired minimum efficiency. Changes in power requirements resulting from a computer switching between low power and active states can be accommodated by switching a single PSU into or out of the system.10-27-2011
20110264938METHOD AND SYSTEM FOR DETERMINING AN ENERGY-EFFICIENT OPERATING POINT OF A PLATFORM - A method and system for determining an energy-efficient operating point of the platform or system. The platform has logic to dynamically manage setting(s) of the processing cores and/or platform components in the platform to achieve maximum system energy efficiency. By using the characteristics of the workload and/or platform to determine the optimum settings of the platform, the logic of the platform facilitates performance guarantees of the platform while minimizing the energy consumption of the processor core and/or platform. The logic of the platform identifies opportunities to run the processing cores at higher performance levels which decreases the execution time of the workload and transitions the platform to a low-power system idle state after the completion of the execution of the workload. Since the execution time of the workload is reduced, the platform spends more time in the low-power system idle state and therefore the overall system energy consumption is reduced.10-27-2011
20110264939STOCHASTIC MANAGEMENT OF POWER CONSUMPTION BY COMPUTER SYSTEMS - Embodiments of the present disclosure describe methods, computer-readable media and system configurations for stochastic power management of one or more computer systems. A method may include ascertaining a workload of a plurality of computer systems (e.g., a data center). Additionally or alternatively, a method may include initiating, by a control module operated by a processor of a first of the plurality of computer systems, a stochastic power management process to manage power consumption of the first of the plurality of computer systems. The stochastic power management process may be conditionally initiated based at least in part on the ascertained workload of the plurality of computer systems. The stochastic power management process may include a plurality of virtual machine management actions having corresponding probabilities being taken, one or more of which may result in power savings. Other embodiments may be described and/or claimed.10-27-2011
20110271129NETWORK-ATTACHED DISPLAY DEVICE AS AN ATTENDEE IN AN ONLINE COLLABORATIVE COMPUTING SESSION - In one embodiment, a network-attached display device (e.g., projector) may join an online collaborative computing session as an attendee. The display device may then receive data for the online collaborative computing session as an attendee over the computer network. As such, the display device may render images associated with being an attendee of the online collaborative computing session from the received data, and then visually display the images associated with the online collaborative computing session.11-03-2011
20110271130CIRCUIT CONFIGURATION HAVING A TRANSCEIVER CIRCUIT FOR A BUS SYSTEM AND NODES FOR A BUS SYSTEM - A circuit configuration for a node of a bus system includes a transceiver circuit and a control circuit connected to the transceiver circuit. The transceiver circuit has an idle mode, in which it has a reduced power consumption in comparison with at least one operating mode, and the transceiver circuit is supplied with power in the at least one operating mode via a power supply unit integrated into the transceiver circuit. The control circuit is connected to the power supply unit to supply the control circuit with power in the idle mode, and the circuit configuration has a controllable voltage regulator which is coupled to the transceiver circuit in such a way that the voltage regulator is deactivated in the idle mode to reduce the power consumption and activated in the operating mode to supply power to the transceiver circuit and the control circuit.11-03-2011
20110271131COMPUTER SYSTEM POWERED-OFF STATE AUXILIARY POWER RAIL CONTROL - Computer system powered-off state auxiliary power rail control. At least some of the illustrative embodiments are systems configured to have: a first powered-off state in which the main output power signal is deactivated within the power supply and the auxiliary power output signal is active and coupled to an auxiliary power rail of the printed circuit board; and a second powered-off state in which the main power output signal is deactivated and the auxiliary power output signal is active and decoupled from the auxiliary power rail of the printed circuit board.11-03-2011
20110271132METHOD AND DEVICE FOR CONTROLLING THE AWAKING OF FACILITIES BELONGING TO AT LEAST ONE MULTIPLEXED NETWORK, BY COUNTING UNTIMELY WAKE-UPS - A device (D) is dedicated to the control of the waking of facilities (OE11-03-2011
20110276816POWER MANAGEMENT OF LOW POWER LINK STATES - A method and apparatus for intelligent power management for low power link states. Some embodiments include methods, apparatuses, and systems for a device coupled to a controller via a link; a link power management engine to alter a power state of the link based on a transaction and some knowledge of future transactions between the device and the controller; and a memory or logic to store the link power management engine. In some embodiments, the memory stores information about at least one of the following: the power state of the link, the device buffering, the controller or device state or a history of transactions. In some embodiments, the device is a peripheral of a computer system. In some embodiments, the method may include transitioning the device to various link states. Other embodiments are described.11-10-2011
20110283124METHOD AND APPARATUS FOR CACHE CONTROL - A method and apparatus for dynamically controlling a cache size is disclosed. In one embodiment, a method includes changing an operating point of a processor from a first operating point to a second operating point, and selectively removing power from one or more ways of a cache memory responsive to changing the operating point. The method further includes processing one or more instructions in the processor subsequent to removing power from the one or more ways of the cache memory, wherein said processing includes accessing one or more ways of the cache memory from which power was not removed.11-17-2011
20110283125AUTOMATIC CLOCK-GATING PROPAGATION TECHNIQUE - Embodiments of the present invention provide a method and system for clock-gating a circuit. During operation, the system receives a description of a circuit that includes clocked memory elements, some of which are clock-gated. Next, the system identifies a sender memory element by identifying a sender path from an output of the sender memory element to a data input for a seed memory element. Then, the system identifies an enable-generating memory element by identifying an enable-signal path from an output of the enable-generating memory element to an enable signal which is used to gate a clock signal input for the seed memory element. Next, the system provides clock-gating for the sender memory element by generating an enable signal using a data input for the enable-generating memory element. Finally, the system gates a clock signal for the sender memory element using this generated enable signal.11-17-2011
20110283126METHOD AND SYSTEM FOR DETERMINING AN IDLE STATE - In a method for determining an idle state: acceleration values are ascertained as a function of three spatial directions; a comparison value is generated from the acceleration values for each of the three spatial directions; each of the comparison values is compared with a threshold value; an interrupt signal is generated if the comparison value is less than the threshold value for each of the three spatial directions; and an electronic component is switched from a power saving state to an operating state as a function of the interrupt signal.11-17-2011
20110283127PORTABLE INFORMATION PROCESSING APPARATUS AND METHOD OF THE SAME - The present invention relates to a portable information apparatus which can realize electrical power for a longer period of time. Under the condition that the suspending mode is set, when an exclusive key is manipulated, a switch monitoring circuit detects this condition and issues an interruption to the CPU. In this timing, the CPU supplies the necessary electrical power to the CD-ROM controller, CD-ROM drive and audio circuit which are required for reproduction of CD. Thereby, a CD can be reproduced under the suspending mode.11-17-2011
20110289331STORAGE SYSTEM AND ITS INFORMATION PROCESSING METHOD - Returning a response to a host system in a range which does not cause time-out by the host system even if a disk device in a power saving status is an access target of the host system. A NAS controller determines an access request from a client terminal, outputs a command for spinning up a disk device in a spin off status to a disk controller if the access target includes shared folders corresponding with the disk devices in the spin off status, sends response information to the client terminal to the effect that processing for connection with the shared folder as the access target is being performed in response to the access request, and inhibits the client terminal from shifting to the time-out processing.11-24-2011
20110289332METHOD AND APPARATUS FOR POWER MANAGEMENT IN A MULTI-PROCESSOR SYSTEM - Techniques for power management in a multi-processor system are disclosed. One of the processors in the system monitors whether all threads on all central processing unit (CPU) cores in the multi-processor system halt, and send a message to a south bridge to cause at least a part of the system to enter a low power state if all threads in the multi-processor system halt. The processor sends another message to the south bridge to cause at least a part of the multi-processor system to wake up if at least one thread on any CPU core in the multi-processor system exits a halt.11-24-2011
20110289333METHOD FOR DYNAMICALLY DISTRIBUTING ONE OR MORE SERVICES IN A NETWORK COMPRISED OF A PLURALITY OF COMPUTERS - The invention relates to a method for dynamically distributing one or more services in a network comprised of a plurality of computers. According to certain aspects of the invention, a past chronological progression of a resource capacity required for a respective service according to a prescribed service requirement is derived from a past chronological progression of the resource demand for the respective service in a predetermined time interval. The past chronological progression of the resource capacity required for the respective service is then used to predict a chronological progression of the resource capacity required for the respective service. The services performed on the computers are finally distributed based on one or more optimization criteria, including that the respective computers provide enough resources for the services performed on the respective computers based on the predicted chronological progressions of the resource capacities required for the respective services.11-24-2011
20110289334DARK WAKE - Exemplary embodiments of methods, apparatuses, and systems for powering up select components of a computer from a sleep state, maintaining a network state, and powering down the select components of the computer to return the computer to the sleep state are described. For one embodiment, a network interface and a fan controller receive power during the network state maintenance but a display or audio components do not receive power during the network state maintenance.11-24-2011
20110296215Methods and Apparatus for Low Power Out-of-Band Communications - A signal generator circuit for reducing power consumption of message communications between a first device including the signal generator circuit and a second device coupled to the first device comprises a switching circuit and a controller coupled to the switching circuit. The controller is operative to receive a reference clock signal, to receive at least a first control signal indicative of a request for the first device to send a message to the second device when the first device is in a first mode of operation, and to generate an output control signal and an output data signal. The output control signal is operative as a function of the first control signal to selectively power up the switching circuit and a transmitter driver during the first mode. The output data signal includes the message supplied to the transmitter driver, via the switching circuit, for transmission to the second device during the first mode.12-01-2011
20110296216INTEGRATION OF PROCESSOR AND INPUT/OUTPUT HUB - Methods and apparatus for integration of a processor and an input/output hub are described. In one embodiment, a sideband signal may cause change in a power management state of a processor or an integrated I/O logic. A single integrated circuit die may include both the processor and the integrated I/O logic. Other embodiments are also disclosed.12-01-2011
20110296217MODE CONTROL METHOD - An KIOSK terminal 12-01-2011
20110296218BATTERY MANAGEMENT SYSTEM, METHOD OF CONTROLLING THE SAME, AND ENERGY STORAGE SYSTEM INCLUDING THE BATTERY MANAGEMENT SYSTEM - A battery management system (BMS), a method of controlling the same, and an energy storage system including the battery management system. The BMS may reduce costs by stably supplying power to an insulating unit in a power-saving mode even when an isolator has no built-in direct current (DC)-DC converter.12-01-2011
20110296219Image Forming Apparatus and Image Forming Method Having Power Saving Mode - An image forming apparatus includes a communication interface receiving data; a buffer storing the data; a main system processing the stored data; a subsystem controlled by the main system to perform a function of the image forming apparatus; a system control unit; and a data processing unit. The system control unit switches an operation status mode of the image forming apparatus between a normal status mode and a deep sleep status mode. When the image forming apparatus is in the deep sleep status mode, the data processing unit processes the data received from the buffer if the data is determined to be processable by the data processing unit and causes the system control unit to switch the operation status mode from the deep sleep status mode to the normal status mode if the received data is determined not to be processable by the data processing unit.12-01-2011
20110296220ELECTRONIC APPARATUS AND SYSTEM - An electronic apparatus includes a plurality of devices configured to operate in a power save mode. A detecting section is configured to detect execution of the function of a device and identify a number of executions of each device. An obtaining section is configured to obtain a frequency of use or usage history of the device based on the number of executions. A priority determining section is configured to determine a priority level of the device in being powered on normally, the priority level being determined in accordance with the frequency of use of the device. A power save mode selecting section holds a plurality of power save modes and configured to select a power save mode from among the plurality of power save modes in accordance with the priority level. A controller is configured to control the plurality of devices based on the selected power save mode.12-01-2011
20110302436System Wakeup on Wireless Network Messages - While an information handling device is in a reduced power state, the information handling device transitions from the reduced power state to a higher power state in response to receiving a message over an established wireless network connection that maintains a presence on a wireless network. In turn, the information handling device processes the message accordingly in the higher power state.12-08-2011
20110302437SWITCH-OFF OF A MICRO CONTROLLER UNIT IN BATTERY MODE - Disclosed are apparatus and methodology for providing battery protection for devices operating in a battery supplied low-power mode. Micro controller operated devices (such as electricity meters) that may be stored for extended periods after manufacture and before deployment are provided backup battery protection by insertion of an overcurrent operable switch between the battery and the micro controller. A timer causes the micro controller to switch to a normal power mode after a predetermined time period during which no external supply voltage is provided. Normal power mode operation under battery powered supply only will cause the overcurrent switch to open, so as to disconnect the battery from the micro controller. Alternatively, instead of switching the micro controller to a normal power mode after a predetermined time period, the battery powered supply is shorted to ground using an internal switch of the micro controller, to achieve the same result of disconnecting the battery from the micro controller.12-08-2011
20110302438METHOD AND SYSTEM FOR MANAGING THE OPERATION OF A MULTICORE DATA PROCESSING DEVICE - The data processing device comprising at least two processing units, each processing unit (CR12-08-2011
20110302439METHODS AND APPARATUS FOR ADJUSTING PRINTING DEVICE POWER CONSUMPTION BASED ON USAGE DATA - Methods and apparatus for adjusting printing device power consumption based on previously acquired usage data. The printing device has multiple energy consumption states including at least a ready state in which the printing device is ready to commence processing of a print job immediately upon receipt and including at least a low power state where the printing device is not ready to commence processing of a newly received print job. Acquired usage data includes parameters of print jobs submitted during a data collection period of time. The parameters may include time and date of submitted print jobs. Based on the usage data a usage profile is determined. The usage profile identifies one or more high usage periods of time and one or more low usage periods of time. Methods and apparatus then switch the printing device among the multiple energy consumption states based on the usage profile.12-08-2011
20110307724SECURE STORAGE DEVICE - A communication and security device for a portable computer is disclosed including a housing, a connector provided on the housing for physical connection to the portable computer, a computer interface coupled to the connector for communicating data with the portable computer, a wireless modem coupled to the computer interface for communicating data between the portable computer and a remote device via a wireless network, a regulator operable to regulate power in the communication and storage device, and a processor coupled to control the regulator, the processor coupled to the wireless modem and arranged to process at least one security command received by the wireless modem to control the regulator in response to the received command.12-15-2011
20110307725METHOD TO MANAGE THE POWER SETTING OF A RECEIVER/DECODER FOR PAY-TV - A method to manage the power setting of a receiver/decoder for pay-TV comprising a timer and means to receive management messages, the power setting comprising at least three states, the active state during which the reception and the decoder is active, the active/standby state during which the receiver is active for the reception of management messages and the standby state during which the receiver/decoder is in idle mode.12-15-2011
20110307726REMOTE CONTROL METHOD AND REMOTE CONTROLLER - A remote controller 12-15-2011
20110307727Computer with Built-in Wireless Module and Standby and Activate Method Thereof - A method for activating a computer with a built-in wireless module includes the following steps: launching the activation of the computer; sending signal of requiring activation of the computer by the wireless module; and detecting, analyzing and determining the signal of requiring activation of the computer by a control circuit, sending control signal of activating the computer to the computer by the control circuit and as a response to said control signal, the computer is activated. The computer can supply electrical power to the wireless module without interruption in case that the computer gets into standby, sleep or shutdown mode. When there is incoming voice signal or data signal (for instance, short message or MMS), the voice signal or data signal may be detected, analyzed, and determined and once activation signal is found, the computer will wake up in a short time and normal hardware and software environment will resume.12-15-2011
20110307728METHOD FOR CONTROLLING ELECTRIC POWER OF COMPUTER SYSTEM - To reduce the electric power consumption of the computer system, the computer system includes at least one server and at least one data processing apparatus, the data processing apparatus includes an electric power consumption state control module by which electric power consumption of the data processing apparatus can be changed, obtains a use relationship between each server and each processing apparatus included in the computer system, monitors a change in a state of the server, searches for a related data processing apparatus in the use relationship with the server, obtains a state of at least one related server in the use relationship with the related data processing apparatus, and determines whether an electric power consumption state of the related data processing apparatus is to be changed or not based on the state of the related server, and changes the electric power consumption state of the related data processing apparatus.12-15-2011
20110307729STORAGE SYSTEM AND POWER CONSUMPTION REDUCTION METHOD FOR THE SAME - In a storage system that includes two or more file servers each including an arbitrary number of operating virtual file servers, a management server: holds a load information table regarding a load on each virtual file server for each time period and redundancy information table for the storage system; judges, with reference to the load information table and redundancy information table, whether or not the loads on the virtual file servers can be handled by a smaller number of file servers than the number of currently-operating file servers; selects, if the judgment result is positive, a power-off target file server and makes another file server fail over a virtual file server in the power-off target file server; and turns off the power-off target file server.12-15-2011
20110314312MANAGING MULTIPLE OPERATING POINTS FOR STABLE VIRTUAL FREQUENCIES - A system and method for managing multiple discrete operating points to create a stable virtual operating point. One or more functional blocks within a processor produces data corresponding to an activity level associated with the respective functional block. A power manager determines a power consumption value based on the data once every given sample interval. In addition, the power manager determines a signed accumulated difference over time between a thermal design power (TDP) and the power consumption value. The power manager selects a next power-performance state (P-state) based on comparisons of the signed accumulated difference and given thresholds. Transitioning between P-states in this manner while the workload does not significantly change causes the processor to operate at a virtual operating point between supported discrete operating points.12-22-2011
20110314313Green Mode Data Buffer Control - Embodiments provide improved modes of control of banked data buffer architectures. Embodiments provide modes of control of a central buffer pool (CBP) of a memory management unit (MMU). Embodiments are enabled by a cell free address pool (CFAP) module. The CFAP module may be implemented according to a banked structure. The CFAP module provides CBP/CFAP bank arbitration for selecting enqueue data cells. The CFAP module includes a green mode control module for controlling the power up/down of CBP banks according to expected data traffic through the MMU.12-22-2011
20110314314POWER GATING OF CORES BY AN SOC - A method for power gating a core by a SoC is provided. Instruction Pointer information, state of the core, core access information and wake up latency information of the core are used in power gating or un-gating of the core. A change in state of the core is detected by a device driver and is provided to a power management unit. As the state of the core changes from active to passive, the wake up latency information and the core access information of the core are retrieved by the SoC from a database to perform either the power gating or the un-gating of the core. The database is prepared by analyzing code blocks statically.12-22-2011
20110314315Method and System for Reducing Power Consumption of Active Web Page Content - A method and system for reducing power consumption of active web page content includes synchronizing the wakeup periods of threads associated with active advertisement components of a web page.12-22-2011
20110314316COMPUTER SYSTEM AND CONTROL METHOD THEREOF - A computer system including at least one wake-up unit to sense whether a wake-up event occurs in a standby mode to decrease power consumption, a power supplying unit to supply power to the at least one wake-up unit, and a controlling unit to control a power supplying unit to the at least one wake-up unit in the standby mode according to predetermined setting corresponding to whether the at least one wake-up unit is operable.12-22-2011
20110320840Transparently Increasing Power Savings in a Power Management Environment - A mechanism is provided for transparently consolidating resources of logical partitions. Responsive to the existence of the non-folded resource on an originating resource chip, the virtualization mechanism determines whether there is a destination resource chip to either exchange operations of the non-folded resource with a folded resource on the destination chip or migrate operations of the non-folded resource to a non-folded resource on the destination chip. Responsive to the existence of the folded resource on the destination resource chip, the virtualization mechanism transparently exchanges the operations of the non-folded resource from the originating resource chip to the folded resource on the destination resource chip, where the folded resource remains folded on the originating resource chip after the exchange. Responsive to the absence of another non-folded resource on the originating resource chip, the vitalization mechanism places the originating resource chip into a deeper power saving mode.12-29-2011
20110320841Method for power management of data buses in electronic devices - Provided are systems and methods for execution by a core of a peripheral component to provide power management for a data bus in a electronic device, suhc as a mobile electronic device. One method comprises determining whether a device in the peripheral component is inactive, transmitting a request for deactivation of at least one data channel to the device, receiving a command to deactivate the at least one data channel, determining whether any remaining devices in the peripheral component are active, and placing the peripheral component in a first low power mode wherein the core remains active in order to monitor a data bus clock.12-29-2011
20110320842POWER SUPPLY CONTROL DEVICE, IMAGE PROCESSING DEVICE, POWER SUPPLY CONTROL METHOD, AND COMPUTER READABLE MEDIUM - A power supply control device including a mode switching controller, a first power supply section, a second power supply section, a third power supply section, a wiring system opening and closing unit and a controller. During the sleep mode, the first power supply section continues to supply power to a specific control system, the second power supply section shuts off its supply of power, and the third power supply section supplies power to the specific control system by a separate system to the specific control system. The wiring system opening/closing unit sets a power supply wiring system of the commercial power source to an open state or a closed state. When the third power supply section is supplying power during the sleep mode, the controller controls the wiring system opening and closing unit and sets the power supply wiring system of the commercial power supply to the open state.12-29-2011
20110320843SEMICONDUCTOR DEVICES AND SYSTEMS-ON-CHIP HAVING THE SAME - A semiconductor device includes a power gating unit, a combinational logic unit and a clamping unit. The power gating unit is turned on to output an internal signal at an output electrode based on an input signal or turned off according to operation modes. The combinational logic unit includes an input electrode directly connected to the output electrode of the power gating unit through a data line, and generates an output signal based on the internal signal received through the data line. The clamping unit is turned on to clamp the internal signal at a logic high level or at a logic low level or turned off according to the operation modes. The semiconductor device clamps the output electrode of the power gating unit without degrading an operation speed of the semiconductor device.12-29-2011
20110320844DYNAMIC CONTROL OF REDUCED VOLTAGE STATE OF GRAPHICS CONTROLLER COMPONENT OF MEMORY CONTROLLER - A method includes detecting a trigger condition, and in response to detecting the trigger condition, reducing a voltage applied to a graphics controller component of a memory controller. The reduction in voltage may cause the voltage to be reduced below a voltage level required to maintain context information in the graphics controller component.12-29-2011
20120005506SYSTEMS AND METHODS FOR IMPLEMENTING REDUCED POWER STATES - In some embodiments, provided is a way for devices to request S01-05-2012
20120005507Display Devices and Control Methods - A display device includes a host, a control unit, and a display unit. The control unit receives host data from the host and then generates input data. The control unit includes first, second, and third memories and an arbiter. The first memory stores the input data to serve as first stored data. The second memory stores second stored data and outputs it for generating output data. The third memory stores third stored data. When the amount of the first stored data is greater than a first threshold value, the arbiter performs a writing operation to write the first stored data into the third memory. When the amount of the second stored data is lower than a second threshold value, the arbiter performs a reading operation to read the third stored data to being written into the second memory. The display unit displays images according to the output data.01-05-2012
20120005508ASYNCHRONOUS SLEEP MODE FOR HOST CONTROLLER - Embodiments of the invention utilize a universal serial bus (USB) host controller to traverse an asynchronous data transfer list to identify data transfers to execute. The asynchronous data transfer list may include a plurality of header nodes, each header node to identify data transfers to one of a plurality of devices operatively coupled to an electronic device. The USB host controller may execute an extended sleep mode in response to identifying no data transfers to execute and receiving an indication that the system processor is in a sleep state. The USB host controller may exit the extended sleep mode in response to receiving an indication that the processor is in non-sleep state.01-05-2012
20120005509Method and Apparatus for Performing Energy Management via a Sub-System - Various methods for performing energy management via a sub-system are provided. One example method includes receiving a user input while a main processing system is in a power saving mode and buffering a representation of the user input. The example method further includes, in response to receiving the user input, triggering a wake up of a main processing system from the power saving mode, and causing transmission of the representation of the user input to the main processing system for processing. Similar and related example methods and example apparatuses are also provided.01-05-2012
20120005510ELECTRONIC APPARATUS HAVING SIGNAL PROCESSING CIRCUIT SELECTIVELY ENTERING POWER SAVING MODE ACCORDING TO OPERATION STATUS OF RECEIVER LOGIC AND RELATED METHOD THEREOF - An electronic apparatus with power saving functionality is disclosed. The electronic apparatus has a first signal processing circuit and a second signal processing circuit. The first signal processing circuit includes a receiver logic for receiving at least a signal; and a power saving determination logic for monitoring an operation status of the receiver logic and generating a first control signal according to the operation status. The second signal processing circuit includes a processing logic for receiving the received signal from the receiver logic and processing the received signal; and a power saving trigger logic for controlling the processing logic to enter a power saving mode from a normal mode when receiving the first control signal from the power saving control logic.01-05-2012
20120005511Method And Apparatus For A Power-Efficient Framework to Maintain Data Synchronization of a Mobile Personal Computer to Simulate A Connected Scenario - An apparatus and method for a power-efficient framework to maintain data synchronization of a mobile personal computer (MPC) are described. In one embodiment, the method includes the detection of a data synchronization wakeup event while the MPC is operating according to a sleep state. Subsequent to wakeup event, at least one system resource is disabled to provide a minimum number of system resources required to re-establish a network connection. In one embodiment, user data from a network server is synchronized on the MPC without user intervention; the mobile platform system resumes operation according to the sleep state. In one embodiment, a wakeup alarm is programmed according to a user history profile regarding received e-mails. In a further embodiment, data synchronizing involves disabling a display, and throttling the system processor to operate at a reduced frequency. Other embodiments are described and claimed.01-05-2012
20120011382SYSTEM AND METHOD FOR CONSERVING POWER IN A MEDICAL DEVICE - A system and method for conservation of battery power in a portable medical device is provided. In one example, a processor arrangement that includes a plurality of processors is implemented. At least one of these processors is configured to execute the critical functions of the medical device, while one or more other processors assume a reduced service level, thereby drawing significantly less power. According to this arrangement, the medical device conserves energy by drawing the additional electrical power needed to activate the additional processing power only when needed.01-12-2012
20120011383Techniques Employing Flits for Clock Gating - A method includes receiving data intended for a destination and sending a power flit to the destination via a route. The power flit wakes at least one component on the route. The method also includes sending the data as a data flit to the destination via the route after sending the power flit. The power flit can include a header indicating the destination and a source of the received data. The power flit can be formed by extracting destination data from the received data.01-12-2012
20120011384Network Apparatus Having Routing Function and Power Management Method Thereof - A power management method for a USB system comprises the steps of: detecting a connecting condition between the USB host and the USB device, reading a descriptor of the USB device, monitoring status of the USB host, selecting an operating mode of the USB device according to the status of the USB host, and selecting a corresponding transmission type via a USB port to transmit a control signal to the USB device so as to switch the operation mode of the USB device.01-12-2012
20120011385IMAGE PROCESSING APPARATUS, IMAGE PROCESSING SYSTEM, POWER SAVING MODE SHIFT CONTROLLING METHOD, COMPUTER-READABLE RECORDING MEDIUM, AND COMPUTER PROGRAM - Considering a position relation between client devices and an image processing apparatus, the image processing apparatus is appropriately shifted to a power saving mode. A position information storage portion stores information of a position relation between each of the client devices and the image processing apparatus, a monitored target extracting portion extracts, from among the client devices, a client device to be monitored whether or not to be online based on information including at least the information of the position relation, a status confirming portion confirms whether or not the client device extracted is online, a power saving mode shift judging portion judges whether or not to shift the image processing apparatus to a power saving mode based on a confirmation result, and a power controlling portion controls shifting to the power saving mode of the image processing apparatus based on a judgment result.01-12-2012
20120011386MEMORY APPARATUS OPERABLE TO PERFORM A POWER-SAVING OPERATION - A memory apparatus includes multiple memory circuits and an interface circuit to present to a host system emulated memory circuits. The interface circuit includes a first component of a first type and a second component of a second type, the first component and the second component being operable to present a host-system interface to the host system and to present a memory-circuit interface to the plurality of memory circuits, in which there is a difference in at least one aspect between the host-system interface and the memory circuit interface. At least one of the first and second components is operable to identify one or more memory circuits that is not being accessed and to perform a power-saving operation on the one or more memory circuits identified as not being accessed, where the power-saving operation includes placing the memory circuits identified as not being accessed in a precharge power down mode.01-12-2012
20120017104COMPUTER AND POWER MANAGEMENT SYSTEM FOR COMPUTER - The power consumption of a computer is dynamically managed independent of the OS or applications and without any delay time caused by system control. A blade server has server blades each including a processor, a power-saving control unit and a power sensor unit; a power supply box that supplies power to the server blades; and a single service processor which defines an electric current consumption upper limit value in the power sensor unit via the power-saving control unit of each server blade. When the electric current consumption of the server blades exceeds the electric current consumption upper limit value, a signal outputted by the power sensor unit is communicated to the processor, the operating frequency of the processor is controlled, and the maximum average power is controlled to be at or below the power consumption upper limit value.01-19-2012
20120017105ENERGY EFFICIENT ETHERNET NETWORK NODES AND METHODS FOR USE IN ETHERNET NETWORK NODES - The present invention relates to a transmitting node for transmitting data to a receiving node over at least one Ethernet link comprising a data communication unit arranged to transmit data over the at least one Ethernet link, and a control unit arranged to control the data communication unit so as to maintain the at least one Ethernet link in a link active state, characterized in that the control unit is arranged to operate in a first IDLE state in which IDLE data packets are transmitted periodically through the data communication unit over the at least one Ethernet link according to at least one predetermined IDLE data packet timing interval, and in said first IDLE state, power down at least one component comprised in the data communication unit in between the periodic transmissions of IDLE data packets. The present invention further relates to a method for use in a transmitting node, a receiving node, a network node and a system.01-19-2012
20120023353AUTOMATIC MODE SWITCH PORTABLE ELECTRONIC DEVICE - A portable electronic device having automatic power supply mode switching is provided. The portable electronic device includes a micro-sensor and a control circuit. The control circuit controls operations of the electronic device, the micro-sensor senses whether the electronic device is carried or worn by a user. When the electronic device operates in a normal mode, and if the electronic device is not carried or worn for a time longer than a buffer period of a predetermined interval, the control circuit switches to a sleep mode, which results in lower power consumption.01-26-2012
20120023354SENSING DEVICE AND ELECTRONIC APPARATUS - A sensing device includes: a sensor module that includes a group of sensors, at least one of which is set as a motion sensor, and can switch an operation mode to an overall operation mode in which all of the group of sensors operate and a partial operation mode in which a part of the sensors including the at least one sensor set as the motion sensor operate; and a control unit that controls the switching of the operation mode of the sensor module on the basis of an output from the motion sensor.01-26-2012
20120023355Predicting Future Power Level States For Processor Cores - In one embodiment, the present invention includes a method for providing power state change information from a plurality of cores of a processor to a predictor at a periodic interval and generating a prediction to indicate a predicted operation level of the cores during a next operating period. Other embodiments are described and claimed.01-26-2012
20120030489Power supply control within an integrated circuit - An integrated circuit 02-02-2012
20120030490COMMUNICATION SYSTEM - A communication system includes a network formed by a plurality of control units, each operated in a high power consumption mode and a low power consumption mode. A selected one or more of the control units act solely or in cooperation with each other to implement a specific function. Each of the selected one or more of the control units related to the specific function is set in the high power consumption mode. Each of the non-selected control units unrelated to the specific function is set in the low power consumption mode.02-02-2012
20120030491ELECTRICAL APPARATUS AND POWER SUPPLY CONTROL METHOD - An electrical apparatus has a controller for switching between a normal power mode and power saving modes. A receiver receives instructions for a manipulation on the apparatus. A switch controller switches to a first power saving mode when no instruction for manipulation is received within a first standby time in the normal power mode, switches to a second and lower power saving mode when no instruction for manipulation is received and the time reaches a preset second standby time in the first power saving mode, switches to the normal power mode when an instruction for manipulation is received when the apparatus is in the first or second power saving mode, and switches to the second or a third power saving mode for supplying less power than the first power saving mode but more than the second when the time reaches the first standby time if a predetermined condition is satisfied.02-02-2012
20120036381INFORMATION PROCESSING DEVICE, POWER CONTROL METHOD, AND PROGRAM - There is provided an information processing device including a memory, an OS that acquires location information of data stored in the memory, and a BIOS that performs power control to cause the memory to transition to a power saving state with reference to the location information acquired by the OS.02-09-2012
20120036382COMPUTER SYSTEM WITH POWER SAVING FUNCTION - A computer system capable of showing documents for users to read in a power saving mode is disclosed. The computer system includes a system end and a display end. The system end operates normally in a normal mode, when in a reading mode, the system end converts the data in processing to N pieces of frame data, and then the system end enters a suspend mode. The display end operates continuously in the reading mode. It includes an image buffer connecting to the system end, the image buffer temporarily storing N pieces of the frame data sent by the system end, an input device generating a control signal; a display panel; and an image transmitter connected to the image buffer, the display panel and the input device. The image transmitter displays the frame data on the display panel. When in the reading mode, the image transmitter receives the control signal generated by the input device, and retrieves the specific frame data from the image buffer and sends it to the display panel for displaying.02-09-2012
20120042183APPARATUS FOR ADJUSTING COMPUTER POWER MODE - An apparatus includes a setting module setting a preset time, a sensing module, a control module, a power interface connected to a power source to provides powers to the apparatus, and an output interface connected to a computer to output a control signal. The sensing module detects whether a user of the computer has left, outputs a first sensing signal when the user has left, and outputs a second sensing signal when the user comes back. The control module stores the preset time, when the preset time is reduced to zero, and the control module receives the first sensing signal, the control module outputs a sleep signal to the computer, to control the computer to enter a sleep mode. In the sleep mode, the control module outputs a wake signal to the computer according to the received second sensing signal, to control the computer to return to a working mode.02-16-2012
20120042184COMPUTER MOTHERBOARD CAPABLE OF REDUCING POWER CONSUMPTION IN SUSPEND - A computer motherboard has a newly added DS3W mode, which is capable of reducing power consumption of the computer motherboard in Suspend. With a power-saving control device and a power switch device that are newly added to the computer motherboard, power supply to a main memory, the power-saving control device, and the power switch device is maintained continuously, while all the other elements of the computer motherboard may be powered off, but the computer motherboard still has the capability of waking up and resuming from a conventional sleep S3 state, so as to save more power. When a user presses a power button, the power-saving control device and the power switch device resume power supply to the elements that are previously powered off.02-16-2012
20120042185COMPUTER SYSTEM AND CONTROL METHOD THEREOF - A computer system includes a system part, a connector to which an external device is connected, a communication unit which performs communication with the external device, and a control unit which is connected between the connector and the communication unit to monitor a state of a predetermined recognition signal applied from the external device when the system part is in a standby mode. The control unit performs a predetermined function corresponding to the monitored state of the recognition signal. When the system part is in the standby mode, the system part may be switched to an operation mode based on the recognition signal from the external device. Additionally, a predetermined charging power is output to an external device in response to the predetermined recognition signal applied from the external device connected to the connector while the system part exists in the standby mode.02-16-2012
20120042186CONTROL DEVICE FOR A VEHICLE NETWORK AND METHOD FOR OPERATING A VEHICLE NETWORK - A control device (02-16-2012
20120042187INFORMATION PROCESSING APPARATUS AND POWER SUPPLY CONTROL CIRCUIT - Provided is an information processing apparatus including: a power supply control portion that performs control of a power supply; a detection signal emitting portion that, when a connection of an external power source is detected in an operation stand-by state in which power consumption is suppressed and an operation is on stand-by, emits a detection signal only for a certain time period, in accordance with the detection; and a power supply portion that supplies power to the power supply control portion based on the detection signal emitted by the detection signal emitting portion and also stops the power supply to the power supply control portion after a certain time period elapses from the connection in the operation stand-by state.02-16-2012
20120047381Control Device, Main Board and Computer - The invention provides a control apparatus, a main board, and a computer wherein, the control apparatus is applied in the computing device. The computing device includes a main board, a standby power supply, and a switching means configured between the standby power supply and the at least one electronic element. The control apparatus includes a signal receiving module, a judging module for judging whether the computing device is in a turn-off mode according to the signal, and a controlling module. The standby power supply is connected with the main board through the control apparatus and the switching switch respectively, so that after the turn-off of the computer, the control apparatus switches off the connection between the standby power supply and the main board, to reduce the power consumption of the main board after the turn-off.02-23-2012
20120066531METHOD AND APPARATUS FOR ADAPTIVE POWER CONTROL IN A MULTI-LANE COMMUNICATION CHANNEL - An apparatus consisting of a digital communication channel comprised of a multiplicity of lanes where data is striped across the lanes in a predefined sequence. Each lane has the ability to be powered down or powered up in response to the amount of data being held in a transmit buffer at one end of the communication channel. The method consists of monitoring the amount of data being held in the transmit buffer; making the decision of how many lanes are required based on the amount of data; sending signals to cause the required number of lanes to be powered down or powered up; and performing the required power down or power up action at the particular transmitter and receiver. The fill level of the transmit buffer is continually monitored and the required number of active lanes and the striping sequence is calculated and updated as required as a function of the fill level, where a larger amount of data waiting to be transmitted will result in a greater number of lanes being powered up and a smaller amount of data waiting to be transmitted will result in a lesser number of lanes being powered up.03-15-2012
20120066532ELECTRONIC DEVICE AND METHOD FOR SAVING ENERGY THEREOF - An electronic device includes a dynamic memory, a static memory, a detection unit, a copy unit and a set unit. The dynamic memory stores an interrupt monitoring program. The interrupt monitoring program monitors whether an interrupt request is generated, and generates an interrupt signal when the interrupt request is generated. The detection unit detects whether the electronic device needs to enter a sleep mode, and generates a detection signal if the electronic device needs to enter the sleep mode. The copy unit copies the interrupt monitoring program from the dynamic memory to the static memory in response to the detection signal, and runs the interrupt monitoring program in the static memory for monitoring whether an interrupt signal is generated. The set unit sets the dynamic memory into a self-refresh mode in response to the detection signal.03-15-2012
20120066533DOCUMENT VALIDATOR WITH POWER MANAGEMENT - The disclosure relates to a device and a method for validating documents of value, especially a low power validator for validating documents of value is described comprising: a processing unit for controlling operation of the validator; an inlet for receiving a document of value from a user; and a power management system for transitioning the validator between a power conserving mode and a normal operating mode, wherein the power management system comprises a wake up unit arranged to monitor the inlet for the presence of a document of value and to monitor the voltage provided from a power source.03-15-2012
20120072751POWER CONSERVATION IN WIRELESS CLIENT TERMINALS AND SYSTEM LATENCY REDUCTION USING A PROXY DEVICE - A scheme is provided for conserving power in client terminals and/or reducing latency in wireless systems by using a proxy device. The client terminal may have a primary communication interface for communications with an access node and a secondary communication interface to communicate with the proxy device. The client terminal may indicate to the access node a short cycle rate for monitoring its signaling/control channel(s). The client terminal may the power off its primary communication interface without informing the access node. Prior to powering off its primary communication interface, the client terminal may assign the proxy device to act as its proxy and monitor the signaling/control channel with the access node. The proxy device monitors the signaling/control channel(s) according to the indicated short cycle rate. Upon detection of a message for the client terminal, the proxy device forwards the message to the client terminal via a secondary communication interface.03-22-2012
20120072752METHOD AND APPARATUS FOR PROVIDING POWER MANAGEMENT ENHANCEMENTS - A method and apparatus for providing power management enhancements. In an embodiment, the method comprises receiving an indication that the computing device is in a non-user-interactable state and powering down non-essential device components such that the computing device is configured to enter a low power state. In another embodiment, the method comprises selecting a duration for a battery life of the computing device and selecting a power profile for the computing device to ensure that the computing device operates for at least as long as the selected duration. In an embodiment, the apparatus comprises a computing device comprising means for providing battery power and means for altering a power profile of the computing device.03-22-2012
20120079301Making Read-Copy Update Free-Running Grace Period Counters Safe Against Lengthy Low Power State Sojourns - A technique for making a free-running grace period counter safe against lengthy low power state processor sojourns. The grace period counter tracks grace periods that determine when processors that are capable executing read operations have passed through a quiescent state that guarantees the readers will no longer maintain references to shared data. Periodically, one or more processors may be placed in a low power state in which the processors discontinue performing grace period detection operations. Such processors may remain in the low power state for a complete cycle of the grace period counter. This scenario can potentially disrupt grace period detection operations if the processors awaken to see the same grace period counter value. To rectify this situation, processors in a low power state may be periodically awakened at a predetermined point selected prevent the low power state from extending for an entire roll over of the grace period counter.03-29-2012
20120079302COMMUNICATION DEVICE AND COMMUNICATION SYSTEM - According to an embodiment, a communication device includes a wake-up signal reception unit configured to receive a wake-up signal to request a change of a state from a second state to a first state, the second state requiring less power consumption than the first state; and a state control unit configured to change the state from the second state to the first state and inform a control unit of the change of the state when the wake-up signal is received, the control unit being configured to process a frame received during the first state.03-29-2012
20120084589Hardware Dynamic Cache Power Management - In an embodiment, a control circuit is configured to transmit operations to a circuit block that is being powered up after being powered down, to reinitialize the circuit block for operation. The operations may be stored in a memory (e.g. a set of registers) to which the control circuit is coupled. In an embodiment, the control circuit may also be configured to transmit other operations from the memory to the circuit block prior to the circuit block being powered down. Accordingly, the circuit block may be powered up or powered down even during times that the processors in the system are powered down (and thus software is not executable at the time), without waking the processors for the power up/power down event. In an embodiment, the circuit block may be a cache coupled to the one or more processors.04-05-2012
20120084590IMPLEMENTING SLEEP LINES IN COMMODITY ETHERNET HARDWARE - A first Network Interface Controller operates in a low power mode. The first Network Interface Controller transitions from low power mode to a power-up sequence if a sleep packet in not received from a second Network Interface Controller at the first Network Interface Controller within a predetermined time threshold.04-05-2012
20120084591POWER MANAGEMENT SYSTEMS AND METHODS - Systems and methods for efficiently managing power consumption in portable electronic devices are provided. In one embodiment, power management circuitry may operate the device in a low power mode (e.g., a HIBERNATION mode), but enables the device to quickly become fully operational in response to a power-ON event, despite having been in that low power mode. This may be accomplished by powering a processor engaging memory (e.g., SDRAM) while other circuitry are powered OFF. In another embodiment, the display may be driven by an application portion when operating in an ON mode, but may be driven by a carrier portion when the application is operating in a low power mode. In another embodiment, various discrete circuitry portions are selectively turned ON and OFF, depending, for example, on whether a particular discrete circuitry portion is idle or its processing functionality is not needed.04-05-2012
20120089854SYSTEMS AND METHODS FOR OPTIMIZING DATA STORAGE AMONG A PLURALITY OF SOLID STATE MEMORY SUBSYSTEMS - A solid state storage device includes an interface system configured to communicate with an external host system over an aggregated multi-channel interface to receive data for storage by the solid state storage device. The solid state storage device also includes a storage processing system configured to communicate with the interface system to receive the data, process the data against storage allocation information to parallelize the data among a plurality of solid state memory subsystems, and transfer the parallelized data. The interface system is configured to receive the parallelized data, apportion the parallelized data among the plurality of solid state memory subsystems, and transfer the parallelized data for storage in the plurality of solid state memory subsystems, where each of the plurality of solid state memory subsystems is configured to receive the associated portion of the parallelized data and store the associated portion on a solid state storage medium.04-12-2012
20120096293Directed Resource Folding for Power Management - A mechanism is provided for directed resource folding for power management. The mechanism receives a set of static platform characteristics and a set of dynamic platform characteristics for a set of resources associated with the data processing system thereby forming characteristic information. The mechanism determines whether one or more conditions have been met for each resource in the set of resources using the characteristic information. Responsive to the one or more conditions being met, the mechanism performs a resource optimization to determine at least one of a first subset of resources in the set of resources to keep active and a second subset of resources in the set of resources to dynamically fold. Based on the resource optimization, the mechanism performs either a virtual resource optimization to optimally schedule the first subset of resources or a physical resource optimization to dynamically fold the second subset of resources.04-19-2012
20120096294Electronic Apparatus, Electronic Apparatus Power Control, Electronic Apparatus Power Control Program, And Storage Medium Storing Power Control Program - An electronic apparatus includes a first power source to generate power from external power; a photovoltaic power generation device to generate power from received light as cell-generated power; a power storage device to store cell-generated power; a second power source to generate power from cell-generated power; a mode switching unit to switch between normal power supply mode and reduced-power mode, in normal power supply mode, power is supplied from the first power source to the electronic apparatus, and in reduced-power mode, power supply from the first power source is stopped and power is supplied from the second power source; a voltage detector to detect voltage of cell-generated power; a memory to store condition-specific threshold voltages to determine conditions of the electronic apparatus; and a power supply controller to control switching between normal power supply mode and reduced-power mode by comparing the voltage of cell-generated power and the condition-specific threshold voltages.04-19-2012
20120102347Process State of a Computing Machine - A computing machine to power a memory to retain a process state of the computing machine if the computing machine is in a sleep state and transfer the process state from the memory to a non-volatile storage device, where the computing machine remains in the sleep state as the process state is transferred from the memory to the non-volatile storage device.04-26-2012
20120102348FINE GRAINED POWER MANAGEMENT IN VIRTUALIZED MOBILE PLATFORMS - A system and method of managing power may include determining a power state based on a first power management request from a first operating system executing on a mobile platform and a second power management request from a second operating system executing on the mobile platform. The first operating system and one or more components of the mobile platform can define a first virtual machine, and the second operating system and one or more components of the mobile platform can define a second virtual machine. In addition, the power state may be applied to the mobile platform.04-26-2012
20120102349SYSTEM AND METHOD FOR CONTROLLING PROCESSOR LOW POWER STATES - A next idle state for a processor in a system may be determined based on a previous idle period and a previous idle state. The next idle state for the processor may also be determined based on times when interrupts are generated by devices in the system.04-26-2012
20120110359AUTOMATICALLY DETERMINING OPERATING PARAMETERS OF A POWER MANAGEMENT DEVICE - Automatically determining operating parameters of a power management device is described.05-03-2012
20120117404Decentralized Sleep Management - Techniques for employing a decentralized sleep management service are described herein. In some instances, each computing device of a group of computing devices periodically shares information about itself with each other computing device of the group. With this information, each computing device within the group that is awake and capable of managing other devices selects a subset of devices to probe. The devices then probe this subset to determine whether the probed devices are asleep. In response to identifying a sleeping device, the probing device takes over management of the sleeping device. Managing the sleeping device involves informing other devices of the group that the sleeping device is being managed, in addition to monitoring requests for services on the sleeping device. In response to receiving a valid request for a service hosted by the sleeping device, the managing device awakens the sleeping device and ceases managing the now-woken device.05-10-2012
20120117405Information Processing Device and Data Distribution Method - An information processing device that is connected to one or more other information processing devices and distributes hibernation data to another information processing device, includes: a storage unit that stores the hibernation data; a configuration information acquisition unit that acquires identification information and configuration information describing a device configuration of each information processing device from the other information processing device; a configuration evaluation unit that analyzes the acquired configuration information and determines if the configuration of the device is the same as the configuration of the other information processing device; and a data transmission unit that, when there is at least one information processing device with the same device configurationas itself among the other informationprocessing devices, sends the hibernation data to an information processing device with the same configuration using the identification information of that other device as the address.05-10-2012
20120117406METHOD AND APPARATUS FOR MEMORY MANAGEMENT IN MOBILE DEVICE - A method for memory management in a mobile device, and a mobile device for performing the method, are provided. The mobile device performs garbage collection in a flexible manner after transitioning from the sleep state to the wakeup state according to the paging cycle. This contributes to securing the sleep interval for the mobile device and reducing power consumption. The memory management method includes transitioning from a sleep state to a wakeup state, performing a paging procedure in the wakeup state, determining whether to initiate garbage collection after completion of the paging procedure, performing, when it is determined that garbage collection is to be initiated, garbage collection according to a paging cycle, and transitioning from the wakeup state to the sleep state after completion of garbage collection.05-10-2012
20120117407COMPUTER SYSTEM AND COMPUTER SYSTEM CONTROL METHOD - According to one embodiment, a computer system comprises a first memory that stores a first program, a second memory that stores a second program or data, a processor, a first and a second power control circuits. The first power control circuit causes the first memory to operate at a first power consumption when detecting change of an input signal to the processor, and causes the first memory to operate at a second power consumption smaller than the first power consumption and transmits a temporary halt instruction to the processor when the execution of the first program or the second program by the processor is completed. The second power control circuit causes the second memory to operate at a third power consumption before the processor executes the second program, reads or writes the data.05-10-2012
20120124404COMPUTER HAVING ELECTRICITY SAVING FUNCTION - A computer includes a motherboard, a capacitive sensor antenna, a capacitive approach sensor module, and a micro control unit (MCU). The capacitive sensor antenna is used to sense a capacitive signal generated from people adjacent to the computer. The capacitive approach sensor module is used to receive the capacitive signal sensed by the capacitive sensor antenna. The MCU is used to receive the capacitive signal from the capacitive approach sensor module. The MCU outputs a first control signal to a sleep control pin of the motherboard to control the motherboard to enter a sleep state in response to the capacitive signal being less than a predetermined value. The MCU outputs a second control signal to the sleep control pin to control the motherboard to exit from the sleep state in response to the capacitive signal being greater than or equal to the predetermined value.05-17-2012
20120131367DEVICE AND METHOD FOR CONTROLLING SECONDARY BATTERY - A secondary battery control device having a sleep mode includes a current detection element for detecting a charging/discharging current value of a battery, a voltage detection element for detecting an open-circuit voltage value of the battery, and a control section for calculating the remaining capacity of the battery based on the detected values. When the control section enters the sleep mode, the control section sets, in a wake timer, based on the remaining battery capacity and the discharging current value at this time, an amount of time required for the remaining battery capacity to reach a predetermined value (about 5%), as an amount of time required for the control section to be restored to a normal mode. When the set amount of time has passed, the control section is restored to the normal mode, and corrects the remaining battery capacity to obtain an accurate remaining battery capacity.05-24-2012
20120131368ELECTRONIC DEVICE AND POWER SAVING METHOD THEREOF - An electronic device includes an input unit, a memory, a page flipping control unit, a buffer, a display, a processor and a switching unit. The input unit generates flipping commands in response to operations of a user. The memory stores a document. The processor displays the document in response to a document opening operation of the user, retrieves a predetermined page range of pages of the document from the memory, and stores the retrieved pages of the document in the buffer. The page flipping control unit receives the flipping commands, accesses the buffer, and flips pages on the display. The switching unit is connected to the memory, the display, and the buffer, and establishes a connection between the display, the buffer and the processor or establishes a connection between the display, the buffer, and the page flipping control unit under control of the processor.05-24-2012
20120131369SYSTEMS AND METHODS FOR WAKING WIRELESS LAN DEVICES - A system and method for wireless waking computing devices over a computer network is provided. A signal is broadcast over the network that includes one or more device specific wake-up data sequences. Each device specific wake-up data sequence includes multiple iterations of the hardware address of the wireless network card associated with that device. While in a reduced power or “sleep mode”, the wireless network card monitors wireless channels for packets containing a wake-up data sequence. If a wake-up data sequence is received, the sequence is matched against the hardware address information for that network card. If a match is determined, the network card sends a signal to the computing device causing full system power to be restored. A signal is sent to the network confirming that the device has been successfully woken from the sleep mode.05-24-2012
20120131370CONSERVING POWER IN A COMPUTER SYSTEM - A power management unit (PMU) may determine an optimal power saving state using a break-even period of a power saving state and an expected idle duration based on a first policy. The PMU may determine the optimal power saving state using a first break even period and actual idle duration based on a second policy. The break-even period may equal a minimum time a computer system should remain in a power saving state to compensate for the power consumed by the system to enter and exit that power saving state. The expected idle time duration is determined as an average of idle duration and a recent sample of idle duration. The actual idle duration is the difference of a first and second time point that represents entry and exit points to and from the power saving state. The PMU may transition the system to the optimal power saving state.05-24-2012
20120137153SERVER SYSTEM - A server system including a rack, motherboards and direct current (DC) transformation units is provided. Each of the motherboards is inserted on the rack, and generates a power on signal. Each of the DC transformation units is on the rack and receives a DC voltage. When each of the motherboards is electrically connect to the corresponding DC transformation unit, each of the DC transformation units generates a standby voltage and a standby voltage ready signal to the motherboard according to the DC voltage so that the motherboard enters a standby state according to the standby voltage ready signal. When the motherboard corresponding to each of the DC transformation unit generates the power on signal, the DC transformation unit receives the power on signal and generates an operating voltage and an operating voltage ready signal to the motherboard, and the motherboard is turned on according to the operating voltage ready signal.05-31-2012
20120137154POWER SUPPLY CONTROL DEVICE, IMAGE PROCESSING APPARATUS, POWER SUPPLY CONTROL METHOD AND COMPUTER READABLE MEDIUM FOR CONTROLLING POWER SUPPLY - A power supply control device includes a power supply controller that selectively performs a power supply mode and a power saving mode, a detector that detects a moving body in a predetermined range in the vicinity of the processor, a power supply mode change instruction unit that changes an operation mode from the power saving mode to the power supply mode when the detector detects the moving body, an information history unit that acquires information related to an operation for the processor in the power supply mode, and a sensitivity adjusting unit that adjusts a detection sensitivity of the detector for the moving body on the basis of the information acquired by the information history unit for a period from a change of the operation mode from the power saving mode to the power supply mode to a change of the operation mode to a next power saving mode.05-31-2012
20120137155ELECTRONIC APPARATUS AND POWER SAVING CONTROL METHOD FOR ELECTRONIC APPARATUS - According to one embodiment, an electronic apparatus includes a first power saver, a second power saver and a controller. The first power saver executes switching from an operable condition to a first power saving state. The second power saver executes switching from the first state to a second state in which power consumption is smaller than that in the first state. The controller determines whether timer event processing executable in the first state is scheduled within a predetermined period of time when the switching from the operable condition to the second state is required, and controls the first power saver and the second power saver so as to execute switching to the first state and maintains the first state without switching to the second state, when the timer event processing is scheduled within the predetermined period of time.05-31-2012
20120144220MODULAR GATING OF MICROPROCESSOR LOW-POWER MODE - A method of operating an electronic device includes determining whether one or more operating conditions for allowing the electronic device to operate in a low-power mode are satisfied, preventing the electronic device from operating in the low-power mode if fewer than all of the operating conditions are satisfied, and causing the electronic device to operate in the low-power mode if all of the operating conditions are satisfied.06-07-2012
20120151234METHOD AND APPARATUS FOR MESSAGE HANDLING DURING POWER SAVING MODES - A method for implementing a sleep control that includes the steps of receiving a message from a sending block via a messaging bus, wherein the message is destined for a receiving block; determining whether the receiving block is awake; and delaying further transmission of the message until the receiving block is awake. An apparatus for performing the method is also disclosed.06-14-2012
20120151235METHODS AND SYSTEMS FOR ENERGY EFFICIENCY AND ENERGY CONSERVATION INCLUDING ENTRY AND EXIT LATENCY REDUCTION FOR LOW POWER STATES - Systems and methods for entry and exit latency reduction for low power states are described. In one embodiment, a computer implemented method initiates an energy-efficient low power state (e.g., deep sleep state) to reduce power consumption of a device. The method sets a power supply voltage that provides sufficient power to a dual power supply array for retention of states. Logic is powered down in this low power state.06-14-2012
20120159217METHOD AND APPARATUS FOR PROVIDING EARLY BYPASS DETECTION TO REDUCE POWER CONSUMPTION WHILE READING REGISTER FILES OF A PROCESSOR - A method and apparatus are described for reducing power consumption in a processor. A micro-operation is selected for execution, and a destination physical register tag of the selected micro-operation is compared to a plurality of source physical register tags of micro-operations dependent upon the selected micro-operation. If there is a match between the destination physical register tag and one of the source physical register tags, a corresponding physical register file (PRF) read operation is disabled. The comparison may be performed by a wakeup content-addressable memory (CAM) of a scheduler. The wakeup CAM may send a read control signal to the PRF to disable the read operation. Disabling the corresponding PRF read operation may include shutting off power in the PRF and related logic.06-21-2012
20120159218EFFICIENT POWER MANAGEMENT AND OPTIMIZED EVENT NOTIFICATION IN MULTI-PROCESSOR COMPUTING DEVICES - Methods and devices for reducing power consumption in a multi-processor computing device include filtering indications from the second processor intended for the first processor while the first processor is in a low power state, so that only selected, such as significant, indications are transmitted. The second processor may be informed when the first processor is in a low power state. Indications generated by the second processor may be compared to indication filtering criteria to determine whether each should be transmitted to the first processor. Those indications satisfying the indication filtering criteria may be sent to the first processor, causing it to return to a normal power state. In mobile computing device the first processor may be an applications processor and the second processor may be a modem. Filtering of indications may be accomplished in the second processor or in a power controller in some implementations.06-21-2012
20120159219VR POWER MODE INTERFACE - In some embodiments, a control interface and associated control entity are provided to synchronize CPU activities to CPU power delivery network such as VR mode of operation, based on CPU power demands or the prediction of actual CPU current consumption. In some embodiments, the synchronization is controlled in such timely fashion so that the power states or power-related events are entered by a CPU (or core) based on characteristics of a VR supplying power to the CPU (or core).06-21-2012
20120159220Portable Electronic Device and Method for Recovering Power to a Rechargeable Battery Used Therein - A portable electronic device employs a method for recovering power to a rechargeable battery used therein when the battery is in a low state of charge. The portable electronic device includes at least a power management subsystem (PMS), a main processor subsystem, and the battery. When the battery is incapable of supplying boot-up power to the processor subsystem, power is provided from a battery charger to the PMS to power-up the PMS. The PMS then determines the battery's type and a state-of-charge (SOC) parameter for the battery, and compares the SOC parameter to a threshold, which is based on at least the battery type. If the SOC parameter is less than the threshold, power is provided from the battery charger to the battery for use in recharging the battery. Otherwise, power is supplied from the battery to the processor subsystem to facilitate general operation of the portable electronic device.06-21-2012
20120159221APPARATUS, METHOD, AND SYSTEM FOR EARLY DEEP SLEEP STATE EXIT OF A PROCESSING ELEMENT - An apparatus and method is described herein for providing an early wake scheme before spawning a new thread. An early wake indication is provided an amount of time, which may include an amount of time to perform a demotion from a current power state to a lower power state that is closer to an active power state, before a new thread is to be spawned and executed on a processing element (core or thread). Upon encountering the spawn of the new thread, such as a helper thread, the processing element is further transition from the lower power state to an active power state. And the new thread is executed on the processing element without incurring the latency associated with execution of the new thread waiting for the demotion from the current power state to an active power state after the spawn of the new thread.06-21-2012
20120159222METHOD AND SYSTEM FOR RAPID ENTRY INTO AND FOR RAPID EXITING FROM SLEEP STATES FOR PROCESSORS OF A PORTABLE COMPUTING DEVICE - A method and system for managing sleep states of a portable computing device are described. They include maintaining a sleep set of resource states and an active set of resource states in memory. A request may be issued for a processor to enter into a sleep state. This causes a controller to review a trigger set to determine if a shut down condition for the processor matches one or more conditions listed in the trigger set. Each trigger set may comprise a “trigger event” that may allow a controller to select a specific resource set which is desired by a particular processor based on a trigger event detected by a system power manager. If a trigger set matches a shut down condition, then switching states of one or more resources in accordance with the sleep set may be made by the controller without using a software handshake.06-21-2012
20120159223IMAGE FORMING APPARATUS AND PRINT SYSTEM - An image forming apparatus monitors sleep-cancelling events, and when a sleep-cancelling event occurs, stores an identifier and a sleep-cancellation time of the event. If a start time of a sleep mode is reached when (i) the apparatus is in a standby mode and (ii) one or more sleep-cancelling events specifying sleep-cancellation times later than the start time have been stored, the apparatus calculates power consumption required to maintain the standby mode for a period from the start time to the earliest sleep-cancellation time, as a power-saving amount savable during the period. Also, a recovery power amount required for recovery from the sleep mode to the standby mode is calculated on the assumption that the sleep mode is started at the start time and is cancelled at the earliest sleep-cancellation time. Switching to the sleep mode is performed only when the power-saving amount is greater than the recovery power amount.06-21-2012
20120166840METHOD AND APPARATUS FOR IMPROVING THE RESUME TIME OF A PLATFORM - A method and apparatus for improving the resume time of a platform. In one embodiment of the invention, the context of the platform is saved prior to entering an inactive state of the platform. When the platform is switched back to an active state, it reads the saved context and restores the platform to its original state prior to entering the inactive state. In one embodiment of the invention, the platform determines whether it should compress the saved context before storing it in a non-volatile memory based on the operating condition of the platform. This allows the platform to select the optimum method to allow faster resume time of the platform.06-28-2012
20120166841EVENT SYSTEM AND TIMEKEEPING FOR BATTERY MANAGEMENT AND PROTECTION SYSTEM - Operating a battery management and protection system includes generating a set of events each of which has a respective frequency F/n06-28-2012
20120166842THERMALLY DOWNWARD SCALABLE SYSTEM - An apparatus may comprise a power management system. Other embodiments are described and claimed.06-28-2012
20120166843METHOD, APPARATUS AND SYSTEM TO TRANSITION SYSTEM POWER STATE OF A COMPUTER PLATFORM - Techniques to tie a processor power state transition on a platform to another power state transition on the platform. In an embodiment, processor governor functionality of an operating system detects an idle condition of a processor executing the operating system. Based on the processor idle condition and one or more indicated conditions of other platform devices, tying logic may determine a system power state to transition the platform to. For example, the tying logic may select from one of a plurality of idle standby system power states.06-28-2012
20120166844POWER MANAGEMENT IN ELECTRONIC SYSTEMS - In one embodiment, an electronic apparatus comprises at least one processor and a computer readable medium coupled to the processor and comprising logic instructions encoded in the computer readable medium, wherein the instructions, when executed in a processing system, cause the processing system to perform operations comprising initializing a direct memory access profiler in an electronic system, wherein the direct memory access is coupled to a policy manager in the electronic system, measuring at least one memory consumption characteristic of the electronic system, communicating the at least one memory consumption characteristic to a policy manager of the electronic system, and using the at least one memory consumption characteristic to adjust a power state of the electronic system.06-28-2012
20120166845POWER STATE SYNCHRONIZATION IN A MULTI-CORE PROCESSOR - A multi-core processor includes microcode distributed in each core enabling each core to participate in a de-centralized inter-core state discovery process. In a related microcode-implemented method, states of a multi-core processor are discovered by at least two cores participating in a de-centralized inter-core state discovery process. The inter-core state discovery process is carried out through a combination of microcode executing on each participating core and signals exchanged between the cores through sideband non-system-bus communication wires. The discovery process is unmediated by any centralized non-core logic. Applicable discoverable states include target and composite power states, whether and how many cores are enabled, the availability and distribution of various resources, and hierarchical structures and coordination systems for the cores. The inter-core state discovery process may be carried out in accordance with various hierarchical coordination systems involving chained inter-core communications.06-28-2012
20120166846USB SYSTEM AND POWER MANAGEMENT MODULE AND METHOD THEREOF - A power management module is configured to set up a power mode of a computer system. A peripheral device having a Universal Serial Bus (USB) is connected to the computer system. The power management module includes an Operating System (OS) and a filter driver. The OS is configured to set up the power mode of the computer system, and the filter driver is configured to change the power mode of the computer system according to a packet transmitting/receiving status of the peripheral device.06-28-2012
20120166847BATTERIES FOR ELECTRIC TOOLS - A battery for an electric tool includes at least one battery cell, a peripheral device operable to detect a battery condition of the at least one battery cell, and a microcomputer communicating with the peripheral device. The microcomputer periodically operates the peripheral device for detecting the battery condition during the time when the battery is not electrically connected to the electric tool.06-28-2012
20120166848ADAPTIVE NETWORK AND METHOD - A plurality of modules interact to form an adaptive network in which each module transmits and receives data signals indicative of the proximity of objects. A central computer accumulates the data produced or received and relayed by each module. One of the modules is operable as a leaf node having a sleep mode to conserve energy and an interactive mode. The central computer can send a message to the leaf node commanding it to stay awake in order to receive subsequent communications.06-28-2012
20120166849SYSTEMS AND METHODS FOR CONTROL OF INTEGRATED CIRCUITS COMPRISING BODY BIASING SYSTEMS - Systems and methods for control of integrated circuits comprising body-biasing systems. In accordance with a first embodiment of the present invention, a desirable power condition of a computer system comprising a microprocessor is determined. Body biasing voltage information corresponding to the power condition is accessed. A voltage supply coupled to a body terminal of the microprocessor is commanded to generate a voltage corresponding to the body biasing voltage information corresponding to the power condition.06-28-2012
20120166850INFORMATION PROCESSING APPARATUS AND METHOD FOR CONTROLLING INFORMATION PROCESSING APPARATUS - An information processing apparatus includes a storage unit configured to store data, a supply unit configured to supply electric power to the storage unit, a determination unit configured to determine whether to cause the information processing apparatus to operate in a power saving mode, a measuring unit configured to measure an elapsed time after a power source of the information processing apparatus is turned on and until the determination unit determines to cause the information processing apparatus to operate in a power saving mode, and a control unit configured to control the supply unit to decrease electric power supplied from the supply unit to the storage unit at a timing determined based on the elapsed time and a predetermined reference time, in case that the determination unit determines to cause the information processing apparatus to operate in a power saving mode.06-28-2012
20120173908Hibernation During Meetings - A method, computer program product, and system for hibernation during meetings is described. A method may comprise designating a base computer system of a meeting. The method may further comprise determining if a user is in the meeting. The method may also comprise hibernating a computer system associated with the user based upon, at least in part, a hibernation policy, if it is determined that the user is in the meeting.07-05-2012
20120179927METHOD, APPARATUS, AND SYSTEM FOR ENERGY EFFICIENCY AND ENERGY CONSERVATION INCLUDING AUTONOMOUS HARDWARE-BASED DEEP POWER DOWN IN DEVICES - Embodiments of systems, apparatuses, and methods for energy efficiency and energy conservation including enabling autonomous hardware-based deep power down of devices are described. In one embodiment, a system includes a device, a static memory, and a power control unit coupled with the device and the static memory. The system further includes a deep power down logic of the power control unit to monitor a status of the device, and to transfer the device to a deep power down state when the device is idle. In the system, the device consumes less power when in the deep power down state than in the idle state.07-12-2012
20120185713SERVER, STORAGE MEDIUM, AND METHOD FOR CONTROLLING SLEEP AND WAKEUP FUNCTION OF THE SERVER - In a method for controlling a sleep and wakeup function of a server, the server includes a baseboard management controller (BMC) and an operating system (OS). The method receives a sleep control command input by a user through the BMC, and controls the server to work in a sleep state according to the sleep control command. The method further receives a wakeup control command input by the user through the BMC, and controls the server to work in a wakeup state according to the wakeup control command07-19-2012
20120185714METHOD, APPARATUS, AND SYSTEM FOR ENERGY EFFICIENCY AND ENERGY CONSERVATION INCLUDING CODE RECIRCULATION TECHNIQUES - An apparatus, method and system is described herein for enabling intelligent recirculation of hot code sections. A hot code section is determined and marked with a begin and end instruction. When the begin instruction is decoded, recirculation logic in a back-end of a processor enters a detection mode and loads decoded loop instructions. When the end instruction is decoded, the recirculation logic enters a recirculation mode. And during the recirculation mode, the loop instructions are dispatched directly from the recirculation logic to execution stages for execution. Since the loop is being directly serviced out of the back-end, the front-end may be powered down into a standby state to save power and increase energy efficiency. Upon finishing the loop, the front-end is powered back on and continues normal operation, which potentially includes propagating next instructions after the loop that were prefetched before the front-end entered the standby mode.07-19-2012
20120185715METHOD AND APPARATUS FOR FACILITATING DEVICE HIBERNATION - One embodiment of the present invention provides a system that enables a computing device to save additional power by entering a “hibernation mode,” wherein the active state of the computing device is preserved in non-volatile storage while power to volatile storage is turned off. During operation, the system reanimates a computing device from a hibernation image by restoring reanimation code from the hibernation image and then executing the reanimation code. While executing this reanimation code, the system restores the rest of the hibernation image by, reading compressed data containing the rest of the hibernation image, and decompressing the compressed data using computational circuitry within the computing device. During this process, the decompression operations are overlapped with the reading operations to improve performance.07-19-2012
20120185716CONSERVING POWER BY REDUCING VOLTAGE SUPPLIED TO AN INSTRUCTION-PROCESSING PORTION OF A PROCESSOR - One embodiment of the present invention provides a system that facilitates reducing static power consumption of a processor. During operation, the system receives a signal indicating that instruction execution within the processor is to be temporarily halted. In response to this signal, the system halts an instruction-processing portion of the processor, and reduces the voltage supplied to the instruction-processing portion of the processor. Full voltage is maintained to a remaining portion of the processor, so that the remaining portion of the processor can continue to operate while the instruction-processing portion of the processor is in reduced power mode.07-19-2012
20120185717APPARATUS AND METHOD FOR REDUCING POWER CONSUMPTION IN PORTABLE TERMINAL - An apparatus and method for reducing power consumption of a portable terminal are provided. More particularly, an apparatus and method for reducing power consumption generated in an idle state in order to solve a power consumption problem in a portable terminal are provided. The apparatus includes a state determination unit which is configured independently from an application processor for controlling applications and which wakes up when entering an idle mode to allow the application processor to sleep, and thereafter determines a state of the portable terminal, and if it is determined that the portable terminal escapes from the idle mode, allows the application processor to wake up.07-19-2012
20120185718POWER MANAGEMENT SYSTEM, POWER MANAGEMENT APPARATUS, NETWORK MANAGEMENT APPRATUS, INFORMATION PROCESSING APPARATUS, PROGRAM, POWER MANAGEMENT METHOD, AND INFORMATION PROCESSING METHOD - There are provided a power management system, a power management apparatus, a program, and a power management method that perform power management of terminal apparatuses connected to a network, while ensuring user convenience. A power management system 07-19-2012
20120191997ACTIVE OPTICAL CABLE (AOC) AND A METHOD AND APPARATUS FOR PERFORMING POWER MANAGEMENT IN THE AOC - An active optical cable is provided that incorporates a power management solution. The AOC has plugs that are configured to mate with respective USB sockets. The AOC is used to interconnect a USB host with a USB device. To the USB host and to the USB device, the AOC appears to be a standard USB electrical cable. Each of the plugs of the AOC has an optical-to-electrical and an electrical-to-optical (OE/EO) conversion module that converts electrical USB signals output from the USB host or USB device into optical signals and converts optical signals carried on the optical fibers of the AOC into electrical USB signals. The plugs include controllers that monitor certain conditions of the AOC and that select the power levels to be used in the plugs based on detected conditions.07-26-2012
20120191998DYNAMIC POWER MANAGEMENT IN A COMMUNICATIONS DEVICE - A method and system to dynamically manager power in a communication system are provided herein. The method comprises the steps of monitoring a transmit data queue once every first pre-determined period of time and determining whether the transmit data queue is empty for a pre-determined number of times. The method further includes the step of generating a transition state signal to stop de-queuing of data from the transmit queue if the transmit data queue is empty for the pre-determined number of times and selectively operating portions of a Physical Layer (PHY) that are used for functions other than transmitting and receiving an idle signal in a low power mode.07-26-2012
20120191999DATA PROCESSING APPARATUS CAPABLE OF CONTROLLING POWER SUPPLY, CONTROL METHOD THEREFOR, AND STORAGE MEDIUM - A data processing apparatus that has first to fourth power modes in which power consumption becomes larger in this order, and is capable of changing into a mode selected and set by a user. A receiving unit receives the instruction for bringing the data processing apparatus into the third power mode or the fourth power mode. A first transition unit brings, when the apparatus is working in the second power mode, the apparatus into the first power mode in response to the receiving unit receiving the transition instruction. A determination unit determines which mode the apparatus is to be brought into between the third power mode and the fourth power mode in response to the first transition unit bringing the apparatus into the first power mode. A second transition unit brings the apparatus into a power mode determined by the determination unit.07-26-2012
20120192000METHOD AND APPARATUS FOR COST AND POWER EFFICIENT, SCALABLE OPERATING SYSTEM INDEPENDENT SERVICES - A low cost, low power consumption scalable architecture is provided to allow a computer system to be managed remotely during all system power states. In a lowest power state, power is only applied to minimum logic necessary to examine a network packet. Power is applied for a short period of time to an execution subsystem and one of a plurality of cores selected to handle processing of received service requests. After processing the received service requests, the computer system returns to the lowest power state.07-26-2012
20120192001COMPUTER WITH LOW-POWER SECONDARY PROCESSOR AND SECONDARY DISPLAY - A device operable in each of active and inactive modes includes first and second processors. The first processor performs, in accordance with a first power level, both wireless and non-wireless network processing. A second processor performs wireless network processing in accordance with a second power level. While the device is operating in the active mode: the first processor and the first display are powered up; the first display displays a result of the wireless network processing or the non-wireless network processing by the first processor; and the second processor and the second display are powered down. While the device is operating in the inactive mode: the first processor and the first display are powered down; the second processor and the second display are powered up; and the second display displays a result of the wireless network related processing by the second processor.07-26-2012
20120198258WIRELESS INPUT DEVICE WITH A POWER SAVING SYSTEM - An input device with a power saving system is provided for reducing the power consumption of the input device when the input device is at rest. The input device may include a sensor, a rest mode switch, control logic and a current controller. The sensor may be configured to obtain an image data in response to a light incident on the sensor. The rest mode switch may be configured to set the input device to various levels of rest modes when it is inactive. The control logic may be coupled to the rest mode switch and the sensor. The control logic may be configured to set the input device to process a portion of the image data on the sensor array during a wake up detection operation when the input device is at rest. The current controller may be coupled to the control logic and the sensor and configured to control the current supply limited to a section of the sensor array containing the portion of image data that is being processed by the input device during the wake up detection operation.08-02-2012
20120198259COMMUNICATION DEVICE - In a case where a first condition is met while a communication device may in a high consumption state, the communication device may transit to a first low consumption state. In a case where a second condition is met while the communication device is in a specific state which is one state of the high consumption state and the first low consumption state, the communication device may transit to a second low consumption state. The communication device may be configured to execute a packet process in a case where a receiving process for receiving a packet is executed after the communication device had transited to the first low consumption state. The communication device may be configured not to execute the packet process in a case where the receiving process for receiving the packet is executed after the communication device had transited to the second low consumption state.08-02-2012
20120198260Body Heat Sensing Control Apparatus and Method - Embodiments of the disclosed invention include a body heat sensing control apparatus and method for automating features of an electronic device based on detection of a user's body heat. For example, in one embodiment, a data processing system is disclosed having a heat sensing mechanism for detecting the body heat of a user. In addition, the data processing system includes a data storage component for storing computer executable instructions and a processing unit for executing the computer executable instructions for enabling a user to configure one or more functions associated with the data processing system that are triggered in response to detecting the presence or absence of the user within the proximity of the data processing system using the heat sensing mechanism.08-02-2012
20120204046POWER-SUPPLY CONTROL DEVICE, IMAGE PROCESSING APPARATUS, POWER-SUPPLY CONTROL METHOD, AND COMPUTER READABLE MEDIUM - A power-supply control device includes a power-supply-state transition control section, body-capable-of-movement detection sections, and an instruction section. The power-supply-state transition control section shifts a state of an operation target section from one state to another state among power-supply states and a non-power-supply state. The body-capable-of-movement detection sections detect a body capable of movement in a region. The instruction section provides, on the basis of results of detection of the body capable of movement by the body-capable-of-movement detection sections, at least an instruction for shifting between one of the power-supply states and the non-power-supply state, among instructions for shifting the state of the operation target section from one state to another state with the power-supply-state transition control section.08-09-2012
20120204047APPARATUS AND METHODS FOR PROCESSOR POWER SUPPLY VOLTAGE CONTROL USING PROCESSOR FEEDBACK - Methods of operating an integrated circuit include determining a difference between a reference level and a level of a power supply voltage at a processor circuit of the integrated circuit, generating a digital code responsive to the determined difference and transmitting the digital code to a power management integrated circuit that provides power to the integrated circuit. The power management integrated circuit may adjust the power supply voltage responsive to the transmitted code. Integrated circuits and data processing systems are also provided.08-09-2012
20120204048MECHANISM FOR LOW POWER STANDBY MODE CONTROL CIRCUIT - Embodiments of the invention are generally directed to a low power standby mode control circuit. An embodiment of an apparatus includes a processor, an interface for a connection with a second apparatus, and an operational circuit, wherein the processor is to disable one or more power connections to the operational circuit in a standby mode. The apparatus further includes a standby mode control circuit, the standby control circuit to operate using a standby power source, wherein the standby mode control circuit is to detect a stimulus signal from the second apparatus and in response to the stimulus signal the standby control circuit is to signal the processor, the processor to enable the one or more power connections of the operational circuit.08-09-2012
20120204049SERVER INITIATED POWER MODE SWITCHING IN PORTABLE COMMUNICATION DEVICES - In a process, a power mode indicator is transmitted from a content server and is received by a Portable Communication Device (PCD). The indicator is indicative of a power mode potentially available to a circuit block in the PCD. The circuit block exhibits different levels of power consumption when operated in different power modes and is operated in the indicated power mode in response to the received power mode indicator. The content server may be a stream server. The circuit block may be operated in the power mode to receive/process a data stream.08-09-2012
20120204050MANAGEMENT APPARATUS AND PROGRAM - Power consumption of a communication apparatus having a plurality of operating modes can be accurately determined. A management apparatus 08-09-2012
20120210153ELECTRIC POWER CONTROL DEVICE AND ELECTRIC POWER CONTROL METHOD - An electric power control device includes: a power consumption monitoring section for monitoring electric power consumed by an electronic device; a moving average calculation section for calculating a moving average of the power consumption of the electronic device, based on the power consumption monitored by the power consumption monitoring section; a state-of-load determination section for determining state of the operational load on the electronic device, based on the moving average calculated by the moving average calculation section; and a control section configured to control the electronic device to operate either in a restriction mode in which an upper limit corresponding to state of load determined by the state-of-load determination section is imposed, or in a restriction release mode in which the upper limit is not imposed.08-16-2012
20120210154METHOD AND DEVICE FOR WAKING USERS OF A BUS SYSTEM AND CORRESPONDING USERS - An apparatus for waking up users of a CAN bus system, wherein a sensing element, in particular a counter, is provided which senses at least one predefined signal property of the signals transmitted on the bus system and initiates the further wakeup operation when a predefined number is reached with reference to the signal property, the data stream of the CAN bus itself being used as a clock for detecting the signal property.08-16-2012
20120216060NOISE REDUCTION CIRCUIT, ELECTRONIC DEVICE, AND NOISE REDUCTION METHOD - According to one exemplary embodiment, a noise reduction circuit includes: a controller which changes any one of a cycle of an active state and a time-period of each of the active state and an idle state to cause a CPU to intermittently operate.08-23-2012
20120216061DATA PROCESSING APPARATUS AND POWER SAVING CONTROL METHOD OF THE SAME - Reception of data by an operating system via a network is monitored outside of the operating system. When reception of a packet to which the operating system of a data processing apparatus should respond is detected, transition to a power saving state is deferred.08-23-2012
20120216062DEVICE, POWER-SAVING CONTROL METHOD, AND STORAGE MEDIUM - A device having at least one power-saving mode includes a storage unit configured to store a program; an advance notification unit configured to send, to the program, an advance notice of transition to the power-saving mode prior to transition start timing at which the transition to the power-saving mode is started; a transition determining unit configured to query the program, at the transition start timing, whether the transition to the power-saving mode is acceptable; and a transition control unit configured to cause the device to transition to the power saving mode if the transition to the power-saving mode is acceptable for the queried program.08-23-2012
20120216063NETWORK COMMUNICATION DEVICE, PERIPHERAL APPARATUS, AND NETWORK COMMUNICATION METHOD - A network communication device includes a first control unit that has a first application, a second control unit that has a second application and a communication processing section, and a shared storage unit, wherein, in a first mode where the first control unit is in an operation state, the first application performs a predetermined process using the shared storage unit, and the communication processing section performs communication in response to an instruction from the first application, and wherein, if the first control unit transitions from the first mode to a second mode in which power consumption is lower than that in the first mode, the first application stops execution of the process, the second application performs a process based on data related to the first application, stored in the shared storage unit, and the communication processing section performs communication in response to an instruction from the second application.08-23-2012
20120221875MULTI-PHASE RESUME FROM HIBERNATE - Resume of a computing device from hibernation may be performed in multiple phases. Each phase may partially restore a state of the computing device to an operational state and may establish an environment in which another phase of the resume is performed. The hibernation information may be partitioned to store separately data to be used at each resume phase. The information may be stored in a compressed form. In a first phase, a boot-level resume loader may restore a portion of the operating system based on a portion of the hibernation information. The restored portion may be used in a second phase to retrieve hibernation information from another portion through the operating system (OS). Multiple processors supported by the OS may read and decompress the hibernation information that is then moved back to operational memory. The operating system may support asynchronous disk input/output or other functions that accelerate the resume process.08-30-2012
20120221876LOW POWER CONSUMPTION CIRCUIT AND METHOD FOR REDUCING POWER CONSUMPTION - An exemplary low power consumption circuit includes a microprocessor, a power supply switch module and a main circuit module. The microprocessor is capable of outputting a power control signal and changing a pulse characteristic of the power control signal when the microprocessor switches from a first working mode to a second working mode. The power supply switch module is capable of outputting a power supply signal. The power supply switch module is electrically coupled to the microprocessor to receive the power control signal and thereby modulates a duty cycle of the power supply signal according to a change of the pulse characteristic of the power control signal. The main circuit module is electrically coupled to the power supply switch module to receive the power supply signal and operative with energy provided by the power supply signal. Moreover, a method for reducing power consumption is also provided.08-30-2012
20120226927METHODS, SYSTEMS, AND COMPUTER READABLE MEDIA FOR ADVANCED POWER MANAGEMENT FOR SERIAL ADVANCED TECHNOLOGY ATTACHMENT (SATA)-BASED STORAGE DEVICES - Methods, systems, and non-transitory computer readable media for advanced power management for serial advanced technology attachment (SATA)-based storage devices are disclosed. According to one aspect, the subject matter described herein includes a method for advanced power management of SATA-based storage devices. The method includes, at a SATA-based storage device having a controller, a non-volatile memory for storing data, and a communication interface for communicating with a host, receiving from the host a command to enter a quiescent mode. In response to receiving the command to enter a quiescent mode, the storage device enters a quiescent mode. The storage device receives from the host an indication that the storage device should enter a low power mode. In response to this indication, the storage device puts at least a portion of the non-volatile memory into a low power mode while maintaining at least a portion of the controller in normal power mode. The storage device optionally puts a physical layer interface of the storage device into a low power mode for additional power savings.09-06-2012
20120233481METHOD, APPARATUS AND COMPUTER PROGRAM PRODUCT FOR DECREASING POWER CONSUMPTION OF AN APPARATUS - According to an exemplary embodiment of the invention, there is provided a method, comprising: determining that an apparatus has data to be sent to a receiving entity during a sleep period of a power saving cycle, the power saving cycle comprising a wake period during which a receiver is turned on and a sleep period during which the receiver is turned off; and delaying transmission of a data indication to the receiving entity by a delaying amount.09-13-2012
20120233482POWER MANAGEMENT BASED ON COMBINED USER INTERFACE AND SENSOR INPUTS - Power usage of a portable computing device is modified to more efficiently use a power supply included in the portable computing device. Power usage by different components of the portable computing device is modified based on use of the portable computing device to allow dynamic modification of power consumption. The portable computing device includes data describing various power management states and the portable computing device transitions between different power management states as it is used, modifying the power consumption of various components in different power management states. Various communication device operating states are also defined to modify power usage by communication devices included in the portable computing device based on device usage.09-13-2012
20120233483COMPUTER SYSTEM AND OPERATION METHOD APPLICABLE THERETO - An operation method for a computer system includes: a capacitance button controller detecting whether a capacitance button group is touched; if a capacitance power button of the capacitance button group is touched, a system platform receiving a power button signal in a first logic state and changing an operation state of the system platform; if the capacitance power button is touched for longer than a predetermined time, shutting down the system platform; if the capacitance power button is touched for not longer than the predetermined time, the system platform receiving the power button signal in a second logic state and determining a subsequent operation status of the system platform and the capacitance button controller based on a system status signal; and if the capacitance button group is touched but the capacitance power button is not touched, the capacitance button controller entering into a power-saving state.09-13-2012
20120239955ELECTRONIC DEVICE - An opening/closing detection unit detects a transition of an electronic device from an open state to a closed state or from the closed state to the open state. An electrical-power control unit sets an operation mode of an application processing unit that executes an application. When a transition from the open state to the closed state is detected while a hold switch is in an OFF state, the electrical-power control unit switches an operation mode of an application processing unit from a normal mode to a sleep mode. On the other hand, when a transition from the open state to the closed state is detected while the hold switch is in an ON state, the electrical-power control unit does not switch the operation mode of the application processing unit from the normal mode to the sleep mode.09-20-2012
20120239956METHOD OF REDUCING POWER CONSUMPTION OF SYSTEM SOFTWARE USING QUERY SCHEDULING OF APPLICATION AND APPARATUS FOR REDUCING POWER CONSUMPTION USING THE METHOD - A method is provided. The method includes in response to a new query or new input data being input, calculating a required minimum number of cycles per unit time which corresponds to the minimum number of cycles per unit time that is required to process the new query or the new input data, selecting a query to execute first, calculating the number of cycles to be processed during the time period set in advance by multiplying the calculated, required minimum number of cycles per unit time with a time period that is allocated to process the selected query or the input data and is set in advance, and putting a system into a dormant state for a remaining period of time in response to the calculated number of cycles being completely executed within the time period set in advance.09-20-2012
20120246500COMPUTER POWER SAVING SYSTEM - A computer power saving system includes a computer, an UPS, a power detecting device, and an USB device. The computer includes a USB port and a power management module, and can work in a normal mode or in a STR mode. The UPS is connected to the computer and used for supplying power to the computer upon a condition that a commercial power supply stops supplying power to the computer. The power detecting device detects the state of the commercial power supply and sending out an abnormal power signal when the commercial power supply stops supplying power to the computer. The USB device sends the abnormal power signal to the USB port. When the computer works in the normal mode, the power management module detects the USB port, and controls the computer to shift to the STR mode if the USB port receives the abnormal power signal.09-27-2012
20120246501CONTROLLER AND PROGRAM PRODUCT - According to one embodiment, a controller includes a state detecting unit, a calculating unit, and a determining unit. The state detecting unit detects an idle state in which indicates there are no process that can execute on a processing device capable of performing one or more processes. The calculating unit calculates a resuming time, which indicates a time length until the next process starts, when the state detecting unit detects the idle state. The determining unit determines an operation mode of the processing device on the basis of the resuming time calculated by the calculating unit.09-27-2012
20120246502INFORMATION PROCESSING APPARATUS, CONTROL METHOD THEREFOR, AND STORAGE MEDIUM - The information processing apparatus generates and notifies, in the first power state, a prohibition condition for prohibiting the information processing apparatus from returning to the first power state even if an interface unit has received data from an external apparatus in the second power state and the received data matches a return condition for causing the information processing apparatus to return from the second power state to the first power state. When data is received from the external apparatus in the second power state, if the received data matches the prohibition condition, the interface unit does not transmit a return command to the power control unit, and if the received data does not match the prohibition condition, the interface unit transmits the return command to the power control unit.09-27-2012
20120246503INFORMATION PROCESSING APPARATUS AND JUDGING METHOD - According to one embodiment, an information processing apparatus includes a processor, a non-volatile storage unit, a receiving unit, a judging unit, and a transmitting unit. The receiving unit receives from the processor an inquiry about accessibility of the storage unit. The judging unit judges, upon receipt of the inquiry, whether the storage unit is accessible on the basis of a start-up time period between starting power supply to the storage unit and activation of the storage unit. The transmitting unit transmits a judgment result obtained by the judging unit to the processor.09-27-2012
20120254644ACTIVITY ALIGNMENT ALGORITHM BY MASKING TRAFFIC FLOWS - Embodiments of methods and apparatus for entering an activity alignment on state from an activity alignment off state; masking one or more traffic flows that are received during at least a part of the activity alignment on state; and entering the activity alignment off state from the activity alignment on state, after being in the activity alignment on state for at least a first time period, based at least in part on said masking the one or more traffic flows. Additional variants and embodiments are also disclosed.10-04-2012
20120254645CONTROL OF PLATFORM POWER CONSUMPTION USING COORDINATION OF PLATFORM POWER MANAGEMENT AND DISPLAY POWER MANAGEMENT - Control of platform control of platform power consumption using selective updating of a display image. An embodiment of an apparatus includes a display controller to transfer pixel data from a frame buffer to a video display and a detection element to track updates to the frame buffer, the detection element to identify a portion of the pixel data that has been changed from a previous image, where the display controller is to provide the video display with the identified portion of the pixel data.10-04-2012
20120254646PORTABLE ELECTRONIC DEVICE AND METHOD FOR CONTROLLING SCREEN PROTECTION FUNCTION OF THE PORTABLE ELECTRONIC DEVICE - In a method for controlling a screen protection function of a portable electronic device, the portable electronic device includes a gravity sensor, a display screen, and a storage system. The gravity sensor detects a horizontal vector and a vertical vector of a gravitational acceleration of the portable electronic device, and a tilt angle of the portable electronic device is calculated according to the horizontal vector and the vertical vector. The method activates a screen protection program of the portable electronic device to control the display screen to enter into a power saving mode if the tilt angle exceeds a preset tilt angle range stored in the storage system, and terminates the screen protection program to control the display screen to enter into a normal display mode if the tilt angle does not exceed the preset tilt angle range.10-04-2012
20120260115Inter-Processor Communication Channel Including Power-Down Functionality - Apparatuses and methods are disclosed for implementing an inter-processor communication channel including power-down functionality. In one embodiment, the apparatus may comprise a first integrated circuit (IC), a second IC coupled to the first IC via a communication interface, wherein the first IC is in one or more low power states and unable to monitor the communication interface. The apparatus may further comprise an inter-processor communication (IPC) channel coupled between the first and second ICs, wherein the IPC channel is separate from the communication interface and wherein the second IC generates at least one advisory signal to the first IC via the IPC channel.10-11-2012
20120272081ENERGY EFFICIENT MULTIFUNCTION PRINTING SYSTEMS AND METHODS FOR EXITING LOW POWER MODE - Multifunction document processing systems and operating methods are presented for energy efficient transitions from low power mode to a partially functional mode in which only certain document processing components are initialized and powered in order to support document processing tasks selected by a user.10-25-2012
20120272082COMMUNICATION APPARATUS COMMUNICATING WITH IPSEC, CONTROL METHOD THEREFOR, AND STORAGE MEDIUM STORING CONTROL PROGRAM THEREFOR - A communication apparatus that is capable of enabling communication even when IPsec life time information is taken over. The communication apparatus is connected to a network via a network interface device and operates in a first power mode or a second power mode with less power consumption. A notification unit notifies the network interface device of IPsec life time information when shifting to the second power mode from the first power mode. A storage unit stores first time information showing time of shifting to the second power mode from the first power mode. An acquisition unit acquires the life time information from the network interface device when shifting to the first power mode from the second power mode. A correction unit corrects the life time information based on second time information showing time of shifting to the first power mode from the second power mode and the first time information.10-25-2012
20120272083IMAGE PROCESSING APPARATUS, CONTROL METHOD THEREFOR, AND STORAGE MEDIUM - An image processing apparatus and a control method therefor are provided, which realize security communication in a power saving mode while suitably maintaining the power saving mode, even if a control unit operating in the power saving mode has fewer resources than a control unit operating in a normal power mode. To accomplish this, the image processing apparatus stores a plurality of security information pieces regarding a security communication, selects a security information piece to be notified to the network interface apparatus from among the security information pieces, and notifies the network interface apparatus of the selected security information piece. The network interface apparatus executes security communication using the notified security information piece, when the image processing apparatus operates in the power saving mode.10-25-2012
20120278640WORKSTATION WITH OCCUPANCY SENSING - An occupancy sensing method includes monitoring a space associated with a computer workstation for an occupant, generating an occupancy signal in response to monitoring the space, processing the occupancy signal at the computer workstation, and performing one or more power management functions at the computer workstation in response to the occupancy signal. The space associated with the computer workstation may be monitored by an occupancy sensor coupled to the computer workstation. The occupancy sensor may be adjusted from the computer workstation. A request may be transmitted from the computer workstation to a building automation system in response to the occupancy signal, and the building automation system may perform a power management function in response to the request from the computer workstation.11-01-2012
20120278641Performing Selected Operations Using Low Power-Consuming Processors on User Devices - In one embodiment, a first computing device receives a communication from a second computing device; and if the communication is a ping from the second computing device, then sends a response to the ping to the second computing device using a secondary processor of the first computing device.11-01-2012
20120278642CENTRALIZED POWER AND HEAT MANAGEMENT FOR DATA DISK DRIVES OF A DATA STORAGE SYSTEM - A method of controlling spinning of data disk drives, a data storage system including multiple data disk drives and a power zone aware device are disclosed herein. In one embodiment, the power zone aware device includes: (1) a policy module configured to define at least one power zone in the data storage system and assign a power zone policy thereto and (2) a management module coupled configured to direct operation of data disk drives in the power zone based on the power zone policy.11-01-2012
20120278643IMAGE FORMING APPARATUS AND IMAGE READING APPARATUS - An image forming apparatus includes: an image forming unit; a power supply controller controls the image forming apparatus to operate in a power saving mode in which supply of power to the image forming unit is cut off and a normal mode; and a plurality of interfaces configured to receive a transition command for transition from the power saving mode to the normal mode. The power supply controller performs: an interface-power supply process of supplying power to at least one of the plurality of interfaces; and a changing process of, in a case where a predetermined signal is input to an operating interface receiving power in the interface-power supply unit in the power saving mode, cutting off power supply to the operating interface and starting power supply to at least one of idle interfaces other than the operating interface.11-01-2012
20120284549Logical Partition Defragmentation Within a Data Processing System - A mechanism, in a data processing system, is provided for logical partition defragmentation. The mechanism gathers resource requirements for a plurality of logical partitions running in a plurality of power domains within one or more servers. The mechanism determines optimal hardware utilization for the plurality of logical partitions. The mechanism migrates one or more of the plurality of logical partitions to run in a subset of the plurality of power domains such that at least one power domain within the plurality of power domains is unused. The mechanism puts the at least one unused power domain in a low power state.11-08-2012
20120284550IMAGE FORMING APPARATUS AND METHOD FOR CONTROLLING THE SAME - An image forming apparatus includes first and second memories, and a main controller and a sub-controller. The main controller performs a control operation using the first memory in a normal mode state, the sub-controller is mounted on an engine unit provided in the image forming apparatus to perform an image forming job by driving the engine unit in a normal mode state under the control of the main controller, the main controller transmits a low-power mode change request to the sub-controller if a condition for changing a mode state from the normal mode state to a low-power mode state is satisfied, and the sub-controller copies a low-power service program stored in the first memory into the second memory if the low-power mode change request is received, and performs a low-power service by executing the low-power service program through accessing of the second memory.11-08-2012
20120284551DEEP STANDBY METHOD AND DEVICE FOR EMBEDDED SYSTEM - A deep standby method and device for and embedded system is disclosed, wherein the method mainly includes: a selecting step for selecting an available data swap block from the data swap area of a non-volatile memory as a deep standby block; a writing step for writing the current system data and state of the CPU into the deep standby block, and writing a deep standby flag into the deep standby block; and a shutting down step for making the system off to fall into a deep standby.11-08-2012
20120297225Reducing Current Leakage in L1 Program Memory - An embodiment of the invention provides a method for decreasing power in an L1 program memory of a multi-level memory system. The power is decreased by enabling a sleep mode in the L1 program memory. The sleep mode determines when the L1 program memory will not be accessed for a period of time. When it is determined that the L1 program memory will not be accessed for a period of time, the voltage applied to the memory array is reduced. When it is determined that the L1 program memory will be accessed, the voltage applied to the memory array is increased.11-22-2012
20120297226MOTION SENSOR DATA PROCESSING USING VARIOUS POWER MANAGEMENT MODES - Systems and methods for processing motion sensor data using various power management modes of an electronic device are provided. Power may be provided to a motion sensor during a first power mode of the device. In response to the motion sensor detecting a motion event with a magnitude exceeding a threshold, the sensor may transmit a wake up signal to a power management unit of the device. In response to receiving the wake up signal, the power management unit may switch the device to a second power mode. The device may provide power to a processor and load the processor with a motion sensing application when switching to the second power mode. During the second power mode, motion sensor data may be processed to determine that the motion event is not associated with an intentional user input and the device may return to the first power mode.11-22-2012
20120303989INFORMATION PROCESSING APPARATUS AND METHOD OF CONTROLLING LAUNCH THEREOF - An information processing apparatus is capable of quick launch, in which information of memory is held and the apparatus is launched using the information, and includes an RTC that keeps the time and generates an interrupt at a set time. The apparatus detects whether or not there is an instruction to turn a power supply on or off, and if an instruction to turn the power off is detected, determines whether or not the quick launch is active. If it is determined that the quick launch is active, the apparatus sets an interrupt generated by the RTC inactive.11-29-2012
20120311360Reducing Power Consumption Of Uncore Circuitry Of A Processor - In one embodiment, a multi-core processor includes multiple cores and an uncore, where the uncore includes various logic units including a cache memory, a router, and a power control unit (PCU). The PCU can clock gate at least one of the logic units and the cache memory when the multi-core processor is in a low power state to thus reduce dynamic power consumption.12-06-2012
20120311361PREDICTIVE POWER STATE TRANSITIONS FOR INFORMATION HANDLING DEVICES - Systems, methods and products are described that provide predictive power state transitions for information handling devices. One aspect includes ascertaining a power state transitioning pattern of an information handling device; responsive to a power state transition to a first lower power state at said information handling device, performing an additional power state transition to automatically transition the information handling device to a second lower power state, said second lower power state being a lower power state relative to said first lower power state; and proactively resuming the information handling device to the first lower power state responsive to a timing threshold being met; wherein the timing threshold is determined based on the power state transitioning pattern ascertained. Other embodiments are described.12-06-2012
20120311362COMMUNICATION DEVICE AND COMMUNICATION METHOD - A communication device that has a normal state and a standby state and that does not permit change of a link rate in the standby state includes: a connecting unit that connects the communication device to a network; a detecting unit that detects traffic at the connecting unit in the network; and a control unit that performs transition processing from the normal state to the standby state when the detecting unit does not detect the traffic for a first period while the communication device is in the normal state. When the control unit is connected to the network by the connecting unit at a first link rate and the detecting unit does not detect the traffic for a second period that is shorter than the first period, the control unit changes the link rate to a second link rate that is lower than the first link rate.12-06-2012
20120311363WIRELESS POWER TRANSMISSION AND CHARGING SYSTEM, AND COMMUNICATION METHOD OF WIRELESS POWER TRANSMISSION AND CHARGING SYSTEM - A wireless power transmission and charging system, and a communication method of the wireless power transmission and charging system are provided. According to a general aspect, a communication method of a wireless power transmission and charging system may include: switching from a standby mode to a detection mode in response to activation of a charging start element or a detection of a target device by a sensor; transmitting a wake-up power and a wake-up request message to at least one target device in the detection mode; receiving an acknowledge (ACK) message from the target device in response to the wake-up request message, and determining a number of target devices based on the ACK message; and transmitting a charging power to the target device to which the control ID is assigned.12-06-2012
20120311364Information Handling System with Processing System, Low-power Processing System and Shared Resources - An information handling system includes a processing system, a low-power processing system, and a chipset. The processing system is configured to operate using a power system configured to power a shared resource of the processing system and a non-shared resource of the processing system, and to disable the non-shared resource during a reduced operating state of the processing system. The low-power processing system is configured to access the shared resource of the processing system during operation of the low-power processing system, wherein the operation of the low-power processing system is separate from the operation of the processing system. The chipset includes a processor of the processing system and operable to be enabled during operation of the processing system, wherein the processor is configured to be disabled during operation of the low-power processing system.12-06-2012
20120317430POWER MANAGEMENT IN A DATA-CAPABLE STRAPBAND - Embodiments of the invention relates generally to electrical and electronic hardware, computer software, wired and wireless network communications, and computing devices, and more specifically to structures and techniques for managing power generation, power consumption, and other power-related functions in a data-capable strapband. Embodiments relate to a band including sensors, a controller coupled to the sensors, an energy storage device, a connector configured to receive power and control signals, and a power manager. The power manager includes at least a transitory power manager configured to manage power consumption of the band during a first power mode and a second mode. The band can be configured as a wearable communications device and sensor platform.12-13-2012
20120317431SYSTEM AND METHOD FOR POWER REDUCTION BY SEQUESTERING AT LEAST ONE DEVICE OR PARTITION IN A PLATFORM FROM OPERATING SYSTEM ACCESS - In some embodiments, the invention involves a system and method relating to managing power utilization in systems having multiple processing elements. In at least one embodiment, the present invention is intended to control the sleeping/wakefulness of processing elements, as necessary, to maintain a preferred level of power utilization in the platform. Activity is routed to sequestered processing elements instead of sleeping processing elements to save power.12-13-2012
20120324267POWER OPTIMIZATION FOR DATA SERVICES - Systems and methods for optimizing the power of a battery in a mobile device are provided. The systems and methods include receiving a request from at least one of a plurality of applications running on the mobile device. The systems and methods further include determining user characteristics from interacting with at least one of the applications and determining a user dwell time threshold based upon the user's interactions with an application. The systems and methods further include buffering requests if the user dwell time is less than the user dwell threshold level.12-20-2012
20120331320Wake-on-LAN Between Optical Link Partners - Embodiments described herein achieve Wake-on-LAN to allow optical modules the ability to wake up link partners instantaneously when there is data to be transmitted or received. As such, Wake-on-LAN features are provided for a side-band handshaking protocol and channel that is independent from the normal data traffic path.12-27-2012
20120331321Processor Core with Higher Performance Burst Operation with Lower Power Dissipation Sustained Workload Mode - A processor may operate at a first frequency level for a first time interval. The processor automatically may transition to a sleep state from the first frequency level after the first time interval. Then the processor automatically transitions from the sleep state to the first frequency level after a second time interval. As a result the processor may operate at a reduced power consumption and higher performance.12-27-2012
20120331322POWER-SUPPLY CONTROL SYSTEM, POWER-SUPPLY CONTROL METHOD, AND IMAGE FORMING APPARATUS - A power-supply control system includes a plurality of apparatuses connected to each other. Each apparatus includes a battery configured to supply power in a power saving mode where power consumption is lower than in a normal mode; a detecting unit configured to detect an output voltage of the battery; a transmitting unit configured to transmit a power supply request when the output voltage is determined to be lower than a predetermined value; a receiving unit configured to receive a request from another apparatus; a determining unit configured to determine whether the battery is available for the another apparatus in response to the request; and a control unit configured to control power supply from the battery. The control unit transmits a notification of power supply start to the another apparatus and causes the battery to supply power to the another apparatus when the battery is available for the another apparatus.12-27-2012
20120331323DEVICES AND METHODS FOR SAVING ENERGY THROUGH CONTROL OF SLEEP MODE - A system for saving energy through control of a sleep mode, and a method of operating the system are provided. The energy-saving system may enable a proxy device to maintain a minimum basic setup necessary for a communication when a host device enters a sleep mode, and may omit an operation performed based on the basic setup when the host device switches to a communication mode, thereby enabling a smooth switch between the sleep mode and the communication mode.12-27-2012
20130007494MANAGING PROCESSOR-STATE TRANSITIONS - Techniques are disclosed relating to managing power consumption and latencies for entry and exit of idle power states. In one embodiment, a processor includes a processing core configured to operate in a plurality of power states (e.g., C-states) that includes an operating power state and at least one idle power state. The processing core is also configured to operate in a plurality of performance states. The processor further includes a power management unit configured to receive a request from the processing core to enter the at least one idle power state. The power management unit is configured to select a first of the plurality of performance states (e.g., P-states) based on the requested idle power state. In one embodiment, the power management unit is further configured to cause the processing core to transition into the selected first performance state prior to entering the requested idle power state.01-03-2013
20130007495System and Method for Maintaining Connectivity to Remote Application Servers - A system and method for maintaining connectivity between a host system running an Always-On-Always-Connected (AOAC) application and an associated remote application server. The system further includes circuitry configured to establish a communication link between the host system and the remote application server. The circuitry is configured periodically transmit keep-alive messages to the remote application server after the host system transitions to and remains in a low-power state. The keep-alive messages are configured to maintain connectivity and presence of the AOAC application with the remote application server while the host system is in the low-power state.01-03-2013
20130007496INFORMATION PROCESSING APPARATUS AND METHOD OF CONTROLLING THE SAME - According to one embodiment, a method controls an apparatus including a sensor which detects an open/close state of a cover, and an power manager which performs power management of the apparatus in accordance with a ordinary state and a power-saving state. The method includes notifying turning on the apparatus in order to change the apparatus to the ordinary state, if the power manager is notified a second notifying and the sensor detects that the cover is opened, and performing an power management corresponding to the power-saving state, if the power manager is notified a first notifying, or if the power manager is notified the second notifying and the sensor detects that the cover is closed.01-03-2013
20130013946IMAGE FORMING APPARATUS AND CONTROL METHOD THEREOF - An image forming apparatus executes the steps of: calculating an end time of the job of which execution is instructed, and determining if the end time of the job is before the start time of power outage; specifying, if it is determined that the end time of the job is not before the start time of power outage, a part of processing of the job that can be completed before the start time of power outage; starting the job, if it is determined that the end time of the job is before the start time of power outage; and starting the part of processing of the job if it is determined that the end time of the job is not before the start time of power outage. Thus, abnormal termination of an active job due to power outage can be prevented.01-10-2013
20130013947IMAGE FORMING APPARATUS, CONTROL METHOD OF IMAGE FORMING APPARATUS, AND PROGRAM - An information processing apparatus includes, a reception unit configured to receive an instruction to transfer the information processing apparatus to the second power state, an analysis unit configured, when the reception unit receives the instruction, to analyze a factor limiting the transfer of the information processing apparatus to the second power state, and a control unit configured, when the analysis unit analyzes the factor and as a result the factor is a first factor, to control the information processing apparatus to transfer to the third power state without waiting until the factor is resolved, and configured, when the factor is a second factor, to control the information processing apparatus to wait until the factor is resolved, and to transfer to the second power state.01-10-2013
20130013948Method and Apparatus For A Power-Efficient Framework to Maintain Data Synchronization of a Mobile Personal Computer to Simulate A Connected Scenario - An apparatus and method for a power-efficient framework to maintain data synchronization of a mobile personal computer (MPC) are described. In one embodiment, the method includes the detection of a data synchronization wakeup event while the MPC is operating according to a sleep state. Subsequent to wakeup event, at least one system resource is disabled to provide a minimum number of system resources required to re-establish a network connection. In one embodiment, user data from a network server is synchronized on the MPC without user intervention; the mobile platform system resumes operation according to the sleep state. In one embodiment, a wakeup alarm is programmed according to a user history profile regarding received e-mails. In a further embodiment, data synchronizing involves disabling a display, and throttling the system processor to operate at a reduced frequency. Other embodiments are described and claimed.01-10-2013
20130019115IMAGE FORMING APPARATUS AND CONTROL METHODAANM NISHIMURA; MichiakiAACI OsakaAACO JPAAGP NISHIMURA; Michiaki Osaka JP - Provided is an image forming apparatus including a plurality of processing sections each performing a different process, a switching section for switching the status of the apparatus from a normal status to a power-saving status which requires power consumption smaller than that in the normal status when none of the plurality of processing sections performs processing for a predetermined period of time, a return section for making the status of the apparatus return from the power-saving status to the normal status under a predetermined condition, a pre-energizing section for energizing each of the processing sections in advance when the status of the apparatus is returned to the normal status, a specifying section for specifying a process to be executed, and a stop section for selectively stopping pre-energization of each of the processing sections based on the process specified by the specifying section.01-17-2013
20130019116COMMUNICATION TERMINAL APPARATUSAANM OCHI; KengoAACI TokyoAACO JPAAGP OCHI; Kengo Tokyo JP - A communication apparatus includes: an email-information acquisition unit configured to acquire information on an email, received by a mail server, from the mail server in a power-saving mode; a judgment unit configured to judge whether there is an email that needs processing in a normal active mode on the basis of the information acquired by the email-information acquisition unit; a power-saving mode cancelling unit configured to carry out the transition from the power-saving mode to the active mode when the judgment unit judges that the mail server has an email that needs processing in the active mode; and an email acquisition unit configured to acquire an email, received by the mail server, from the mail server in the active mode.01-17-2013
20130024708POWER CAPPING USING C-STATES - An example system includes a power capping controller to assert an output based on exceeding a power threshold for a computer system. A central processing unit (CPU) core is provided to enter a low-power C-state based on the output being asserted via a control path that bypasses an operating system command.01-24-2013
20130024709DISPLAY DEVICE, HOST DEVICE, DISPLAY SYSTEM, METHODS OF CONTROLLING THE DISPLAY DEVICE, THE HOST DEVICE, AND THE DISPLAY SYSTEM - A display device is provided that includes a display unit; a communication interface unit which communicates with the host device; a signal generation unit which generates a power save mode switching signal for switching the host device to a power save mode; and a control unit which controls the power save mode switching signal to be generated by the signal generation unit and transmitted to the host device in response to an input source currently being output from the host device to the display unit being switched from being output from the host device to being output from another device to the display device.01-24-2013
20130024710SYSTEM AND METHOD FOR MANAGING ENERGY CONSUMPTION IN A COMPUTE ENVIRONMENT - A system and method for reducing power consumption in clusters, grids, on-demand centers, etc. These principles can reduce both direct and indirect power consumption while maintaining either full cluster performance or adequate SLA based cluster performance. The method includes receiving at least one state data point regarding power consumption or temperature of at least one resource within the compute environment. Using intelligent policies to control power consumption, the method implements and interfaces with power managements facilities within the cluster, grid or on-demand center to implement policies, make dynamic changes, make predictions or actions, etc., to reduce the direct or indirect power consumption associated with a compute environment. Methods can include analysis of current workload and/or future workload in taking energy saving actions, and also involve reporting state information and updating algorithms based on historical experience or outside sources of information.01-24-2013
20130024711HOST APPARATUS AND INFORMATION PROCESSING SYSTEM USING THE SAME - In an information processing system, a host apparatus and a device are communicatively connected such that the host apparatus serves as a master and the device serves as a slave. The device is configured to establish a power-saving mode, when any command is not received from the host apparatus for a prescribed time period. A device driver is provided in the host apparatus. The device driver is configured to transit from a normal state to a suspended state when an operation command for operating the device is not received from an application running in the host apparatus for a prescribed time period, and to transmit a dummy response to the application, when an inquiry command is received from the application while the device driver is placed in the suspended state, without notifying the inquiry command to the device.01-24-2013
20130031392USB DEVICE SIDE WAKE-UP FOR POWER CONSERVATION AND MANAGEMENT - In a system in which a host device is in communication with a peripheral device through communications device connected to a USB port, the USB host device polls the communications device to constantly regardless of the power state of the USB communications device. While in the low power mode, the USB communications device generates NAK packets, indicating that the device has no data to send. The NAK packets are generated in hardware, thereby allowing the USB host continues operating while unaware of the state of the peripheral device. External events can be used to trigger the USB communications device to exit the low power state without communicating with the USB host, and without the USB host altering its behavior.01-31-2013
20130031393INFORMATION PROCESSING APPARATUS, INFORMATION PROCESSING METHOD AND RECORDING MEDIUM - An information processing apparatus includes a control unit to control the apparatus, a power supplying control unit to control power supply and a stop of the power supply to the control unit, a receiving unit to receive data from outside, and a response unit to generate and send first response data with respect to first received data received by the receiving unit while the power supply to the control unit is stopped, when the response unit verifies the first received data are predetermined data capable of being responded to by the response unit. The control unit includes a unit to send an instruction to make the power supplying control unit stop the power supply to the control unit, in a predetermined condition while the power is supplied to the control unit.01-31-2013
20130031394IMAGE FORMING APPARATUS FOR FORMING IMAGE ON SHEET - The power supply unit supplies operating power for operating the image forming unit and the first communication interface. The setting control unit stores continuation setting information in the storage unit when a setting condition set in advance is satisfied. The power control unit causes the power supply unit to continue to supply operating power to the first communication interface if the continuation setting information is stored in the storage unit in a sleep mode and causes the power supply unit to stop the supply of the operating power to the first communication interface unless the continuation setting information is stored in the storage unit in the sleep mode. The switching control unit causes the power control unit to perform a normal mode when the first communication interface obtains first communication-related information in the sleep mode.01-31-2013
20130031395IMAGE PROCESSING APPARATUS, COMMUNICATION METHOD THEREFOR, AND RECORDING MEDIUM - An image processing apparatus being configured to support a power-saving mode which allows achieving low power consumption while keeping an idle connection without communication between the image processing apparatus and a communication device in a network environment, comprises: a first judgment portion which judges whether or not the communication device supports the power-saving mode; and a communicator which establishes a connection to the communication device at a first communication rate if the first judgment portion judges that the communication device does not support the power-saving mode, at a second communication rate which is faster than the first communication rate if the first judgment portion judges that the communication device supports the power-saving mode.01-31-2013
20130031396POWER SUPPLY SYSTEM, IMAGE FORMING APPARATUS HAVING THE SAME, AND LOW-CAPACITY POWER SUPPLY CIRCUIT - A power supply system includes: a switching power supply, which rectifies and smoothes an AC voltage of an AC power supply to generate a first DC voltage in a normal mode; a control unit, which controls the switching power supply to switch between the normal mode and a power saving mode; and a low-capacity power supply circuit, which supplies power to the control unit in the power saving mode, and which includes: a first capacitor, which includes a first electrode connected to one end of the AC power supply, and a second electrode; a second capacitor, which includes a first electrode connected to the other end of the AC power supply, and a second electrode; a rectifying circuit, which rectify an AC voltage applied to both capacitors; and a smoothing circuit, which smoothes the rectified AC voltage to generate a smooth voltage.01-31-2013
20130036319IMAGE PROCESSING APPARATUS AND CONTROL METHOD FOR IMAGE PROCESSING APPARATUS - An image processing apparatus includes a first control unit configured to control the whole apparatus and not to be energized in a power saving mode; and a second control unit configured to be energized in the power saving mode. The second control unit includes a detecting unit configured to detect a return trigger for returning from the power saving mode to a normal mode; an identifying unit configured to identify the return trigger; a storage unit configured to store the identified return trigger into a storage medium; and a starting unit configured to start energizing the first control unit in accordance with the detection of the return trigger. The first control unit includes a reading unit configured to read the stored return trigger after the first control unit is energized; and a first-control-unit control unit configured to determine a function to be activated preferentially based on the return trigger.02-07-2013
20130036320IMAGE FORMING APPARATUS, FEEDING CONTROL METHOD, AND COMPUTER PROGRAM PRODUCT - An image forming apparatus includes a main power supply configured to feed power to components of the image forming apparatus; a secondary battery configured to be charged with power from the main power supply or a solar battery; a secondary battery deterioration detector configured to monitors a charging voltage drop of the secondary battery and to detect deterioration of the secondary battery; a secondary battery charge unit configured to charge the secondary battery when the secondary battery deterioration detector detects deterioration of the secondary battery in an energy-saving mode; and an energy-saving mode control unit configured to switch a power source for the image forming apparatus from the secondary battery to the main power supply to continue in the energy-saving mode when the secondary battery deterioration detector detects deterioration of the secondary battery in the energy-saving mode.02-07-2013
20130042127IDLE POWER REDUCTION FOR MEMORY SUBSYSTEMS - Embodiments of the invention describe systems and processes directed towards reducing memory subsystem idle power consumption. Embodiments of the invention enable low power states for various components of a memory subsystem under certain operating conditions, and exiting said low power states under certain operating conditions.02-14-2013
20130042128SUSPENSION AND/OR THROTTLING OF PROCESSES FOR CONNECTED STANDBY - One or more techniques and/or systems are provided for assigning power management classifications to a process, transitioning a computing environment into a connected standby state based upon power management classifications assigned to processes, and transitioning the computing environment from the connected standby state to an execution state. That is, power management classifications, such as exempt, throttle, and/or suspend, may be assigned to processes based upon various factors, such as whether a process provides desired functionality and/or whether the process provides functionality relied upon for basic operation of the computing environment. In this way, the computing environment may be transitioned into a low power connected standby state that may continue executing desired functionality, while reducing power consumption by suspending and/or throttling other functionality. Because some functionality may still execute, the computing environment may transition into the execution state in a responsive manner to quickly provide a user with up-to-date information.02-14-2013
20130042129IMAGE FORMING APPARATUS, MICROCONTROLLER, AND METHODS FOR CONTROLLING IMAGE FORMING APPARATUS AND MICROCONTROLLER - An image forming apparatus, a microcontroller, and methods for controlling the image forming apparatus and the microcontroller are provided. The microcontroller include: a memory controller which is connected to an external memory operating in a self-refresh mode if a normal mode changes to a low power mode and outputs a preset signal which is to cancel the self-refresh mode if the low power mode changes to the normal mode; a memory interface unit which transmits the preset signal to a main memory; and a signal detector which detects whether the preset signal has been output. Here, the memory controller powers off the memory interface unit if the normal mode changes to the low power mode and powers on the memory interface unit if the low power mode changes to the normal mode, and the output of the preset signal is detected by the signal detector.02-14-2013
20130042130CIRCUITS AND METHODS FOR CONTROLLING BATTERY MANAGEMENT SYSTEMS - A controller for a battery management system includes a first terminal, a second terminal, and communication circuitry. The first terminal receives power from a battery in the battery management system. The second terminal receives a clock signal. The communication circuitry coupled to the first and second terminals detects the clock signal, and generates a first switching signal according to a result of detecting the clock signal to control the battery management system to switch from operating in a ship mode to operating in a non-ship mode according to the first switching signal. The detecting and generating are performed with the battery management system in the ship mode. The battery management system disables controlling of charging and discharging of the battery in the ship mode, and the battery management system enables controlling of charging and discharging of the battery in the non-ship mode.02-14-2013
20130042131SUSPENSION AND/OR THROTTLING OF PROCESSES FOR CONNECTED STANDBY - One or more techniques and/or systems are provided for assigning power management classifications to a process, transitioning a computing environment into a connected standby state based upon power management classifications assigned to processes, and transitioning the computing environment from the connected standby state to an execution state. That is, power management classifications, such as exempt, throttle, and/or suspend, may be assigned to processes based upon various factors, such as whether a process provides desired functionality and/or whether the process provides functionality relied upon for basic operation of the computing environment. In this way, the computing environment may be transitioned into a low power connected standby state that may continue executing desired functionality, while reducing power consumption by suspending and/or throttling other functionality. Because some functionality may still execute, the computing environment may transition into the execution state in a responsive manner to quickly provide a user with up-to-date information.02-14-2013
20130047016SEMICONDUCTOR DEVICE WITH WAKE-UP UNIT - A semiconductor device having a low power mode includes a buffer circuit associated with an interface pad, a power management controller (PMC), and a wakeup unit for waking up a part of the device from the low power mode. The buffer circuit is disabled in the low power mode by asserting a power on reset (POR) signal associated with the PMC. A wakeup signal is generated and provided to the wakeup unit from an analog power supply associated with the buffer circuit.02-21-2013
20130047017POWER MANAGEMENT SYSTEM AND METHOD - A power management system applicable to regulating a power supplied to an electronic device having a plurality of electronic elements includes a detection module that detects execution modes of the electronic elements and generate detection data, an analysis module that analyzes the detection data to generate process commands corresponding to the execution modes of the electronic elements, and a process module that gradually regulates the power via a power control mode of the electronic device according to the process commands, the power control mode including a light power supply, a sleep power supply and a turn-off power supply A power management method is also provided for regulating a power supplied to an electronic device having a plurality of electronic elements gradually.02-21-2013
20130047018POWER SUPPLY CONTROL CIRCUIT - A power supply control circuit for an electronic device includes an input/output (I/O) microchip, a southbridge microchip and a power management unit. The control circuit is electrically connected to the I/O microchip and the southbridge microchip to output a driving voltage to the I/O microchip and the southbridge microchip. The power management unit includes a power management microchip electrically connected to the control circuit, the I/O microchip and the southbridge microchip. The power management microchip outputs a power on/off control signal to the southbridge microchip to power on/off the electronic device, and controls the control circuit to provide a driving voltage to the I/O microchip and the southbridge microchip when the electronic device is powered on and controls the control circuit to stop providing the driving voltage to the I/O microchip and the southbridge microchip when the electronic device is powered off.02-21-2013
20130047019DATA PROCESSING APPARATUS AND CONTROL METHOD THEREFOR - When a data processing apparatus according to this invention detects generation of an event to shift from the standby state to the suspended state, it saves the contents of a memory in the standby state in a non-volatile storage device in response to detection of generation of the event, and shifts to the suspended state. When power-off is instructed in the suspended state, the data processing apparatus shifts from the suspended state to the power-off state without the mediacy of the standby state.02-21-2013
20130047020REMOTE ACCESS AND ADMINISTRATION OF DEVICE CONTENT, WITH DEVICE POWER OPTIMIZATION, USING HTTP PROTOCOL - A mobile device having an identifier supports a mobile server hosting an HTML web site. The mobile device is power cycled according to an ON-OFF timing defined by timing parameters. An association between the timing parameters and the identifier of the mobile device is provided. A web client sends a request to access the mobile device, using a public mobile device identifier. Optionally, the public mobile device identified is mapped to identify ON-OFF timing parameters of the mobile device, and web client access to the mobile device is controlled based on the ON-OFF timing parameters.02-21-2013
20130054993BRANCH CIRCUIT DETERMINATION WITH SYNCHRONOUS CORRELATION - A system, and computer program product for relating a data processing system with a power branch circuit are provided in the illustrative embodiments. A second signal is combined with a power signal to form a combination signal, the power signal including a first power usage by the data processing system and a second power usage by a modulating signal. The second signal is synchronized with the modulating signal in the power signal. A determination is made whether an amplitude of a frequency of the second signal is increasing in the combined signal over a period. Responsive to the determining being affirmative, the data processing system is related with the power branch circuit.02-28-2013
20130054994Digital Signage Mode of Portable Device - A portable device includes a power component to receive power from a power source and a controller to transition the portable device from a power on state to a lower power state with a basic input output system (BIOS) of the portable device if the power component detects a loss of power received from the power source and transition the portable device from the lower power state to a digital signage mode with the BIOS if the power component receives power from the power source.02-28-2013
20130054995ENERGY EFFICIENT ETHERNET CONTROL - A physical layer device includes a pseudo-random number generator, a register, a state machine, and a timer. The pseudo-random value generator is configured to produce a pseudo-random value within a predefined range. The register is configured to receive the pseudo-random value from the pseudo-random value generator and store the pseudo-random value. The state machine is configured to obtain the pseudo-random value from the register and provide the pseudo-random value to a timer within the physical layer device. The timer is configured to control a duration of an Energy Efficient Ethernet time period based on the pseudo-random value.02-28-2013
20130054996Energy Efficiency Ethernet with Low Power Active Idle Transmission Mode - Energy efficient Ethernet with a low power active idle transmission mode. A low power active idle transmission mode is defined for the transmission of idle signals during inter-packet gaps. The low power active idle transmission mode can provide energy savings in those instances that preclude the use of a low power idle mode and/or subrating to produce greater energy savings.02-28-2013
20130054997Method and Apparatus to Optimize System Battery-Life for Static and Semi-Static Image Viewing Usage Models - A computer system comprising: a graphics processor, a display controller comprising a display-local frame buffer, a display device, and a memory. The memory stores instructions, that when executed by the computer system, perform a method of entering a power management state. The method comprises detecting that the computer system is idle and optional proximity detector for determining if a user is present in front of the system. With the computer system idle, and the user in proximity of the system, the display-local frame buffer is activated. Display information transmitted by the graphics processor is stored in the display-local frame buffer. Initially a power reduction state is initiated for the graphics subsystem including the graphics processor, and the display device is placed in a self-refresh state with the display self-refreshing from information stored in the local frame buffer.02-28-2013
20130054998Method and Apparatus to Optimize System Battery-Life While Preventing Disruptive User Experience During System Suspend - A computer system comprising a graphics processor, a frame buffer, a display device, a system agent operable to detect an absence of active software applications and system configurations capable of rendering a disruptive user experience during system suspend, and a memory for storing instructions, that when executed perform a method of entering a power conservation state. The method comprises detecting a system idle event, activating the frame buffer, and storing display information in the frame buffer from the graphics processor. The method further comprises initiating a power reduction state for the graphics processor, self-refreshing the display device during the power reduction state with the display information stored in the frame buffer, and initiating a system suspend comprising a power reduction state for the computer system provided the system agent detects the absence of disruptive software and system configurations.02-28-2013
20130054999BRANCH CIRCUIT DETERMINATION WITH SYNCHRONOUS CORRELATION - A method for relating a data processing system with a power branch circuit is provided in the illustrative embodiments. A second signal is combined with a power signal to form a combination signal, the power signal including a first power usage by the data processing system and a second power usage by a modulating signal. The second signal is synchronized with the modulating signal in the power signal. A determination is made whether an amplitude of a frequency of the second signal is increasing in the combined signal over a period. Responsive to the determining being affirmative, the data processing system is related with the power branch circuit.02-28-2013
20130055000COMPUTING APPARATUS AND HIBERNATION METHOD THEREOF - A hibernation method of a computing apparatus is provided to rapidly cancel a hibernation operation and rapidly restore a previous working state. The hibernation method includes classifying some processes or some pages of working processes into a priority working process according to priority for restoring a working state of the computing apparatus, detecting a user input to cancel a hibernation operation, cancelling the hibernation operation and activating the priority working group in response to the user input, and activating processes or pages that are not classified into the priority working group after activating the priority working group. According to the hibernation method, hibernation that is in progress may be rapidly cancelled in response to a user input. The previous working state may be promptly restored from a hibernation state.02-28-2013
20130055001METHOD AND APPARATUS FOR CONTROLLING AN OPERATION MODE OF A MOBILE TERMINAL - A method and apparatus for controlling an operation mode in a mobile terminal provides the mobile terminal to determine whether a predetermined time has elapsed, after a lapse of which it enters a first power-saving mode, and drive a camera module if the predetermined time has elapsed. Meanwhile, the mobile terminal determines whether a predetermined subject is recognized by the camera module, and then delays changing to the first power-saving mode if the predetermined subject is recognized, and enters the first power-saving mode if the predetermined subject is not recognized.02-28-2013
20130061078Massively Multicore Processor and Operating System to Manage Strands in Hardware - A computing apparatus and corresponding method for operating are disclosed. The computing apparatus may comprise a set of interconnected central processing units (CPUs). Each CPU may embed an operating system including a kernel comprising a protocol stack. At least one of the CPUs may further embed executable instructions for allocating multiple strands among the rest of the CPUs. The protocol stack may comprise a Transmission Control Protocol/Internet Protocol (TCP/IP), a User Datagram Protocol/Internet Protocol (UDP/IP) stack, an Internet Control Message Protocol (ICMP) stack or any other suitable Internet protocol. The method for operating the computing apparatus may comprise receiving input/output (I/O) requests, generating multiple strands according to the I/O requests, and allocating the multiple strands to one or more CPUs.03-07-2013
20130061079IMAGE PROCESSING APPARATUS, METHOD FOR CONTROLLING THE SAME AND STORAGE MEDIUM - An image processing apparatus according to the present invention, when shifting from a normal operation state to a power saving state, obtains property information indicating a power supply capability from an external apparatus connected thereto via a DC power source line. Based on the obtained property information, the image processing apparatus controls supply of power from a power source unit to devices included in the image processing apparatus in a power saving state. Specifically, in the power saving state, the image processing apparatus supplies, to a portion of the devices, power supplied from the external apparatus via the DC power source line when the power supply capability of the external apparatus is higher than that of the image processing apparatus, and power supplied from a rechargeable battery when otherwise.03-07-2013
20130061080INFORMATION PROCESSING APPARATUS HAVING A PLURALITY OF POWER MODES, METHOD OF CONTROLLING THE SAME, AND STORAGE MEDIUM - An information processing apparatus capable of reducing time taken to return to a standby state after turn-off of a power switch in a power saving state. A power supply supplies power to a CPU and a RAM in a standby state, supplies power to the RAM without supplying power to the CPU in a second waiting state caused by turning off the power switch, and supplies power to the RAM without supplying power to the CPU in the power saving state caused without having the power switch turned off when a shift-to-power saving state requirement defined in advance is satisfied. A power supply controller causes the apparatus to shift from the second waiting state to the standby state using a standby memory image. The CPU writes the standby memory image in the RAM for storage in the power saving state.03-07-2013
20130067259STANDBY OPERATION WITH ADDITIONAL MICRO-CONTROLLER - One embodiment of the present invention relates to a low-power micro-controller unit having both a standby micro-controller optimized for low power consumption and a main micro-controller optimized for high performance. A power supply is coupled to the main micro-controller and the standby micro-controller. The power supply provides power to one or more of the low-power, standby micro-controller and the high performance, main micro-controller by separate power supply paths, depending on system needs. The separate power supply paths allow the main micro-controller and the standby micro-controller operate independent of each other. During a low-power standby operating mode, power can be disconnected to the main micro-controller, while providing power to the standby micro-controller, thereby eliminating the leakage current associated with the large number of transistors in the main micro-controller, while still retaining the computational capabilities of the standby micro-controller.03-14-2013
20130067260Operating System Management of Network Interface Devices - Operating system management of network interface devices is described. In one or more implementations, a determination is made by an operating system that network traffic associated with one or more applications of the computing device has completed. Responsive to the determination, a network interface device is caused to transition to a mode to reduce power consumption of the network interface device by the operating system.03-14-2013
20130067261SYSTEM AND METHOD FOR COMPUTER POWER CONTROL - Provided herein is a computer implemented system for managing power supply for an electronic device. The system includes computer a learning component that is trained and constructs models according to usage patterns of the electronic device, a component providing a first phase of model building relating to usage of the electronic device; and a forecasting component that employs the learning component and models to generate predictions relating to usage of the electronic device.03-14-2013
20130067262NOTEBOOK COMPUTER AND CELL PHONE ASSEMBLY - A communication system including, a computer including a controller operable to transition between a plurality of power modes, including a normal power mode and at least one power saving mode, an amplifier that may be enabled or disabled by the controller, to receive audio data from said controller, and a battery to supply power to the controller and to the amplifier, and a communicator coupled to the computer, including a modem to communicate over a wireless network, to enable the amplifier, and to transmit audio data to the amplifier, and a power supply to supply power to the modem.03-14-2013
20130073887POWER-SUPPLY CONTROL DEVICE, IMAGE PROCESSING APPARATUS, POWER-SUPPLY CONTROL METHOD, AND COMPUTER-READABLE MEDIUM - A power-supply control device includes a transition section, a body-capable-of-movement detection section, a return control section, a reference-time-period setting section, a state determination section, and a correction section. The transition section shifts a state of an operation target section from one state to another state among a power-supply state and multiple types of non-power-supply states. The body-capable-of-movement detection section detects a body capable of movement. The return control section controls the transition section so as to return the state of the operation target section to the power-supply state. The reference-time-period setting section sets a reference time period. The state determination section checks a state of detection of the body capable of movement after the reference time period elapses. The correction section corrects the reference time period on the basis of detection position information.03-21-2013
20130073888METHOD, A SYSTEM, A DEVICE, A FIRST SERVER, A SECOND SERVER, A THIRD SERVER A COMPUTER PROGRAM AND A COMPUTER PROGRAM PRODUCT FOR OPERATING A COMMUNICATIONS NETWORK - The invention concerns a method for operating a communications network wherein at least one energy characteristic status is determined (03-21-2013
20130080811Low Power Input Device - An input device for an electronic device. The input device includes a power source, a processor in communication with the power source. Additionally, the input device includes a main sensor in communication with processor and configured to detect a user input and an auxiliary sensor in communication with the processor and configured to detect a user input. When the input device is in a normal power mode or active state, the main sensor is activated and when the input device is in a low power state the main sensor is deactivate and the auxiliary sensor is activated.03-28-2013
20130091369POWER-SAVING INPUT DEVICE AND POWER-SAVING METHOD FOR SUCH INPUT DEVICE - A power-saving method for an input device is provided. The power-saving method includes steps of: counting an idle time of the input device, judging whether an ambient luminance value in an environment of the input device is detected or not according to the idle time of the input device, and allowing the input device to enter a first shallow sleep mode or a deep sleep mode by judging whether the ambient luminance value is higher than or lower than a predetermined luminance value.04-11-2013
20130091370Controlling Power States of a Peripheral Device in Response to User Proximity - In a method of controlling the power state of a peripheral device, the peripheral is changed from a first power state to a second power state in response to communications across a network connected to the peripheral indicating that a user is proximately located to the peripheral. A user may be determined to be proximately located to the peripheral by monitoring communications across the network to detect traffic that is associated with a user logging onto a computer that can utilize the peripheral, by discovering a wireless terminal that is associated with a user and which is proximately located to the peripheral, by receiving information from a cellular communication network across the network that indicates that a user of the peripheral is proximately located to the peripheral, and/or in response to a time of day and/or day of week/month schedule.04-11-2013
20130097444USING LATCHED EVENTS TO MANAGE SLEEP/WAKE SEQUENCES ON COMPUTER SYSTEMS - The disclosed embodiments provide a system that performs power management on a computer system. The system includes an embedded controller and an operating system. During the execution of a sleep sequence by the operating system, the embedded controller latches events associated with use of the computer system. After the sleep sequence has completed, the embedded controller compares the latched events with a set of enabled wake events for the computer system and a current state of the computer system. If the latched events indicate that the current state corresponds to one of the enabled wake events, the embedded controller triggers a wake sequence on the computer system.04-18-2013
20130097445Method and Apparatus for Power Management Control of an Embedded Memory Having Sleep and Shutdown Features - A power management controller controls a power mode associated with a memory device and includes a logic element operative to provide a power mode control signal. The logic element is responsive to first and second control signals, the second control signal being a delayed version of the first control signal. The first control signal is provided by a processing device, and the power mode control signal transitions (i) inactive before a chip select signal transitions active and/or (ii) active after the chip select signal transitions inactive. The chip select signal controls the memory device, and the power mode control signal controls the power mode associated with the memory device. A corresponding method, computer-readable medium, and electronic system are also disclosed. A method that selects a power control mode associated with the power management controller, which controls a power mode associated with the memory device, is also disclosed.04-18-2013
20130097446METHOD OF CONTROL OF AN ACCESS POINT OF A HOME GATEWAY OF A HOME NETWORK - A method of controlling a domestic gateway, intended to connect at least one domestic terminal of a domestic computer network to a telecommunication network. The domestic gateway includes an access point configured so as to establish a connection between the domestic terminal and the domestic gateway. Method includes: a step of verifying the state of the connection between the domestic gateway and the domestic terminal via the access point, and a step of cyclically placing the access point on standby if the connection is inactive, in which the access point is alternately enabled for a duration of activity and disabled for a duration of sleep.04-18-2013
20130097447METHOD AND APPARATUS FOR CONTROLLING SLEEP MODE IN A PORTABLE TERMINAL - A method of controlling a sleep mode of a portable terminal is provided. The method includes setting a sleep mode timer according to a default setting; displaying, upon reaching a predetermined time point before expiration of the sleep mode timer, a notice screen including the sleep mode timer and a timer setting area for re-setting the sleep mode timer according to a temporary setting; and entering, when a sleep mode timer temporary resetting command is received while the notice screen is displayed, a screen maintaining mode in which the sleep mode timer is temporarily re-set from the default setting to a temporary setting according to the sleep mode timer temporary resetting command.04-18-2013
20130097448System and Method for High-Performance, Low-Power Data Center Interconnect Fabric - A system and method are provided that support a routing using a tree-like or graph topology that supports multiple links per node, where each link is designated as an Up, Down, or Lateral link, or both, within the topology. The system may use a segmented MAC architecture which may have a method of re-purposing MAC IP addresses for inside MACs and outside MACs, and leveraging what would normally be the physical signaling for the MAC to feed into the switch.04-18-2013
20130103962SLEEP STATE SYNCHRONIZATION METHOD BETWEEN DOCKED TERMINALS AND DOCKING SYSTEM USING THE SAME - A sleep state synchronization method between docked terminals and docking system using the same is disclosed. The state synchronization method between docked terminals includes determining whether or not a first terminal is docked on a second terminal, turning off signal transmitted to a TMDS data line when it is determined that the first terminal is not docked on the second terminal, and the entering by the second terminal into sleep mode. Accordingly, the docked terminals are able to enter into sleep mode together, enabling interlocked operations of the docked terminals instead of operating independently, which would prevent confusion to users when using the docked terminals. In addition, by the aforementioned method, it is unnecessary to make settings for each docked terminal separately, and it is possible to enter into sleep mode easily using TMDS signal of a HDMI interface without going through complex algorithms.04-25-2013
20130103963POWER SUPPLY CIRCUIT EMPLOYED IN COMPUTER FOR PERFORMING DIFFERENT STANDBY MODES - A power supply circuit includes a basic input output system (BIOS), a super input output (SIO), a bivibrator, a logical selector, and a voltage converter. The basic input output system (BIOS) is configured for storing different operation modes of a computer. The super input output (SIO) is configured for generating standby mode signals according to the different operation modes. The bivibrator is configured for generating a reference signal when upon receiving a clock signal from the computer when the computer is turned on. The logical selector is configured for generating a standby control signal in response to the reference signal and one of the standby mode signals. The voltage converter is configured for transforming the first standby voltage into a second standby voltage to drive the SIO. The SIO receives the second standby voltage before the clock signal is delayed and provided to the SIO to start the computer.04-25-2013
20130103964Device and method for the reliable detection of wakeup events in the shutdown phase of a control unit - A wakeup logic element for providing reliable detection of wakeup events in the shutdown phase of a control unit for a vehicle, having a wakeup source input, the wakeup source input being implemented as a flank-sensitive wakeup input, and an on/off state of a vehicle control unit being controllable by the wakeup source input, wherein a wakeup signal which arrives at the wakeup source input during a shutdown procedure of the control unit is able to be delayed or temporarily suppressed, such that the wakeup signal is applied at the wakeup source input after the control unit has been shut down.04-25-2013
20130111242SYSTEM AND METHOD FOR MANAGING CLOCK SPEED BASED ON TASK URGENCY05-02-2013
20130111243Managing Power Modes Of A Computing System05-02-2013
20130111244ELECTRIC APPARATUS AND ELECTRIC POWER SUPPLY CONTROL METHOD OF THE SAME05-02-2013
20130111245POWER SOURCING EQUIPMENT FOR POWER OVER ETHERNET WITH LOW ENERGY STANDBY MODE05-02-2013
20130111246ELECTRIC DEVICE05-02-2013
20130111247METHOD FOR OPERATING A PROCESSOR IN A REAL-TIME ENVIRONMENT05-02-2013
20130124893IMAGE PROCESSING APPARATUS AND IMAGE PROCESSING METHOD - An image processing apparatus includes a network control unit, a main control unit, a clock circuit unit, a sub control unit, and a power mode switching unit. The main control unit transmits transition information to the sub control unit during a transition to a first power saving mode when power supply to the main control unit is stopped. The clock circuit unit transmits a transition instruction to the sub control unit at a specified time. When detecting the transition instruction during the first power saving mode, the sub control unit selectively controls the power mode switching unit to switch a power mode based on the transition information between transition from the first power saving mode to the normal mode and transition from the first power saving mode to the second power saving mode when power supply to the main control unit and the network control unit is stopped.05-16-2013
20130124894APPARATUS AND METHOD FOR SAVING POWER IN PORTABLE TERMINAL - A portable terminal for providing a Wi-Fi Peer-to-Peer (P2P) function is provided. An apparatus and a method of a portable terminal for enhancing a data transmission performance by adjusting a Notice of Absence (NoA) duration in a NoA-based power saving mode are provided. The apparatus includes a communication unit for receiving Notice of Absence (NoA) information for a power saving mode, a control unit for controlling a client of a group to enter the power saving mode based on the NoA information, and a power management unit for generating the NoA information for the power saving mode.05-16-2013
20130124895MICROPROCESSOR BASED POWER MANAGEMENT SYSTEM ARCHITECTURE - An electronic system is disposed on a single integrated circuit including a plurality of power domains and a power control manager. Each power domain may be independently powered. The power control manager includes a set of control registers storing individual control bits, a power switch for each power domain and a programmable microprocessor. The programmable microprocessor controls the digital state of individual bits within the control registers thereby controlling the ON and OFF state of the corresponding power domain.05-16-2013
20130124896LOW-POWER SYSTEM-ON-CHIP - A system-on-chip comprises a power-off domain block; and a power-on domain block that analyzes externally transferred data during a power-down state of the power-off domain block, wherein the power-on domain block executes an operation of the power-off domain block or a wake-up operation, based on an analyzed result of the externally transferred data.05-16-2013
20130132750ELECTRONIC DEVICE AND METHOD FOR UPDATING A TIME IDENTIFIER ASSOCIATED THEREWITH - An electronic device includes an input device, an output device, a memory capable of storing an alert, and a processor coupled with the input device, the output device and the memory. The processor is configured to identify a current time, receive a command to switch the electronic device from a first operating mode to a second operating mode, and identify a record in a data-store. The record stores a first time identifier. The processor is further configured to identify a second time identifier. The processor automatically changes the second time identifier to be sooner than or equal to the first time identifier, automatically switches the electronic device from the second operating mode to the first operating mode when the current time matches the second time identifier, and presents the alert via the output device when the current time matches the first time identifier.05-23-2013
20130132751ELECTRONIC DISPLAY DEVICE AND POWER SAVING METHOD - An electronic display device detects whether a user is in front of a display via a camera according to a first frequency in a working mode of the electronic display device. If the electronic display device detects that the user is not in front of the display for a first predefined time period, the electronic display device enters a power saving mode by turning off the display. The electronic display device detects whether the user is in front of the display again via the camera according to a second frequency in the power saving mode. The electronic display device reduces the second frequency after the user is not in front of the display for a second predefined time period. The electronic display device enters the working mode by turning on the display when detecting that the user is in front of the display again.05-23-2013
20130132752POWER SUPPLIER OF COMPUTER SYSTEM AND POWER SUPPLY METHOD THEREOF - A power supplier of a computer system and a power supply method thereof are described. The power supply method includes the following steps, receiving a validation code when a computer system enters a working mode from a standby mode; determining whether the validation code is in accordance with a preset code; If the validation code is in accordance with the preset code, executing a power sequence control so that the power supplier generates a plurality of working voltages required by the computer system; and if the validation code is not in accordance with the preset code, powering off the computer system or keeping the computer system in the standby mode.05-23-2013
20130132753INFORMATION PROCESSING DEVICE AND METHOD - An information processing device comprises a first memory, a second memory, data transfer circuitry, power gating circuitry, and a controller. The first memory comprises at least two volatile memory units The controller receives or generates a request for setting the information processing device into a reduced power mode; in response to the request, it selects specific memory units among the memory units; controls the data transfer circuitry to transfer data from the selected memory units to the second memory; and controls the power gating circuitry to power down the selected memory units.05-23-2013
20130132754REDUCING POWER CONSUMPTION BY MASKING A PROCESS FROM A PROCESSOR PERFORMANCE MANAGEMENT SYSTEM - Energy savings can be obtained by masking a computationally-intensive task from a processor performance management system which selects the processor performance state based on the load on the processor (CPU). By preventing the PPM system from reacting to the computational load the application places on the processor, the time to complete execution of the application increases but the energy used by the application may be greatly reduced and thermal stress on the CPU is also reduced (preventing noisy fans from operating). This approach makes it convenient to run a computationally intensive task as a background task. The masking can be achieved by running the task in tiny bursts, with micro-sleeps in between them, so that the average CPU load is low over a time period that the PPM system uses for measuring CPU activity/load.05-23-2013
20130132755POWER MANAGEMENT OF LOW POWER LINK STATES - A method and apparatus for intelligent power management for low power link states. Some embodiments include methods, apparatuses, and systems for a device coupled to a controller via a link; a link power management engine to alter a power state of the link based on a transaction and some knowledge of future transactions between the device and the controller; and a memory or logic to store the link power management engine. In some embodiments, the memory stores information about at least one of the following: the power state of the link, the device buffering, the controller or device state or a history of transactions. In some embodiments, the device is a peripheral of a computer system. In some embodiments, the method may include transitioning the device to various link states. Other embodiments are described.05-23-2013
20130138987METHOD FOR ENTERING IDLE MODE AND MOBILE TERMINAL FOR IMPLEMENTING SUCH METHOD - The present invention discloses a method for entering into an idle mode comprising: a mobile terminal getting ready to enter into an idle state; and determining whether a data connection exists currently, and if the data connection exists, sending a connection release message to a network side, and the mobile terminal entering into the idle state after receiving a reply message, if the data connection does not exist, the mobile terminal entering into the idle state directly. The present invention further discloses a mobile terminal to which the method is applied. Using the method and mobile terminal described above, the mobile terminal can enter into the idle state directly, thereby avoiding waste of electricity in the state transition process and extending the idle time of the mobile terminal.05-30-2013
20130145191UNIVERSAL SERIAL BUS DEVICE AND METHOD FOR POWER MANAGEMENT - The present invention discloses a Universal Serial Bus (“USB”) device with a power saving mechanism. The USB device includes an Ethernet physical layer, a USB physical layer, a wakeup packet detection circuit configured to receive a wakeup packet from the Ethernet physical layer, and a standby power saving control circuit. The standby power saving control circuits selects a connection speed from the group including EEE, 10 Mbps, 100 Mbps, 1 Gbps and 10 Gbps, wherein the standby power saving control circuit is able to connect to a wakeup device at the selected connection speed, so that the USB system can enter an optimal power saving status while in a standby mode. The connection speeds are defined in the specification of IEEE 802.3az as 10BASE-T, 100BASE-TX, 1000BASE-T, 10GBASE-T, 1000BASE-KX, 10GBASE-KX4, 10GBASE-KR.06-06-2013
20130145192COMPUTER AND CONTROL METHOD THEREOF - A computer includes a CPU and a system unit, and further includes a power source which generates source power, a system driving power generator which converts the source power to system power and which provides power to the system unit, a CPU driving power generator which outputs driving power to drive the CPU, and a controller which selectively supplies the source power or the system power to an input terminal of the CPU driving power generator according to an operation mode of the CPU. Thus, a computer adjusts a level of power supplied to a CPU driving power generator according to a CPU mode and improves power efficiency, and includes a control method thereof.06-06-2013
20130145193DATA PROCESSING DEVICE AND DATA PROCESSING SYSTEM - A data processing device includes: a first power-on reset circuit; a second power-on reset circuit with higher power consumption and higher reset voltage accuracy than the first power-on reset circuit; a storage unit storing information for determining whether to keep the second power-on reset circuit in an active state or an inactive state; and a central processing unit initialized in response to respective outputs of the first and second power-on reset circuits and setting the information in the storage unit.06-06-2013
20130145194METHODS FOR OPERATING AN APPARATUS HAVING MULTIPLE STAND-BY MODES - A method for operating an apparatus, such as a video signal receiver, having first and second stand-by modes when the apparatus is in an off state, wherein the first stand-by mode provides a different start-up time and consumes a different amount of power than the second stand-by mode, is capable of saving power without requiring a user to wait a long time for a start-up sequence. According to an exemplary embodiment, the method includes enabling display of a user interface allowing user selections for a plurality of different time periods of a day; and enabling a user to select, via the user interface, one of at least three different options for each one of the different time periods, wherein a first one of the options includes setting the apparatus to the first stand-by mode for the time period, a second one of the options includes setting the apparatus to the second stand-by mode for the time period, and a third one of the options includes setting the apparatus to a statistical mode for the time period, wherein the statistical mode includes setting the apparatus to one of the first and second stand-by modes for the time period based on a user's past viewing habits during the time period.06-06-2013
20130151880IMAGE PROCESSING APPARATUS, CONTROL METHOD THEREFOR AND STORAGE MEDIUM - When the first time has elapsed after the operator operates a power switch to stop power supply, an image processing apparatus forcibly stops power supply. When stopping power supply, the image processing apparatus executes hibernation processing to retract, in a secondary storage device, the stored content of a main memory used as a work area by a CPU. When the hibernation processing will be completed within a target time necessary to complete the hibernation processing and end processing of the image processing apparatus before the first time elapses, the image processing apparatus executes the end processing of the image processing apparatus and stops power supply after completing the hibernation processing; otherwise, the image processing apparatus interrupts the hibernation processing, executes the end processing of the image processing apparatus, and stops power supply.06-13-2013
20130151881BRIDGING DEVICE AND POWER SAVING METHOD THEREOF - A bridging device and a power saving method thereof are disclosed. When a bridging chip of the bridging device receives a power saving command transferred from a host and thereby enters a power saving state, a voltage converter of the bridging device is disabled accordingly and a selection circuit selects to couple a bus voltage to the bridging chip to power the bridging chip. The bus voltage is transferred from the host through a power pin of a connector of the bridging device. The connector is coupled to the host.06-13-2013
20130159749POWER CONSERVATION TECHNIQUES FOR USE IN DEVICES WITH SELECTABLE POWER MODES - Techniques are provided which may be implemented in various methods, apparatuses, and/or articles of manufacture for use in and/or with an electronic device that is operable in a plurality of selectable power modes, including at least a “higher power mode” and a “lower power mode”. In an example implementation, with an electronic device operating in a higher power mode may selectively transition to a lower power mode based, at least in part, on at least phase value obtained from a signal acquired from a ground-based transmitter. Further techniques are provided which may be implemented to allow electronic device to selectively transition from a lower power mode to one or more other selectable power modes, e.g., including various “medium power modes”, and various “higher power modes”.06-20-2013
20130159750METHOD AND APPARATUS FOR TRANSITIONING A SYSTEM TO AN ACTIVE DISCONNECT STATE - A processor includes a processor core and a power management controller operable to receive a timer event, store the timer event, generate a hardware system sleep command to enter a hardware system sleep state, and restore the timer event upon exiting from the hardware system sleep state.06-20-2013
20130159751INSTALLATION OR DEVICE WITH A HIGH-DEFINITION MULTIMEDIA INTERFACE - The Installation is equipped with a power supply unit and a High-Definition Multimedia Interface (HDMI), this installation being able to be connected to a second HDMI device with which it can communicate by using a protocol defined in the HDMI Standard, this installation or device being able to be set in Standby or Power-down mode and to be removed from this Standby or Power-down mode by said second HDMI device via a Consumer Electronic Control (CEC) line. The installation has a Power Management Unit (PMU) arranged on the primary side of said power supply unit, this PMU having its own power supply circuit directly connected to the power supply source of this installation or device and being arranged for switching OFF the electrical energy on the secondary side of said power supply unit when this installation or device enters said Standby or Power-down mode.06-20-2013
20130159752LOW POWER PAGING OF CONSUMER ELECTRONICS - Systems, apparatuses, and methods are configured to monitor signals received via a transmission medium by a paging monitor to detect an activity trigger. Systems, apparatuses, and methods are further configured to, in response to detecting the activity trigger, cause, by the paging monitor, a main receiver to transition from an energy conservation mode to an active mode to monitor the transmission medium for data traffic.06-20-2013
20130166932SYSTEMS AND METHODS OF EXITING HIBERNATION IN RESPONSE TO A TRIGGERING EVENT - A method may be performed by an electronic device coupled to a volatile system memory. The method includes entering a hibernation mode of the electronic device, where in the hibernation mode, the volatile system memory is powered off. The method further includes detecting a triggering event and, in response to detecting the triggering event, exiting the hibernation mode. While exiting the hibernation mode, the volatile system memory is powered and a pre-hibernation state of the volatile system memory is restored.06-27-2013
20130166933METHOD FOR WAKING UP A PLURALITY OF HIBERNATED MASS STORAGE DEVICES - A method for waking up a plurality of hibernated mass storage devices is disclosed. The method includes receiving a first command from a control circuit for accessing data stored in a plurality of hibernated mass storage devices; dividing the plurality of hibernated mass storage devices into a plurality of mass storage groups; waking up a first mass storage group of the plurality of mass storage groups; and notifying the control circuit to stop sending commands to the remaining hibernated mass storage devices in a period of time.06-27-2013
20130166934MEMORY STORAGE DEVICE, MEMORY CONTROLLER AND CONTROLLING METHOD - A controlling method for a memory storage device is provided. The method includes: disposing a rewriteable non-volatile memory module which is operated at a first working voltage in the memory storage device; and detecting whether the first working voltage is lower than a first voltage threshold. The method also includes: detecting whether a circuit component working voltage is lower than a circuit component voltage threshold; when the first working voltage is lower than the first voltage threshold, setting the memory storage device to stop executing commands from a host system and to stop giving commands to the rewriteable non-volatile memory module; and, when the circuit component working voltage is lower than the circuit component voltage threshold, enabling a reset signal to stop receiving and executing commands from the host system. Therefore, the method can effectively improve the stability of the memory storage device.06-27-2013
20130166935CONTROL DEVICE AND COMPUTER PROGRAM PRODUCT FOR CONTROLLING METHOD - In an embodiment, provided is a control device that operates: in a second mode where electric power to each of a processing unit and a second storage unit is stopped and is supplied to a first storage unit; and in a first mode where first control information controlling a state of the processing unit is stored in the second storage unit and electric power to each of the processing unit, and the first and second storage unit is stopped. When shifted from the first to second mode, the power supply control unit starts supply of electric power to each of the processing unit and the first storage unit. If the processing unit has been made to be in an operable first state, the processing unit creates and stores second control information in the first storage unit. Then, the power supply control unit stops electric power to the processing unit.06-27-2013
20130173942FAST PLATFORM HIBERNATION AND RESUMPTION OF COMPUTING SYSTEMS - Secure fast platform hibernation and resumption for computing systems. An embodiment of an apparatus includes a processor to operate according to an operating system, the processor to transition the apparatus to a first reduced power state in response to a request, the transition to the first reduced power state including the processor to store context data for the apparatus in a volatile system memory, and logic to transition the apparatus to a second reduced power state, the logic to write the context data from the volatile system memory to a nonvolatile memory for the transition to the second reduced power state, wherein the logic is to implement one or more security measures for the writing of the context data into the nonvolatile memory.07-04-2013
20130173943IMAGE FORMING APPARATUS, SYSTEM ON CHIP UNIT AND DRIVING METHOD THEREOF - An image forming apparatus connected to a host apparatus includes a first memory; a second memory; a USB interface to receive a USB control signal or a USB data signal from the host apparatus; a first CPU to perform an operation using the first memory in a normal mode and being deactivated if the normal mode is converted into a power saving mode; and a second CPU to perform an operation using the second memory in the power saving mode. In the image forming apparatus, if the USB data signal is input in the power saving mode, the second CPU activates the first CPU to convert the power saving mode into the normal mode, and if the USB control signal is input in the power saving mode, the second CPU retains the power saving mode and performs an operation corresponding to the USB control signal using the second memory.07-04-2013
20130179714BACKUP POWER SUPPLY CIRCUIT AND METHOD - A backup power supply circuit includes a backup power supply unit, a delay unit, and a power supply chip. The backup power supply unit is connected to a computer system. The backup power supply unit supplies power to the computer system when a cutoff of an external power source of the computer system occurs. The power supply chip sets the computer system into an idle state when the cutoff of the external power of the computer system occurs. The delay unit sets a delay time. The delay unit countdowns the delay time at a beginning of the cutoff of the external power of the computer system, and controls the power supply chip to revive the computer system when the countdown is completed.07-11-2013
20130179715SYSTEMS AND METHODS FOR REDUCING ENERGY CONSUMPTION IN SENSOR NETWORKS - A system includes a volatile memory and state information management logic. The volatile memory includes a plurality of volatile storage locations. The state information management logic includes memory write tracking circuitry coupled to the volatile memory. The memory write tracking circuitry is configured to identify locations of the memory written subsequent to restoration of state information to the volatile memory on exit of a low-power mode of operation, and to store indicia of the identified locations.07-11-2013
20130179716Dynamically Adjusting Power Of Non-Core Processor Circuitry - In one embodiment, the present invention includes a multicore processor having a variable frequency domain including a plurality of cores and at least a portion of non-core circuitry of the processor. This non-core portion can include a cache memory, a cache controller, and an interconnect structure. In addition to this variable frequency domain, the processor can further have a fixed frequency domain including a power control unit (PCU). This unit may be configured to cause a frequency change to the variable frequency domain without draining the non-core portion of pending transactions. Other embodiments are described and claimed.07-11-2013
20130185578SEMICONDUCTOR DEVICE AND CONTROL METHOD - A semiconductor device includes a detector that receives an input signal whose characteristics fluctuate depend on the standby mode state, compares the input signal amplitude with a specific threshold amplitude, and outputs a detector output signal that shows whether or not the amplitude of the applicable input signal is above the specified threshold amplitude; and an intermittent operation control circuit that along with receiving the detector output, also receives a first signal showing which mode among the multiple standby mode states is in, and sets the detector to the continuously on (enable) mode state when the input signal is above the specified threshold amplitude, and in all other cases intermittently operates the detector depend on the characteristics of the input signal in the mode shown by the first signal.07-18-2013
20130185579Microarchitecture Controller For Thin-Film Thermoelectric Cooling - A device having multiple cores executes an algorithm to control Thin-Film Thermoelectric Coolers (TFTEC) that employ the Peltier effect to remove heat from the various cores of the multi-core processor. The algorithms may combine Thread Migration (TM) and Dynamic Voltage/Frequency Scaling (DVFS) to provide Dynamic Thermal Management (DTM) and TFTEC control.07-18-2013
20130185580INSTRUCTION FOR ENABLING A PROCESOR WAIT STATE - In one embodiment, the present invention includes a processor having a core with decode logic to decode an instruction prescribing an identification of a location to be monitored and a timer value, and a timer coupled to the decode logic to perform a count with respect to the timer value. The processor may further include a power management unit coupled to the core to determine a type of a low power state based at least in part on the timer value and cause the processor to enter the low power state responsive to the determination. Other embodiments are described and claimed.07-18-2013
20130191668SAFE UNATTENDED WAKE SYSTEM - An information handling system (IHS) includes a chassis. A processor is located in the chassis. A memory is located in the chassis and coupled to the processor. A disk drive is located in the chassis and coupled to the processor. An accelerometer is located in the chassis. A controller is located in the chassis and coupled to the accelerometer. The controller is operable, in response to a wake indicator signal associated with an IHS wake operation, to use the accelerometer to determine that the movement of the IHS exceeds a threshold and, in response, prevent the IHS wake operation such that operation of the disk drive is not initiated.07-25-2013
20130191669Method for Automatically Generating User Program Code for a Programmable Logic Controller for Controlling a Machine - A method for automatically generating user program code for a programmable logic controller configured to control a machine, includes providing, at a first earlier time, a plurality of selectable program code parts for the programmable logic controller; and automatically generating the user program code at, a second later time, by combining at least two of the plurality of selectable program code parts that have been selected by a user. At least one of the plurality of selectable program code parts is configured to activate at least one energy-saving function of an energy consumer of the machine. The at least one energy-saving function has energy-saving function properties.07-25-2013
20130191670CONTROL DEVICE, SYSTEM, AND COMPUTER PROGRAM PRODUCT - According to an embodiment, a control device includes a calculator and a setting unit. The calculator is configured to calculate a system processing time indicating a time required for processing executed after a system, the system including a plurality of elements, power to each element being individually controlled, resumes from a sleep state in which the number of elements supplied with power is limited to a predetermined number and an operation of the system is stopped. The setting unit is configured to set a mode indicating an operation state of the system according to the system processing time calculated by the calculator when a resume factor indicating a factor for resuming the system from the sleep state occurs.07-25-2013
20130191671INFORMATION PROCESSING UNIT, POWER-SAVING MANAGEMENT PROGRAM, AND STORAGE MEDIUM STORED WITH THE POWER-SAVING MANAGEMENT PROGRAM - An information processing unit having an auto power-off function includes a mode setting means for setting one mode from a plurality of pre-prepared modes. The pre-prepared modes include a first power-saving mode and a second power-saving mode. Also included are a processing execution means for executing game application or contents replay as internal processing, and a power controlling means for controlling power of the information processing unit. The power controlling means continues power-on in the first power-saving mode and changes to power-off in the second power-saving mode when a non-operated state in which no input operation has been carried out by the user has continued for a predetermined time or longer during execution of the internal processing.07-25-2013
20130198544POWER CONTROL SYSTEM AND RELATED METHOD OF OPERATION - An electronic system comprises a storage device and a host device each having a high power mode and a low power mode. The respective power modes of the storage device and the host device are changed in coordination with each other.08-01-2013
20130198545METHODS OF SPREADING PLURALITY OF INTERRUPTS, INTERRUPT REQUEST SIGNAL SPREADER CIRCUITS, AND SYSTEMS-ON-CHIPS HAVING THE SAME - In a method of power control for a system-on-chip, output of at least one of a first wakeup request signal and a second wakeup request signal is controlled such that a time interval between the output of the first wakeup request signal and the output of the second wakeup request signal is greater than or equal to a time interval threshold. The first wakeup request signal and the second wakeup request signal are one of concurrent and consecutive wakeup request signals.08-01-2013
20130198546DATA PROCESSING APPARATUS, INFORMATION PROCESSING METHOD, AND STORAGE MEDIUM - A data processing apparatus includes first and second information processing apparatuses. The first information processing apparatus has a first power mode and a second power mode in which electric power consumption is smaller than in the first power mode. The second information processing apparatus is capable of communicating with the first information processing apparatus and an external apparatus via a network. Definition information including identification information identifying a packet received via the network and process information indicating a process to be performed on the packet is received at least from one of the first information processing apparatus and the external apparatus. If a packet is received from the external apparatus in the second power mode, the packet is analyzed to identify definition information corresponding to the packet based on the identification information. A process is performed according to the process information included in the definition information.08-01-2013
20130198547System and Method for Maintaining Connectivity to Remote Application Servers - A system and method for maintaining connectivity between a host system running an Always-On-Always-Connected (AOAC) application and an associated remote application server. The system further includes circuitry configured to establish a communication link between the host system and the remote application server. The circuitry is configured periodically transmit keep-alive messages to the remote application server after the host system transitions to and remains in a low-power state. The keep-alive messages are configured to maintain connectivity and presence of the AOAC application with the remote application server while the host system is in the low-power state.08-01-2013
20130198548APPARATUS AND METHOD FOR SAVING POWER OF USB DEVICE - An apparatus and method for saving power of a USB composite network device is provided. The method includes sending an idle request IRP to a composite driver at a bus driver of a network driver when the composite network device requests selective suspend, sending power state transition information to the composite driver and shifting a power state from a normal state to an intermediate sleep state at the bus driver, and shifting a power state from the normal state to the intermediate sleep state at the composite driver according to the power state transition information, wherein, when the composite network device is shifted to the selective suspend mode, the bus driver and the composite driver are in the intermediate sleep state and an NDIS miniport driver of the network driver is in the normal state.08-01-2013
20130205151ELECTRONIC APPARATUS AND CONTROLLING METHOD OF PROTECTING ELECTRONIC APPARATUS - A controlling method for protecting an electronic apparatus, performed by the electronic apparatus to determine whether the system temperature thereof is overheated, so as to switch the electronic apparatus into a low-power operation mode when the system temperature is overheated. The controlling method is to monitor an electronic component of the electronic apparatus, so as to obtain the current temperature and the current working power of the electronic component and to determine whether the system temperature is overheated according to the current temperature and the current working power. When the system temperature is overheated, an overheating signal is generated to switch the electronic apparatus into the low-power operation mode.08-08-2013
20130205152OPERATING A SLEEP MANAGEMENT SERVICE - The claimed subject matter provides a method for operating a sleep management service. The method include identifying a set of guardians based on a local state for each of a plurality of compute nodes. The method also includes sending a wake request to all sleeping compute nodes in the identified set. The method further includes sending a request to become a guardian to all compute nodes in the identified set. Additionally, the method includes stopping a current guardian from being a guardian if the current guardian is less suitable than a threshold number of current guardians.08-08-2013
20130205153INFORMATION PROCESSING APPARATUS, CONTROL METHOD FOR INFORMATION PROCESSING APPARATUS, AND COMPUTER-READABLE STORAGE MEDIUM - An information processing apparatus capable of operating in a first power state and a second power state different from the first power state includes a reception unit configured to receive data via a network from an external apparatus, a storage unit configured to store first pattern and second pattern that are to be compared with the data received by the reception unit, and a comparison unit configured to compare the data received by the reception unit with a pattern stored in the storage unit in a case where the information processing apparatus operates in the first power state, and to compare the data received by the reception unit with the second pattern stored in the storage unit in a case where the information processing apparatus operates in the second power state.08-08-2013
20130205154COMPUTER SYSTEM, METHOD FOR PROGRAMMING A REAL-TIME CLOCK AND A COMPUTER PROGRAM PRODUCT - A computer system includes at least one system component including a first realtime clock that produces interrupt requests, at least one power supply unit that supplies the system component with at least one operating voltage, wherein the power supply unit includes at least one microcontroller having a second realtime clock, and at least one firmware component, wherein the firmware component transfers programming of the first realtime clock to the second realtime clock before the computer system changes to a switched-off state or an energy-saving state.08-08-2013
20130205155METHOD AND APPARATUS FOR IMPLEMENTING LOW POWER CONSUMPTION FOR DATA SWITCHING DEVICE, AND DATA SWITCHING DEVICE THEREOF - An apparatus for implementing low power consumption for data switching device includes a dormancy management module that controls an upper layer logic component in a device to enter a low power consumption state when a current state parameter of the device satisfies a preset dormancy configurationcondition; detects whether an energy consumption state of a transmission medium is active; and wakes up the upper layer logic component in the device to enter a normal working state if the energy consumption state of the transmission medium is active. When a preset condition is satisfied, upper layer logic components such as a CPU, a network processor and an optical module in the device, properly stop full-time operation to save energy.08-08-2013
20130212416MOTION ON COMPUTER - The processor of a computer in the form or a slate or clamshell can reconfigure a computer from a full power wake mode to a low power sleep mode or vice versa by using any combination of criteria that includes input and motion within a threshold period as detected by motion sensors such as a gyroscope, a shock sensor, and an accelerometer.08-15-2013
20130212417POWER MANAGEMENT WITH DYNAMIC FREQUENCY ADJUSTMENTS - A central processing unit (CPU) can specify an initial (e.g., baseline) frequency for a clock signal used by a device to perform a task. The CPU is then placed in a reduced power mode. The device performs the task after the CPU is placed in the reduced power mode until a triggering event causes the device to send an interrupt to the CPU. In response to the interrupt, the CPU awakens to dynamically adjust the clock frequency. If the clock frequency is reset to the baseline value, then the CPU is again placed in the reduced power mode.08-15-2013
20130212418ELECTRONIC DEVICE, IMAGE PROCESSING APPARATUS, AND DEVICE CONTROL METHOD - According to an embodiment, provided is an electronic device that includes: an energy generation unit that generates electrical power; an accumulating unit that accumulates therein the electrical power generated by the energy generation unit; and a network control unit that includes: a first function unit that performs network response processing; a second function unit that performs network response processing with a relatively smaller processing load than the network response processing performed by the first function unit; and a third function unit that controls the electrical power supply to the function units. The third function unit stops the electrical power supply to the first function unit in a predetermined standby operation mode that is standby for a network response request, and supplies the electrical power to the second function unit directly from the energy generation unit bypassing the accumulating unit.08-15-2013
20130219200STATIC READ ONLY MEMORY DEVICE WHICH CONSUMES LOW STAND-BY LEAKAGE CURRENT - An electronic device comprises a semiconductor memory cell having a bistable bit storage circuit having first and second power contact points. A first switch is coupled to the first power contact point to receive a first voltage. A second switch coupled to the second power contact point to receive a second voltage. Circuitry is provided for turning off the first and second switches to decouple the respective first and second voltages from the respective first and second power contact points, during stand-by operation of the electronic device.08-22-2013
20130219201ELECTRONIC DEVICE SYSTEMS AND METHODS FOR MAINTAINING LOW POWER CONSUMPTION WHILE PROVIDING POSITIONING FUNCTION IN ELECTRONIC DEVICE SYSTEM - An electronic device system includes a first device and a second device. The first device controls system operations and operates in at least a normal mode and a sleep mode having lower power consumption. After issuing a condition setting signal carrying at least a wake up condition, the first device switches from the normal mode to the sleep mode. After receiving an interrupt indication signal, the first device switches from the sleep mode to the normal mode. The second device is coupled to the first device and continuously receives and processes multiple satellite signals to obtain position information. The second device receives the condition setting signal from the first device and determines whether the wake up condition has been met according to the position information. When the wake up condition has been met, the second device issues the interrupt indication signal.08-22-2013
20130219202INFORMATION PROCESSING APPARATUS WITH POWER SHUTOFF SWITCH AND CONTROL METHOD THEREFOR - An information processing apparatus includes a switching unit configured to be an ON-state in which power is supplied to the information processing apparatus and an OFF-state in which power is not supplied to the information processing apparatus, a driving unit configured to set the switching unit to the off-state, a voltage supply unit configured to apply a voltage to the driving unit, and a control unit configured to, in a case where a condition for shutting off the supply of power to the information processing apparatus is satisfied, perform control to apply the voltage from the voltage supply unit to the driving unit, and, after the voltage is applied to the driving unit, control the driving unit to set the switching unit to the off-state.08-22-2013
20130219203CONTROL DEVICE, CONTROL METHOD, COMPUTER PROGRAM PRODUCT, AND ELECTRONIC DEVICE - According to an embodiment, a power control device includes a storage unit, a monitor, a determining unit, and a controller. The storage device stores a look-up table, which includes relationship between needed power consumptions and start-up conditions of an electronic device including a plurality of modules. The start-up condition of the electronic device is determined from the needed power consumption in the look-up table and specifies a power on/off status of the modules in the electronic device. The monitor monitors a voltage or available power supplied by a power source when the electronic device is activated. The determining unit determines a start-up condition corresponding to needed power consumption, which corresponds to the voltage or available power monitored by the monitor, with reference to the table. The controller sets a start-up condition of the electronic device to start up the electronic device in the start-up condition determined by the determining unit.08-22-2013
20130219204METHOD AND SYSTEM FOR WAKING UP REMOTE DEVICES - Disclosed are a method and a system for remote wake-up and status check, with a wake-up signal generating unit generating and transmitting a wake-up signal to wake up an electricity supply unit of a remote device to operate normally and provide electricity for the remote device. The wake-up signal has an assigned electric voltage with specific characteristics for detection by the electricity supply unit while in power-off mode and, upon signal detection, the electricity supply unit wakes up to provide normal electric voltage output. The wake-up signal generating unit determines, according to an electric current on a wake-up signal feed line, whether the remote device is in an active mode. A low wake-up energy consumption enables system wake-up and a true powered-off sleep mode.08-22-2013
20130227323SYSTEM FOR REDUCING ENERGY CONSUMPTION OF A DEVICE AND A METHOD THEREFOR - A system for reducing energy consumption of a device and a method therefor. An apparatus for providing power to a controlled device includes a memory, a power controller, and a processor. The processor receives switching data from the memory. The switching data may include at least one on time and at least one off time. The processor generates a connect command or a disconnect command from the switching data and issues the command to the power controller. The power controller connects a power source interface to a power output interface. A power source is also connected to the power source interface. The power output interface is connected to a power input of the controlled device. The power controller connects the power output interface to the power source interface in response to a connect command and disconnects the power output interface from the power source interface in response to the disconnect command.08-29-2013
20130227324ELECTRONIC DEVICE, POWER CONTROL METHOD, AND PROGRAM - An electronic device includes an address acquisition unit that performs communication with an approaching communication terminal to acquire a unique address of the communication terminal, and a power control unit that shifts its own power state from a standby state where power is supplied only to a minimum function to a quick start state where power is supplied to a function other than a presentation function to a user, when the unique address acquired by the address acquisition unit matches a registered address.08-29-2013
20130227325INFORMATION PROCESSING APPARATUS, CONTROL METHOD OF INFORMATION PROCESSING APPARATUS, AND STORAGE MEDIUM - The present invention relates to a job processing apparatus including an instruction unit configured to provide an instruction to shift a power supply to an OFF state, a setting unit configured to variably set a suspended time for shifting from a suspended state to the OFF state based on a threshold of the number of rewritable times calculated by a calculation unit and a number of writing times, and a control unit configured to shift the power supply to the OFF state after the suspended time set by the setting unit has lapsed.08-29-2013
20130232359ENERGY EFFICIENT MICROPROCESSOR PLATFORM BASED ON INSTRUCTIONAL LEVEL PARALLELISM - Embodiments of a processing architecture are described. The architecture includes a fetch unit for fetching instructions from a data bus. A scheduler receives data from the fetch unit and creates a schedule allocates the data and schedule to a plurality of computational units. The scheduler also modifies voltage and frequency settings of the processing architecture to optimize power consumption and throughput of the system. The computational units include control units and execute units. The control units receive and decode the instructions and send the decoded instructions to execute units. The execute units then execute the instructions according to relevant software.09-05-2013
20130232360Data Processing System with Thermal Control - Various embodiments of the present invention provide systems and methods for a data processing system with thermal control. For example, a data processing system with thermal control is disclosed that includes a number of data processors and a scheduler, which is operable to determine the power consumption of the data processors and to switch the data processing system from a first mode to a second mode and from the second mode to a third mode. The data processing system consumes less power in the third mode than in the first mode. The second mode prepares the data processing system to enter the third mode.09-05-2013
20130232361INFORMATION PROCESSING APPARATUS AND INFORMATION PROCESSING METHOD - When a predetermined time elapses after the start of a screen saver, it is detected whether or not an apparatus is driven by a battery. For AC driving, the apparatus is shifted to a sleep state for power saving, since there is a low power-off risk. For battery driving, it is discriminated whether or not the battery has a sufficient remaining battery level, and the apparatus is shifted to a rest state for avoiding the power-off risk, when the battery has a low remaining battery level. When the remaining battery level is sufficient, the apparatus is shifted to the sleep state and then to the rest state after a first predetermined time, if inspection information is absent, whereas the apparatus is shifted to the sleep state and then to the rest state after a second, longer predetermined time, if the inspection information is present.09-05-2013
20130232362POWER SUPPLY MODULE AND POWER SUPPLY SYSTEM - The present disclosure provides a power supply module and a power supply system. The power supply module is used as a first power supply module in a computing system which further includes a second power supply module. The first power supply module and the second power supply module are cascaded in sequence. The first power supply module is in a power supplying state and the second power supply module is in an off state. The first power supply module itself is capable of automatically determining whether to turn on another power supply module or not, without any human intervention. In this way, the power distribution board can be simplified, or even eliminated, in which case the individual power supply modules can be connected by wire to reduce the cost.09-05-2013
20130232363CONSERVING POWER BY REDUCING VOLTAGE SUPPLIED TO AN INSTRUCTION-PROCESSING PORTION OF A PROCESSOR - One embodiment of the present invention provides a system that facilitates reducing static power consumption of a processor. During operation, the system receives a signal indicating that instruction execution within the processor is to be temporarily halted. In response to this signal, the system halts an instruction-processing portion of the processor, and reduces the voltage supplied to the instruction-processing portion of the processor. Full voltage is maintained to a remaining portion of the processor, so that the remaining portion of the processor can continue to operate while the instruction-processing portion of the processor is in reduced power mode.09-05-2013
20130232364Hardware Automatic Performance State Transitions in System on Processor Sleep and Wake Events - In an embodiment, a power management unit (PMU) may automatically transition (in hardware) the performance states of one or more performance domains in a system. The target performance states to which the performance domains are to transition may be programmable in the PMU by software, and software may signal the PMU that a processor in the system is to enter the sleep state. The PMU may control the transition of the performance domains to the target performance states, and may cause the processor to enter the sleep state. In an embodiment, the PMU may be programmable with a second set of target performance states to which the performance domains are to transition when the processor exits the sleep state. The PMU may control the transition of the performance domains to the second targeted performance states and cause the processor to exit the sleep state.09-05-2013
20130238919Power Save Mechanism For Peer-to-Peer Communication Networks - A group owner of a peer-to-peer communication network is configured to determine whether all client devices associated with the peer-to-peer communication network support direct data communication. The group owner is configured to implement an awake mode for a portion of each beacon interval when all the associated client devices support direct data communication. The group owner is configured to implement a sleep mode for a remaining portion of each beacon interval to save power at the group owner without interrupting communications between the associated client devices.09-12-2013
20130238920SYSTEM AND METHOD FOR MANAGING POWER CONSUMPTION IN A COMPUTER DEVICE - A system and method is disclosed for managing power consumption in a computing device. A policy controller determines whether an aggregated power consumption of a plurality of external interface controllers in a computing device is greater than a policy power limit. On determining that the aggregated power consumption is greater than the policy power limit, the policy controller may set a first external interface controller at a negotiated power level, and set a second external interface controller at an adjusted power level, wherein the first external interface controller and the second external interface controller are set based on the policy power limit and the aggregated power consumption.09-12-2013
20130238921SYSTEMS AND METHODS FOR LOW POWER PROCESSING BASED ON LOCATION INFORMATION - Methods, systems, and computer-readable media are provided for offloading services and functionalities from a main host central processing unit (CPU) of a computing device to a dedicated power-efficient offload engine, thereby enabling a longer battery life for the device and an enhanced set of features.09-12-2013
20130238922Method and System For a Low-Power Client in a Wide Area Network - A network device may be operable to receive an indication from a cable modem termination system (CMTS) that media access control (MAC) management messages will be transmitted by the CMTS at fixed intervals. Subsequent to receiving the indication, the network device may be operable to power down one or more components of the network device and set a sleep timer to a value equal to an integer multiple of the fixed interval minus a transition period. The network device may power up the one or more components of the network device upon expiration of the sleep timer. The network device may power up the one or more components of the network device upon an amount of traffic in a buffer of the network device reaching a threshold.09-12-2013
20130238923IMAGE FORMING APPARATUS, POWER-SAVING CONTROL METHOD, AND COMPUTER-READABLE RECORDING MEDIUM IN WHICH POWER-SAVING CONTROL PROGRAM IS RECORDED - The image forming apparatus includes: a sleep control unit which, in a normal operation mode in which power is supplied to a fixing device, if predetermined processing is not executed for a preset time or if an instruction to execute shifting to a power-saving mode with lower power consumption than in the normal operation mode is received, makes a shift to a sleep mode with a lower power consumption than in the normal operation mode, in which at least power supply to the fixing device is stopped; and a super-sleep control unit which makes a shift to a super-sleep mode in which a power source of a processor is turned off if predetermined processing is not executed for a shorter time than the preset time after the shift to the sleep mode is made.09-12-2013
20130246822ELECTRONIC DEVICE WITH STANDBY MODE - An electronic device with standby state includes a MCU, a voltage conversion unit, a screen lock circuit, and a power-saving trigger circuit. The voltage conversion unit is connected to the MCU and is used to convert a power supply voltage to a suitable voltage to power the MCU. The screen lock circuit includes a switch, therein, the screen lock circuit is connected to the MCU and is used to lock or unlock the electronic device in response to an operation on the switch when the electronic device is in a work state. The power-saving trigger circuit is connected to the MCU, the voltage conversion unit, and the screen lock circuit, and is used to disable or enable the voltage conversion unit in response to the operation on the switch when the electronic device is in the standby state.09-19-2013
20130246823IMAGE PROCESSING APPARATUS AND METHOD OF IMAGE PROCESSING - An image processing apparatus included in a system including another image processing apparatus, and configured to transition to a first and a second electric power saving mode when functioning as a main apparatus and a slave apparatus, respectively, in the system where the second electric power saving mode is lower than that of the first electric power saving mode, includes a function determining unit which determines whether the image processing apparatus is to function as the main apparatus or the slave apparatus based on a status of the other image processing apparatus which is obtained when the image processing apparatus is performing a job; and an instruction sending unit which sends an instruction to have the other image processing apparatus function as the slave apparatus or the main apparatus when the image processing apparatus is determined to function as the main apparatus or the slave apparatus, respectively.09-19-2013
20130246824Instruction For Enabling A Processor Wait State - In one embodiment, the present invention includes a processor having a core with decode logic to decode an instruction prescribing an identification of a location to be monitored and a timer value, and a timer coupled to the decode logic to perform a count with respect to the timer value. The processor may further include a power management unit coupled to the core to determine a type of a low power state based at least in part on the timer value and cause the processor to enter the low power state responsive to the determination. Other embodiments are described and claimed.09-19-2013
20130254571POWER MANAGEMENT METHOD AND APPARATUS FOR NETWORK ACCESS MODULE - A power management method includes receiving, through a general purpose input output GPIO pin of a chip, a power management command from a terminal device; enabling a network access module to perform switching between a working state and a low power consumption state according to the power management command; and communicating a state after switching back to the terminal device through a GPIO pin. In the embodiments, the network access module may perform power management interaction with the terminal device through a GPIO pin, and may also communicate back a current state of the network access module through a GPIO pin, so that it is convenient for the terminal device to know the state of the network access module, thereby enhancing control of the network access module by the terminal device.09-26-2013
20130254572OPTIMIZING POWER USAGE BY FACTORING PROCESSOR ARCHITECTURAL EVENTS TO PMU - A method and apparatus to monitor architecture events is disclosed. The architecture events are linked together via a push bus mechanism with each architectural event having a designated time slot. There is at least one branch of the push bus in each core. Each branch of the push bus may monitor one core with all the architectural events. All the data collected from the events by the push bus is then sent to a power control unit.09-26-2013
20130254573POWER SAVINGS USING DYNAMIC STORAGE CLUSTER MEMBERSHIP - A system for controlling power usage in a storage cluster by dynamically controlling membership in the storage cluster is disclosed. The storage cluster includes multiple storage servers that provide access to one or more storage subsystems. The power management system uses a power management policy to set parameters for controlling membership in the storage cluster and monitors the storage cluster based on the policy. Based on the monitoring, the system detects when the number of storage servers in the storage cluster should be reduced or increased. To reduce the number, the system selects a storage server to deactivate and directs the selected storage server to migrate storage resources (e.g., data, metadata) associated with the server to a different storage server. The system then deactivates the selected storage server by directing it to transition to a low power mode. The system may increase the number of servers in the storage cluster by reversing these steps.09-26-2013
20130254574WAKE-UP CIRCUIT FOR A SECURITY DEVICE - An exemplary security device includes a reader configured to read information stored on a credential device. The reader enters a sleep mode when not reading a credential device. A wake-up module includes an inductively coupled resonant circuit. The wake-up module is configured to detect a credential device near the reader if the credential device has a resonant frequency corresponding to a frequency of an electromagnetic field of the inductively coupled resonant circuit that is controlled by a stable oscillator. The wake-up module is configured to provide an indication to wake-up the reader from the sleep mode responsive to detecting a change in power of the electromagnetic field caused by the credential device.09-26-2013
20130262898METHOD AND APPARATUS FOR ENHANCING A HIBERNATE AND RESUME PROCESS USING USER SPACE SYNCHRONIZATION - Before hibernating a computing device (10-03-2013
20130262899Domain Specific Language, Compiler and JIT for Dynamic Power Management - The aspects enable a computing device or microprocessor to determine a low-power mode that maximizes system power savings by placing selected resources in a low power mode while continuing to function reliably, depending upon the resources not in use, acceptable system latencies, dynamic operating conditions (e.g., temperature), expected idle time, and the unique electrical characteristics of the particular device. The various aspects provide mechanisms and methods for compiling a plurality of low power resource modes to generate one or more synthetic low power resources from which can be selected an optimal low-power mode configuration made up of a set of selected synthetic low power resources.10-03-2013
20130262900SYSTEMS AND METHODS FOR WAKING WIRELESS LAN DEVICES - A system and method for wireless waking computing devices over a computer network is provided. A signal is broadcast over the network that includes one or more device specific wake-up data sequences. Each device specific wake-up data sequence includes multiple iterations of the hardware address of the wireless network card associated with that device. While in a reduced power or “sleep mode”, the wireless network card monitors wireless channels for packets containing a wake-up data sequence. If a wake-up data sequence is received, the sequence is matched against the hardware address information for that network card. If a match is determined, the network card sends a signal to the computing device causing full system power to be restored. A signal is sent to the network confirming that the device has been successfully woken from the sleep mode.10-03-2013
20130262901MEMORY SYSTEM AND SERVER SYSTEM - According to one embodiment, a memory system includes a NAND flash memory includes a memory cell array includes pages, and a volatile data register with a storage capacity of one page, and configured to write page data to the memory cell array through the data register, each of the pages includes nonvolatile memory cells and being a unit of data write, a volatile RAM, and a controller includes a power saving mode in which power consumption of the RAM is reduced, and configured to transfer data of the RAM to the data register before entering the power saving mode.10-03-2013
20130262902POWER EFFICIENT PROCESSOR ARCHITECTURE - In one embodiment, the present invention includes a method for receiving an interrupt from an accelerator, sending a resume signal directly to a small core responsive to the interrupt and providing a subset of an execution state of the large core to the first small core, and determining whether the small core can handle a request associated with the interrupt, and performing an operation corresponding to the request in the small core if the determination is in the affirmative, and otherwise providing the large core execution state and the resume signal to the large core. Other embodiments are described and claimed.10-03-2013
20130268788DEVICE WITH AUTONOMOUS SLEEP CHARACTERISTIC - A device with an autonomous sleep characteristic, which is in communication with a host, is described. The device includes one or more communication subsystems. Each communication subsystem maintains a sleep activity indicator that indicates whether a corresponding communication subsystem is allowed to go to sleep. Each communication subsystem can autonomously enter a sleep state, when its sleep activity indicator indicates that the corresponding communication subsystem is, in fact, allowed to go to sleep. The device also includes a controller. The controller has a block memory which stores data written to the device from the host. The controller further includes a sleep state indicator that indicates a sleep state of each communication subsystem.10-10-2013
20130268789ELECTRONIC DEVICE AND METHOD OF MANAGING POWER OF THE SAME - An electronic device includes a universal serial bus (USB) controller and a USB power managing unit. The USB controller transmits data to an external electronic device or receives data from the external electronic device during an active mode. The USB power managing unit manages power of the USB controller in response to a state signal while the10-10-2013
20130268790IMAGE FORMING APPARATUS, CONTROL METHOD THEREFOR, AND STORAGE MEDIUM - An image forming apparatus stores, in a storage unit, the number of extensions, which is the number of times an automatic shutdown time can be extended, and an extension time period by which the automatic shutdown time can be extended. Upon receiving a set request for requesting extension of the automatic shutdown from an external apparatus, the image forming apparatus enables settings of the received set request when the received set request satisfies conditions regarding the number of extensions and the extension time period, and disables the settings of the received set request when the set request does not satisfy the conditions regarding the number of extensions and the extension time period.10-10-2013
20130268791INFORMATION PROCESSING APPARATUS, CONTROL METHOD, AND COMPUTER-READABLE RECORDING MEDIUM - An information processing apparatus includes a memory and a processor coupled to the memory. The processor is configured to: determine whether or not a difference between a total of power consumption values of physical or virtual computers and a preset upper-limit value satisfies a certain condition; select, in ascending order of priorities stored in a first storage and set based on details of processing executed by the computers, any of the computers as a target whose power consumption is to be reduced, when the difference satisfies the certain condition; and switch the computer selected to a state in which the power consumption is reduced.10-10-2013
20130268792SEMICONDUCTOR DEVICE AND ELECTRICAL TERMINAL - A semiconductor device includes a moving state determining unit for obtaining first sensor data from a first sensor for detecting a moving state of a user who possesses an electrical terminal so that the moving state determining unit performs a determination process of the moving state of the electrical terminal; a reliability information determining unit for determining reliability information indicating reliability of a determination result of the determination process; and a transmission processing unit for transmitting the determination result and the reliability information to a main control unit that controls the electrical terminal.10-10-2013
20130268793Power Management Utilizing Proximity or Link Status Determination - Methods and apparatuses for power management are disclosed. In one example, proximity of link status of a wireless communication device is used to determine whether a power conservation mode is implemented.10-10-2013
20130268794REDUCING POWER CONSUMPTION IN A FUSED MULTIPLY-ADD (FMA) UNIT OF A PROCESSOR - In one embodiment, the present invention includes a processor having a fused multiply-add (FMA) unit to perform FMA instructions and add-like instructions. This unit can include an adder with multiple segments each independently controlled by a logic. The logic can clock gate at least one segment during execution of an add-like instruction in another segment of the adder when the add-like instruction has a width less than a width of the FMA unit. Other embodiments are described and claimed.10-10-2013
20130275791Method and System for Tracking and Selecting Optimal Power Conserving Modes of a PCD - A method and system for tracking and selecting optimal power conserving modes of a PCD includes detecting enablement or disablement of a reduced power mode and detecting one of a new and a change in a latency restriction. Next, a low power mode which has a minimum entry and exit latency may be identified. Then, it may be determined if a lowest latency restriction is less than the minimum entry and exit latency. A function pointer may be adjusted based on the output of the determining step. The function pointer may reference a halt state and a reduced power state for the PCD. Then, conditions favorable for at least one of an idle state and a reduced power mode of the PCD may be assessed. If conditions are favorable for an idle state or a reduced power mode for the PCD, then status of the function pointer may be read.10-17-2013
20130275792System and Method for Switching in an Energy Efficient Network - A system and method for switching in an energy efficient network. Rapid switching between multiple operating modes can generate a voltage spike or voltage lag on an on-board inductor. Suppression of the voltage spike or voltage lag can be enabled through the activation of by a physical layer device of an inductor bypass path at a time proximate to the switching between multiple operating modes.10-17-2013
20130275793IMAGE FORMING APPARATUS, METHOD FOR CONTROLLING IMAGE FORMING APPARATUS, AND A SYSTEM - An image forming apparatus capable of communicating with an information processing apparatus includes a detection unit configured to detect predetermined communication from the information processing apparatus, a selection unit configured to select a first power-off mode for turning off power of the image forming apparatus according to a usage status of the image forming apparatus in a case where the detection unit does not detect the predetermined communication, and select a second power-off mode for turning off the power of the image forming apparatus according to a communication status with the information processing apparatus in a case where the detection unit detects the predetermined communication, and a control unit configured to perform control to turn off the power of the image forming apparatus according to the power-off mode selected by the selection unit.10-17-2013
20130275794RUNTIME SELECTION OF MOST ENERGY-EFFICIENT APPROACH FOR SERVICES REQUESTED BY MOBILE APPLICATIONS - An energy conservation module may be included in a mobile communication device that receives requests for services from application programs running in the device. For each request, the energy conservation module may determine which of different, selectable ways of performing the request is likely to be the most energy efficient. The energy conservation module may then cause the request to be performed in this most energy-efficient way. This determination may be dynamic and based on current operating conditions. Middleware between the application programs and an operating system may be included that presents various APIs to the application programs to allow them to easily invoke this functionality. The different, selectable ways of performing the services may be of any type, including different, selectable computer network interfaces (e.g., Wi-Fi and cellular) and whether a complex computation should be performed within or outside of the mobile communication device.10-17-2013
20130283077WAKE-UP CIRCUIT AND ELECTRONIC DEVICE - An electronic device includes a power supply, a key module, a signal generating module, a first control module, and a second control module. The key module generates a corresponding pressing signal in response to a pressing operation of a user. The signal generating module is powered by the power supply and generates a wake-up signal in response to the pressing signal. The first control module powered by the power supply. The second control module is being enabled to communicated with the first control module and being disabled and stops communicating with the first control module when the electronic device is in a standby state. The first control module starts to detect whether the wake-up signal is generated when the when the second control module stops communication with the first control module, and controls the second control module to be enabled in response to the wake-up signal.10-24-2013
20130283078DYNAMIC PERFORMANCE CONTROL OF PROCESSING NODES - An apparatus and method for performance control of processing nodes is disclosed. In one embodiment, a system includes a processing node and a power management unit configured to, for each of a plurality of time intervals, monitor an activity level of the processing node, cause the processing node to operate at a high operating point during one successive time interval if the activity level in the given interval is greater than a high activity threshold, operate at a low operating point at least one successive time interval if the activity level is less than a low activity threshold, or enable operating system software to cause the processing node to operate at one of one or more predefined intermediate operating points of the plurality of operating points if the activity level is less than the high activity threshold and greater than the low activity threshold.10-24-2013
20130283079METHOD AND SYSTEM FOR PROVIDING INSTANT RESPONSES TO SLEEP STATE TRANSITIONS WITH NON-VOLATILE RANDOM ACCESS MEMORY - A non-volatile random access memory (NVRAM) is used in a computer system to provide instant responses to sleep state transitions. The computer system includes a processor coupled to an NVRAM, which is accessible by the processor without passing through an I/O subsystem. The NVRAM is byte-rewritable and byte-erasable by the processor. In response to a request to enter a powered sleep state, the computer system converts the powered sleep state into a powered-off sleep state with system memory context stored in the NVRAM. The powered sleep state is defined as a state in which power is supplied to volatile random access memory in the computer system, and the powered-off sleep state is defined as a state in which power is removed from the volatile random access memory. In response to a wake event, the computer system resumes working state operations using the system memory context stored in the NVRAM.10-24-2013
20130290757EMBEDDED CONTROLLER WITH AN INTERNAL TIMER - Example embodiments disclosed herein relate to a computing system including a controller hub to control system sleep states, and an embedded controller including an internal timer. The embedded controller is to remove power from the controller hub when the system enters a sleep state and to enable power to the controller hub prior to the system wake time, The internal timer is to determine when to enable power to the controller hub. Example methods and machine-readable storage media are also disclosed.10-31-2013
20130290758SLEEP MODE LATENCY SCALING AND DYNAMIC RUN TIME ADJUSTMENT - The aspects enable a computing device or microprocessor to determine a low power mode that provides the most system power savings by placing selected resources in a low power mode while continuing to function reliably, depending upon the resources not in use, acceptable system latencies, dynamic operating conditions (e.g., temperature), expected idle time, and the unique electrical characteristics of the particular device. Aspects provide a mechanism for determining an optimal low power configuration made up of a set of low power modes for the various resources within the computing device by determining which low power modes are valid at the time the processor enters an idle state, ranking the valid low power modes by expected power savings given the current device conditions, determining which valid low power mode provides the greatest power savings while meeting the latency requirements, and selecting a particular low power mode for each resource to enter.10-31-2013
20130290759ENHANCED SYSTEM SLEEP STATE SUPPORT IN SERVERS USING NON-VOLATILE RANDOM ACCESS MEMORY - A non-volatile random access memory (NVRAM) is used in a computer system to enhance support to sleep states. The computer system includes a processor, a non-volatile random access memory (NVRAM) that is byte-rewritable and byte-erasable, and power management (PM) module. A dynamic random access memory (DRAM) provides a portion of system address space. The PM module intercepts a request initiated by an operating system for entry into a sleep state, copies data from the DRAM to the NVRAM, maps the portion of the system address space from the DRAM to the NVRAM, and turns off the DRAM when transitioning into the sleep state. Upon occurrence of a wake event, the PM module returns control to the operating system such that the computer system resumes working state operations without the operating system knowing that the portion of the system address space has been mapped to the NVRAM.10-31-2013
20130290760FAST PLATFORM HIBERNATION AND RESUMPTION OF COMPUTING SYSTEMS - Fast platform hibernation and resumption for computing systems. An embodiment of an apparatus includes a volatile system memory, a nonvolatile memory, and a processor to operate according to an operating system, the processor to transition the apparatus to a first reduced power state upon receipt of a request, the transition to the first reduced power state including the processor to store context information for the computer in the volatile system memory. The apparatus further includes logic to transition the apparatus to a second reduced power state, the logic to copy the context data from the volatile system memory to the nonvolatile memory for the transition to the second reduced power state, where copying of the context data includes the logic to scan the volatile system memory to locate non-active memory elements in the volatile system memory, eliminate the non-active memory elements from the volatile system memory to generate compressed context data, and store the compressed context data in the nonvolatile memory.10-31-2013
20130290761METHOD OF AND APPARATUS FOR PROCESSING TOUCH SIGNAL BY TOUCH SENSOR CONTROLLER - Provided are an apparatus and method by which a touch sensor controller of a touch screen apparatus may efficiently process a touch signal in response to a touch event to reduce power consumption of the entire system. An apparatus including a touch screen includes a touch sensor controller configured to generate a touch signal in response to a touch event and process the touch signal and a system controller configured to receive the touch signal from the touch sensor controller and perform an operation in response to the touch signal. The touch sensor controller determines whether the touch event is a multi-touch, generates touch signals having different types depending on whether the touch event is the multi-touch, and transmits the touch signals to the system controller. Thus, power consumption of the apparatus including the touch screen may be efficiently reduced.10-31-2013
20130290762METHODS AND APPARATUSES TO WAKE COMPUTER SYSTEMS FROM SLEEP STATES - Embodiments of the invention describe apparatuses, systems and methods to detect, during a host platform sleep state, a peripheral device audio jack plug being coupled to (e.g., inserted into) an audio jack connection socket. A specific sleep state of the host platform may be determined, and a system wake event may be generated so that the host platform wakes from the sleep state in response to the peripheral device being coupled to the audio jack connection socket. Thus, embodiments of the invention incorporated in handheld mobile computing devices such as smartphones and tablet computers with limited input/output (I/O) provide a user with alternative means for waking the device from a sleep state.10-31-2013
20130297957OSCILLATOR SETTLING TIME ALLOWANCE - Techniques are disclosed relating to oscillator settling time allowance. In one embodiment, an apparatus may include an oscillator and oscillation detection and control circuitry. The oscillation detection and control circuitry may be configured to awaken an oscillator at a predetermined time and detect an edge transition of oscillations. The oscillation detection and control circuitry may further be configured to measure the time from the power-on indication to edge transition detection. In one embodiment, the oscillation detection and control circuitry may be configured to store the measured time and use the measured time instead of the predetermined time for subsequent oscillator awakenings. In some embodiments, the apparatus may further include circuitry configured to compensate for an expected oscillator settling behavior.11-07-2013
20130297958Power Management Utilizing Proximity or Link Status Determination - Methods and apparatuses for power management are disclosed. In one example, proximity of link status of a wireless communication device is used to determine whether a power conservation mode is implemented.11-07-2013
20130297959Energy Alert Power System and Method - An energy control system, helping to reduce energy consumption from an energy grid, includes a power meter which receives first power-save signal and generates a second power-save signal for receipt by a control device. The target temperature of a thermostat is changed for a period of time in response to the second power-save signal. The control device can create a third power-save signal for receipt by a power-save adapter for an associated energy-consuming unit to permit only limited operation of the energy-consuming unit.11-07-2013
20130305069INFORMATION PROCESSING APPARATUS, CONTROL METHOD THEREOF, AND STORAGE MEDIUM - An information processing apparatus including a volatile storage unit, and is operated in any of a plurality of modes including a first power mode and a second power mode, power being supplied to the storage unit in the first power mode and the second power mode, power consumption in the first power mode being higher than power consumption in the second power mode.11-14-2013
20130305070DATA INTERFACE POWER CONSUMPTION CONTROL - Apparatus and techniques relating to data interface power consumption control are disclosed. Components of a data transfer module may be selectively moved between their normal operating states and reduced power states at times when the data transfer module is not to be used for transferring data. Decisions as to particular components that are to be moved to their reduced power states may be based on respective timing characteristics of the components and/or respective power consumption characteristics of the components, for example. In some embodiments, an action may be performed to reduce a powering up time of the data transfer module when normal operation of the data transfer module is to resume. In the case of a multiple-connection interface having respective data transfer modules for each connection, the interface may be partially shut down by moving a subset of the data transfer modules into reduced power states.11-14-2013
20130305071System and Method for Providing Dynamic Power Management - A power management system suitable for dynamically allocating power provided by a selected power source among one or more associated loads and methods for manufacturing and using same. In a normal operation mode, the power source provides power to one or more enabled loads. The selection of loads that are enabled, and therefore the provided power, can dynamically vary over time. If an undesired power condition arises, a power limiting mode is entered, wherein at least one of the enabled loads is disabled. The resultant power provided by the power source to the remaining enabled loads is measured, and the power limit mode is maintained until the undesired power condition is resolved. As needed, further corrective action, such as disabling additional enabled loads, can be applied to resolve the undesired power condition. The power management system thereby can comprise a hierarchical system for dynamically resolving undesired power conditions.11-14-2013
20130305072HOST APPARATUS CONNECTED TO IMAGE FORMING APPARATUS AND POWER SAVE MODE CONTROL METHOD THEREOF - A method of controlling a power save mode of an image forming apparatus connected to a host apparatus, the method includes: requesting information on a power mode of the image forming apparatus; transmitting the power mode information and information on an entering time for a power save mode of the image forming apparatus from the image forming apparatus; and displaying the entering time for the power save mode of the image forming apparatus on a basis of the entering time information.11-14-2013
20130311807DISPLAY APPARATUS AND POWER SAVING METHOD THEREOF - Disclosed are a display apparatus and a power saving method thereof. The power saving method of a display apparatus includes acquiring an image by photographing surroundings of the display apparatus, detecting a human body region contained in the image by analyzing the acquired image, and entering a power saving mode by determining there is no user viewing the display apparatus if the human body region is not detected in the image.11-21-2013
20130311808PLATFORM AND PROCESSOR POWER MANAGEMENT - The present invention relates to platform power management.11-21-2013
20130311809REDUCED POWER STATE NETWORK PROCESSING - Technologies for reduced power network processing include a main processor, a low-power co-processor, and a network interface controller. The network interface controller receives one or more network packets while the computing device is in a sleep state, filters the one or more network packets to identify network packets to be handled by the low-power co-processor without waking the main processor from the sleep state, and wakes the low-power co-processor, without waking the main processor, to handle at least one network packet of the identified network packets to be handled by the low-power co-processor.11-21-2013
20130318380Handling of Wake-Up Messages in Controller Area Networks - Systems and methods for handling wake-up messages in a Controller Area Network (CAN) are described. In some embodiments, a method may include operating a CAN controller in a selected one of a plurality of operating modes in response to the detection of an event or activity on a CAN bus. The method may also include handling, by the CAN controller, a CAN message using a message handling protocol associated with the selected one of the plurality of operating modes, for example, while a host processor operates in a low power mode. In various implementations, each of the plurality of operating modes may correspond to a different amount of power consumption by the CAN controller. The method may further include transmitting a selected one of a plurality of response messages by the CAN controller over the CAN bus, in some cases, without waking up the processor from the low power mode.11-28-2013
20130318381ELECTRONIC APPARATUS AND START METHOD FOR ELECTRONIC APPARATUS - According to one embodiment, an apparatus includes a manager configured to perform power management for the apparatus corresponding to a normal mode and a power saving mode configured to consume less electrical power than in the normal mode, a processor configured to execute one of a first program and at least one other program, a request module configured to request the manager to perform the power management corresponding to the normal mode when a touchscreen display detects simultaneous touches at positions, and a controller configured to start the first program and to cause the processor to execute the first program when a number of detected touched positions corresponds to the first program after the apparatus recovers to the normal mode.11-28-2013
20130318382POWER MANAGEMENT APPARATUS, IMAGE FORMING APPARATUS AND POWER MANAGEMENT METHOD - A sleep mode in which power consumption is reduced to a prescribed value or smaller is achieved, while convenience for a user is maintained by shortening the time taken to recover from the sleep mode. The temperature of an LSI is measured or estimated when shifting to the sleep mode, and an apparatus enters a power supply shutoff sleep mode using power supply separation or a clock-gating sleep mode in accordance with the measured or estimated value of the temperature. In the case where power supply shutoff is selected, after entering the sleep mode, power supply is resumed and then the apparatus enters the clock-gating sleep mode in accordance with the measured temperature or the estimated temperature of the LSI.11-28-2013
20130318383INFORMATION PROCESSING APPARATUS, METHOD FOR CONTROLLING INFORMATION PROCESSING APPARATUS, AND RECORDING MEDIUM - An information processing apparatus operating in a first power state, a second power state, a third power state, and fourth power state includes a power control unit configured to the third power state to the fourth power state when a first shift time is measured, and a control unit configured to, when the power control unit has shifted the power state of the information processing apparatus from the third power state to the first power state, clear the measured time and not to, when the power control unit has shifted the power state of the information processing apparatus from the third power state to the second power state, clear the measured time clear.11-28-2013
20130318384POWER MANAGEMENT APPARATUS, IMAGE FORMING APPARATUS AND POWER MANAGEMENT METHOD - A sleep mode in which power consumption is reduced to a prescribed value or smaller is achieved, while convenience for a user is maintained by shortening the time taken to recover from the sleep mode. The temperature of an LSI is measured or estimated when shifting to the sleep mode, and an apparatus enters a power supply shutoff sleep mode using power supply separation or a clock-gating sleep mode in accordance with the measured or estimated value of the temperature. In the case where power supply shutoff is selected, after entering the sleep mode, power supply is resumed and then the apparatus enters the clock-gating sleep mode in accordance with the measured temperature or the estimated temperature of the LSI.11-28-2013
20130318385METHOD FOR PERFORMING POWER MANAGEMENT IN AN ACTIVE OPTICAL CABLE (AOC) - An active optical cable is provided that incorporates a power management solution. The AOC has plugs are configured to mate with respective USB sockets. The AOC is used to interconnect a USB host with a USB device. To the USB host and to the USB device, the AOC appears to be a standard USB electrical cable. Each of the plugs of the AOC has an optical-to-electrical and an electrical-to-optical (OE/EO) conversion module that converts electrical USB signals output from the USB host or USB device into optical signals and converts optical signals carried on the optical fibers of the AOC into electrical USB signals. The plugs include controllers that monitor certain conditions of the AOC and that select the power levels to be used in the plugs based on detected conditions.11-28-2013
20130318386System and Method of Modifying Power Use within an Information Handling System - A system and method of modifying power use within an information handling system is disclosed. In one form, a method of managing power within an information handling system is disclosed. The method can include establishing a threshold power level of a first information handling system, and detecting a first power demand of a first operating state in excess of the threshold power level. The method can also include detecting a request to invoke a first forced reduced power state of the first information handling system, and determining a first alternative power state different from the threshold power level and the first forced reduced power state. The method can further include initiating the first alternative power state.11-28-2013
20130326250MANAGING POWER CONSUMPTION OF ELECTRONIC DEVICES RESPONSIVE TO USAGE FORECAST - A system and process that incorporates teachings of the subject disclosure may include, for example, transitioning a processor from a high-power consumption state to a low-power consumption state, wherefrom return to the high-power consumption state includes a boot process. Future demand for operation of the processor in the high-power consumption state is predicted, while the processor is in the low-power consumption state. The processor is transitioned from the low-power consumption state to the high-power consumption state in response to predicting future demand for operation of the processor in the high-power consumption state. Such transition of the processor from the low-power consumption state to the high-power consumption state sufficiently precedes actual demand for operation of the processor in the high-power consumption state so as to avoid perceptible user delay. Other embodiments are disclosed.12-05-2013
20130326251METHOD AND APPARATUS FOR RECOVERY FROM LOW POWER STATE - A method and apparatus for recovering from a low power state in a computing system is disclosed. In one embodiment of the method, the computing system enters the low power state from a standard power state after an activity detector indicates a user controlled peripheral device connected to the computer system has been inactive for a period of time. To enter the low power state, the method disconnects the user controlled peripheral device from a host controller, while continuing to supply power to the user controlled peripheral device and shutting off power to the host controller. The method returns the computer system to the standard power state when the activity detector indicates the user controlled peripheral device has become active. To return to the standard power state, power is restored to the host controller and the user controlled peripheral device is reconnected to the host controller.12-05-2013
20130326252COMPUTER READABLE MEDIUM AND COMPUTATION PROCESSING APPARATUS - According to an embodiment, there is provided with a non-transitory computer readable medium having instructions stored therein, which, when executed by a computer, causes the computer to execute steps including: calculating an access load on a memory area including a plurality of segment areas and determining, for each of the segment areas, one of a plurality of power states including a first power state and a second power state with its power consumption being lower than that of the first power state in accordance with the access load; and setting each of the segment areas to the power state determined therefor.12-05-2013
20130326253TOGGLING SLEEP-MODE OF A MOBILE DEVICE WITHOUT MECHANICAL OR ELECTROMAGNETIC TOGGLING BUTTONS - Techniques for toggling sleep modes. A gesture associated with the mobile device that is in a first mode is detected. The can be gesture indicative of a user toggling the sleep mode of the mobile device from a first mode. Responsive to the gesture, the first mode can be switched to a second mode. In the second mode at least one component of the mobile device is either powered-up or powered-down.12-05-2013
20130326254PROCEDURE FOR CHARGING A PORTABLE DEVICE USING A BATTERY-OPERATED COMPUTER - A method of charging a battery of a device using a battery of a computer powered by the battery, in which the procedure is implemented by a circuit independent of the computer's processors. The method includes supplying a power supply voltage, insufficient to charge a battery, to a computer port, as long as a device is detected as connected to the port, controlling the supply of a charging voltage to the port, while supplying charging voltage to the port, detecting an end of charging condition of a battery of the device, and controlling the cutting off of the charging voltage to the port if the end of charging condition is detected, where this condition is determined according to the intensity of a charging current and according to a quantity of electrical charge supplied to the port and/or of a charging period.12-05-2013
20130326255COMMUNICATION SYSTEM - A communication system includes a plurality of nodes performing communication via a common communication channel based on a communication protocol and including a first node or a second node. The first node transmits, to the communication channel, a wake-up frame as the communication frame for enabling the second node to transition from a sleep state to a normal state, determines whether or not the second node transitions to the normal state due to the wake-up frame, and generates an abnormal waveform pattern in the communication channel when determined that the second node does not transition to the normal state. The second node stores the identification information allocated to the second node, and enables the second node to transition from the sleep state to the normal state under on condition that the identification information included in the wake-up frame received from the communication channel is identical to the stored identification information.12-05-2013
20130332760THERMAL-BASED ACOUSTIC MANAGEMENT - At least certain embodiments of the disclosures relate to methods, devices, and data processing systems for thermal-based acoustic management. In one embodiment, a computer-implemented method defers one or more background tasks during normal operation of a system if the system has a reduced performance feature that allows reduced or throttled performance in a non-user state. The system enters a low power state (e.g., sleep state) to cool the system after a period of normal operation. The system enters a different low power state (e.g., dark wake state) with a reduced performance and performs at least one of the deferred background tasks while in this low power state without needing a cooling mechanism.12-12-2013
20130332761SEMICONDUCTOR MEMORY DEVICE, AND METHOD OF CONTROLLING THE SAME - A semiconductor device includes a memory core with a plurality of memory cells, an internal voltage generator and a low power entry circuit. The low power entry circuit receives a plurality of control signals which are provided to a command decoder, and generates a low power signal indicating a low power consumption mode where a refresh operation is prohibited. The internal voltage generator includes a detector and at least one of booster circuits. The internal voltage generator, coupled to the memory core via an internal power supply line, generates a boosted internal voltage based on an external voltage and supplies the boosted internal voltage to the memory core via the internal power supply line. The internal voltage generator stops supplying the boosted internal voltage to the internal power supply line in response to the low power signal while the external voltage is supplied to the semiconductor device.12-12-2013
20130332762NETWORK NODE HAVING A POWER SAVING MODE - A network node has an interface which has different modes of operation, including at least a power saving mode and a normal operating mode. The node has a power saving mode management module for maintaining information about whether the interface is in the power saving mode of operation. The power saving mode management module is able to make available to one or more layers higher than the physical layer of the interface information about whether the interface is in the power saving mode of operation.12-12-2013
20130339771MULTI-CLUSTER PROCESSING SYSTEM AND METHOD OF OPERATING THE SAME - A multi-cluster processing system and a method of operating a multi-cluster processing system are provided. The multi-cluster processing system includes: a first cluster including a plurality of first-type cores: a second cluster including a plurality of second-type cores; and a control unit configured to monitor loads of the first-type cores and the second-type cores, wherein when utilization of at least one of enabled first-type cores exceeds a predetermined threshold utilization of each of the first-type cores, the control unit enables at least one of disabled first-type cores in a first mode, and the control unit enables at least one of the disabled second-type cores and disables the first cluster in a second mode, wherein an amount of computation per unit of time of each of the second-type cores is greater than an amount of computation per unit of time of each of the first-type cores.12-19-2013
20130339772METHOD FOR SAVING POWER CONSUMPTION AND AN ELECTRONIC DEVICE THEREOF - An apparatus and method for signal saving power consumption in an electronic device includes determining a current battery consumption amount, and if the current battery consumption amount is greater than or equal to a threshold, determining whether to enter a low power mode based on the level of a signal strength level.12-19-2013
20130339773AUTOMATABLE SCAN PARTITIONING FOR LOW POWER USING EXTERNAL CONTROL - Scan architectures are commonly used to test digital circuitry in integrated circuits. The present invention describes a method of adapting conventional scan architectures into a low power scan architecture. The low power scan architecture maintains the test time of conventional scan architectures, while requiring significantly less operational power than conventional scan architectures. The low power scan architecture is advantageous to IC/die manufacturers since it allows a larger number of circuits (such as DSP or CPU core circuits) embedded in an IC/die to be tested in parallel without consuming too much power within the IC/die. Since the low power scan architecture reduces test power consumption, it is possible to simultaneously test more die on a wafer than previously possible using conventional scan architectures. This allows wafer test times to be reduced which reduces the manufacturing cost of each die on the wafer.12-19-2013
20130339774IMAGE FORMING APPARATUS AND POWER MANAGEMENT METHOD THEREOF - A power management method of an image forming apparatus in a home network system including the image forming apparatus and a terminal device. The power management method includes setting power save mode information which includes a plurality of levels corresponding to a predetermined home network protocol to the image forming apparatus; storing the set power save mode information; receiving a command to enter a first power save mode among the plurality of levels from the terminal device; comparing the received command to enter the first power save mode with the stored power save mode information; and entering a power save mode by the image forming apparatus corresponding to the received command to enter the first power save mode.12-19-2013
20130339775POWER-MANAGEMENT FOR INTEGRATED CIRCUITS - An integrated circuit includes a physical layer interface having a control timing domain and a data timing domain, and circuits that enable the control timing domain during a change in power conservation mode in response to a first event, and that enable the data timing domain in response to a second event. The control timing domain can include interface circuits coupled to a command and address path, and the data timing domain can include interface circuits coupled to a data path.12-19-2013
20130346775SYSTEM FOR REDUCING DYNAMIC POWER CONSUMPTION OF WAKEUP SOURCE - A system for reducing dynamic power consumption of a wakeup source includes a receiver interface coupled to the wakeup source. A data packet, received by the receiver interface, transmits the data packet to the wakeup source. The wakeup source processes the data packet to identify a predetermined code for initiating a wakeup sequence. The wakeup source is put into a deep sleep mode if it is idle for a predetermined time period.12-26-2013
20130346776SLEEP STATE VIDEO INTERFACE OF AN INFORMATION HANDLING DEVICE - An apparatus for a sleep state video interface of an information handling device includes a storage device storing machine-readable code and a processor executing the machine-readable code. The apparatus includes a notification module receiving a sleep notification to place an information handling device into a sleep state. The information handling device receives a video signal. The apparatus includes a sleep module entering the information handling device into the sleep state in response to the notification module receiving the sleep notification. The video signal passes through the information handling device to a display as the information handling device is in the sleep state.12-26-2013
20130346777USB Self-Idling Techniques - USB self-idling techniques are described. In one or more embodiments, a Universal Serial Bus (USB) device comprises one or more modules to communicate via USB and self-idle by presenting an idle mode to a USB host and entering a suspend mode after the idle mode, the suspend mode being entered while the USB host is presented with the idle mode.12-26-2013
20130346778Controlling An Asymmetrical Processor - In an embodiment, the present invention includes a multicore processor with a front end unit including a fetch unit to fetch instructions and a decode unit to decode the fetched instructions into decoded instructions, a first core coupled to the front end unit to independently execute at least some of the decoded instructions, and a second core coupled to the front end unit to independently execute at least some of the decoded instructions. The second core may have a second power consumption level greater than a power consumption level of the first core and also heterogeneous from the first core. The processor may further include an arbitration logic coupled to the first and second cores to enable the second core to begin execution responsive to a start processor instruction present in the front end unit. Other embodiments are described and claimed.12-26-2013
20130346779WAKING DEVICE AND ELECTRONIC APPARATUS - A waking device for controlling an electronic device connected to the waking device from a first state to a second state. The electronic device receives signals from a portable external device and is unable to execute a corresponding operation based on the received signal. The waking device comprises storage with a predetermine parameter, a processing module, and a triggering module connected to the processing module. The processing module processes the received signal from the portable external device to obtain a waking parameter and compares the waking parameter with the predetermined parameter in the storage. When the waking parameter matches the predetermined parameter, the processing module generates a waking signal, and the triggering module controls the electronic device to switch from the first state to the second state in response to the waking signal, the electronic device is enable to execute a corresponding operation based on the received signal.12-26-2013
20130346780INFORMATION PROCESSING DEVICE AND METHOD FOR DRIVING THE SAME - Hibernation/resume time is reduced, and a low-power information processing device and a method for driving the information processing device are provided. In an information processing device in which a virtual environment is created, in hibernation during which part of a main storage unit is stopped while data in the main storage unit is retained, data stored in the main storage unit is saved to a non-volatile memory, and whether another data shares a common block with the data saved to the non-volatile memory is determined in hibernation during which part of the main storage unit is stopped while the other data in the main storage unit is retained. In the case where there is a common block, the common block of the other data is not saved to the non-volatile memory, and the information on the common block is retained in the non-volatile memory.12-26-2013
20140006823METHOD AND APPARATUS FOR PROVIDING ENERGY DEVICE AND SYSTEM STATUS01-02-2014
20140006824USING DEVICE IDLE DURATION INFORMATION TO OPTIMIZE ENERGY EFFICIENCY01-02-2014
20140006825SYSTEMS AND METHODS TO WAKE UP A DEVICE FROM A POWER CONSERVATION STATE01-02-2014
20140006826LOW POWER LOW FREQUENCY SQUELCH BREAK PROTOCOL01-02-2014
20140006827INFORMATION PROCESSING APPARATUS, INFORMATION PROCESSING SYSTEM, AND METHOD FOR CONTROLLING THE SAME01-02-2014
20140006828INFORMATION PROCESSING APPARATUS, CONTROL METHOD THEREFOR, AND STORAGE MEDIUM01-02-2014
20140006829REVERSE-PROXYING METHOD AND SERVER/CLIENT SYSTEM USING THE SAME01-02-2014
20140013135SYSTEM AND METHOD OF CONTROLLING A POWER SUPPLY - A system having a low power operation mode and a normal operation mode, the system including a power supply unit for supplying at least a first voltage and a second voltage; a first circuit which operates during the normal operation mode but does not operate during the low power operation mode; a second circuit which operates during the low power operation mode; and a switching unit for selectively supplying the first circuit with the first voltage or the second voltage from the power supply unit; wherein the power supply unit supplies a power status signal and the switching unit is controlled based on the power status signal.01-09-2014
20140013136POWER EFFICIENT LOCATION NOTIFICATION - Before a device enters a power saving mode, a location-aware application in the device may provide at least one wake trigger (and a trigger limit) to a low power monitoring module (LPMM). In power saving mode, the LPMM receives device locations from a location service module and may determine when the device location corresponds to the at least one wake trigger or trigger limit. In one embodiment, device location may only be provided to the LPMM based on a condition (e.g., timer expiration or reaching a displacement threshold as measured by sensors in the device). When device location corresponds to the trigger limit, the LPMM may notify the device (e.g., cause a transition to an active power mode) so that the location-aware application can redetermine the at least one wake trigger. The device location corresponding to the at least wake trigger may also cause the LPMM to notify the device.01-09-2014
20140013137SYSTEMS AND METHODS FOR SUSPENDING IDLE DEVICES - A method for suspending an idle device includes receiving, by a processor, an indication that a device having a communication channel is connected to a host device. The method then includes determining a first timeout value associated with the communication channel and a second timeout value associated with the first device. The first timeout value corresponds to an amount of time allotted for an operation to complete in the communication channel, and the second timeout value corresponds to an amount of time allotted for the device to be designated as idle before the device is suspended. The device is determined to be idle when the operation is pending in the communication channel for an amount of time that is greater than the first timeout value. The device is suspended when the device has been idle for an amount of time that is greater than the second timeout value.01-09-2014
20140013138MEMORY CONTROL DEVICE, SEMICONDUCTOR DEVICE, AND SYSTEM BOARD - According to an embodiment, a memory control device controls a memory from/to which data are read/written by a processor. The memory control device includes a clock switcher and a control signal switcher. The clock receives as input a first clock and a second clock at a higher frequency than the first clock, supplies the first clock to the memory until the second clock becomes stable, and supplies the second clock after the second clock has become stable. The a control signal switcher starts supplying, to the memory, a first control signal for initializing the memory to a state allowing reading/writing of data by the processor while the first clock is being supplied to the memory, and supplies, to the memory, a second control signal according to the reading/writing of data by the processor, after the second clock is supplied to the memory and the memory is initialized.01-09-2014
20140013139IMAGE PROCESSING APPARATUS, METHOD FOR CONTROLLING THE SAME AND STORAGE MEDIUM - An image processing apparatus of one aspect of the present invention determines, upon receipt of a packet in a power saving state at a second communication rate slower than a first communication rate, whether or not to change the communication rate, on the basis of a communication protocol type and a port number, and an attribute of the packet represented by a data section of the packet. If the communication protocol type, the port number, and the attribute of the received packet indicate a request for a service predetermined as a network service that corresponds to the first communication rate, the image processing apparatus changes the communication rate from the second communication rate to the first communication rate at the time of shifting from the power saving state to the normal power state so as to provide the service.01-09-2014
20140013140INFORMATION PROCESSING APPARATUS AND COMPUTER PROGRAM PRODUCT - According to an embodiment, an information processing apparatus includes a processor, a first memory, and a power supply controller. The processor is configured to execute a program. The first memory is configured to store therein the program. The power supply controller is configured to stop supplying a power to the first memory when the processor transitions to an idle state where the processor waits for an interrupt, and start supplying the power to the first memory when the processor receives the interrupt in the idle state. When the processor receives the interrupt in the idle state, the processor executes initialization of the first memory to set the first memory into a state where the first memory is accessible from the processor.01-09-2014
20140013141METHOD AND APPARATUS FOR CONTROLLING SLEEP MODE IN PORTABLE TERMINAL - A method and an apparatus for controlling a sleep mode in a portable terminal having a main controller and a sub-controller operating at low power are provided. The method includes detecting, by the sub-controller, a first sensor signal generated by a first sensor when the main controller is in the sleep mode, extracting a sensed pattern from the detected first sensor signal, determining whether the extracted sensed pattern is substantially identical with a preset wake-up pattern, and cancelling the sleep mode by waking-up the main controller when the extracted sensed pattern is substantially identical with the wake-up pattern.01-09-2014
20140013142PROCESSING UNIT POWER MANAGEMENT - Methods, apparatus and computer program products for power management of a processing unit. The processing unit can operate in a plurality of operating modes and provides information indicative of memory access miss events. Information indicative of memory access miss events is received, and based at least on the received information, a desired operating mode for the processing unit is determined. The processing unit is then caused to operate in of the desired operating mode based on the determining.01-09-2014
20140013143APPARATUS AND METHOD FOR PERFORMING USER AUTHENTICATION IN TERMINAL - A user authentication apparatus and method for allowing a user to conveniently perform user authentication by a touch and motion-based gesture in a terminal are provided. In the user authentication apparatus, a low-power sensor platform is configured to transfer a wake-up signal to a controller upon detecting a motion of the terminal for waking up while the terminal is in a sleep mode, and to transfer a motion signal generated while the terminal is in a wake-up mode to the controller. The controller is configured to switch the terminal to the wake-up mode in response to the wake-up signal that is received from the low-power sensor platform while the terminal is in the sleep mode, and to switch to a user-authenticated specific application mode upon receiving the motion signal from the low-power sensor platform during a touch occurring in a specific area while the terminal is in the wake-up mode.01-09-2014
20140013144COMMUNICATION CONTROL APPARATUS, COMMUNICATION CONTROL METHOD, AND COMMUNICATION CONTROL CIRCUIT - A communication control apparatus includes a transmitting unit, a monitoring unit, a determining unit, and a control unit. The transmitting unit transmits data to other communication control apparatuses. The monitoring unit monitors an amount of data to be transmitted by the transmitting unit. The determining unit determines whether to shift a state of the transmitting unit to a power-saving state according to the amount of data monitored by the monitoring unit, the power-saving state being a state in which power consumption is lower than power consumption in a normal state. The control unit causes the transmitting unit to enter the power-saving state when the determining unit determines that the state of the transmitting unit is to be shifted to the power-saving state.01-09-2014
20140019787INFORMATION PROCESSING APPARATUS THAT CONTROLS SUPPLY OF POWER FROM SECONDARY BATTERY TO LOAD - An information processing apparatus which makes it possible to reduce the number of times of charge and discharge to and from a battery. An apparatus power supply supplies power to a RAM. A secondary battery supplies power to the RAM when power supply to the RAM by the apparatus power supply is stopped. In a case where power supply to the RAM by the apparatus power supply is stopped neither at a preset time nor after lapse of a predetermined time period, a CPU controls so that power supply to the RAM is executed by the secondary battery, and, in a case where power supply to the RAM by the apparatus power supply is stopped at the preset time or after lapse of the predetermined time period, the CPU does not control so that power supply to the load is executed by the battery.01-16-2014
20140019788DYNAMIC ENERGY-SAVING METHOD AND APPARATUS FOR PCIE DEVICE, AND COMMUNICATION SYSTEM THEREOF - The present disclosure is applicable to the field of device energy-saving control, and provides a dynamic energy-saving method and apparatus for a PCIE device, and a communication system thereof. The method includes: obtaining a system energy-saving policy; and using a PCIE energy-saving module to control a PCIE device and a PCIE link to enter a corresponding linkage energy-saving state according to the obtained system energy-saving policy. In the present disclosure, a “D” state of a PCIE device is associated with an “L” state of a link into a new linkage energy-saving state, and the device and the link are controlled to enter the corresponding linkage energy-saving state according to a preset energy-saving policy, thereby improving energy-saving efficiency.01-16-2014
20140025973Adjusting Mobile Device State Based on User Intentions and/or Identity - In one embodiment, when a computing system is in a first state, a first set of inputs from one or more first sensors is detected. A first sensor value array is generated, and the first value array is fed as input to a first function generated by a first neural network. One or more first output values are calculated based on the first function, and a determination is made based on these first output values if a first action has occurred. If a first action has occurred, a second sensor value array is generated from a second set of inputs from one or more second sensors. The second sensor value array is fed as input to a second function generated by a second neural network. One or more second output values are calculated based on the second function, and the first state is exited based on these second output values.01-23-2014
20140025974INFORMATION PROCESSING APPARATUS, SERVER AND METHOD OF CONTROLLING THE SAME - A periodic update time is saved on an SRAM 01-23-2014
20140025975MOBILE DEVICE WITH SENSOR HUB AND METHOD FOR CONTROLLING THE DEVICE - A mobile device with a sensor hub and sensors and a method for controlling the mobile device are provided. The method includes receiving state information regarding the mobile device, setting a sensor sampling period based on the received state information, and receiving measured values from one or more sensors according to the set, sensor sampling period. The sensor hub receives the state information regarding the mobile device, sets a sensor sampling period based on the received state information, and receives measured values from one or more sensors according to the set sensor sampling periods. The mobile device controls the sensors via the sensor hub irrespective of the operations of the main processor. The sensor hub sets a sensor sampling period based on the received state values of a mobile device.01-23-2014
20140025976Power Management of Networked Devices - A network-centric, power management system and method is disclosed for monitoring and controlling device nodes attached to a network. The monitoring and controlling includes collecting and processing information available on the network about the device nodes and using the collected information to manage power on the device nodes.01-23-2014
20140025977Power Management of Networked Devices - A network-centric, power management system and method is disclosed for monitoring and controlling device nodes attached to a network. The monitoring and controlling includes collecting and processing information available on the network about the device nodes and using the collected information to manage power on the device nodes.01-23-2014
20140025978POWER SUPPLY CONTROL CIRCUIT AND SIGNAL PROCESSING CIRCUIT - A power supply control circuit for controlling power supply or stop of power supply is provided between a power source and a circuit block such as a processor. The power supply control circuit not only performs power supply to the circuit block or intentionally stops power supply but also is able to hold the power supply potential when the power supply is suddenly stopped, so that a loss of data in the circuit block can be prevented. By utilizing the power supply potential held by the power supply control circuit, data in the circuit block is saved in the nonvolatile memory device, so that a loss of data in the circuit block can be prevented. As described above, the power supply control circuit functions as a power gating switch and a circuit for holding the power supply potential in the case where power supply is suddenly stopped.01-23-2014
20140025979INTERFACE DEVICE AND INTERFACE METHOD - A command determiner does not send a master command which it has received from a master device through a first interface circuit to a slave device if it determines that the master command does not need to be relayed. In this case, a power controller sets the power mode of a second interface circuit to a low power mode, and a response controller sends, as a slave command, a substitute command corresponding to the master command, which the command determiner has received from the master device through the first interface circuit, to the master device through the first interface circuit.01-23-2014
20140032951Method and System for Adaptive Enhanced Power Saving for Peer to Peer Group Owner - A method and system for saving power in a peer-to-peer group owner. The group owner uses an adaptive absence method for deciding the absence of the group owner based on the amount of data traffic in the network. The group owner periodically sends period of absence information with dynamic values to client devices, informing them of the dynamically changing period of absence.01-30-2014
20140032952ELECTRONIC APPARATUS AND DRIVE CONTROL METHOD THEREOF - An electronic apparatus includes an input unit to receive a DC electric power from an adapter, a battery unit to store the received DC electric power therein, a first control unit to drive the electronic apparatus by using the electric power of the battery unit or the electric power received from the input unit in a first operation mode, and to drive the electronic apparatus by using both the electric power of the battery unit and the electric power received from the input unit in a second operation mode, and a comparing circuit unit to allow the first control unit to drive the electronic apparatus in a third operation mode having a power consumption lower than the first and second operation modes when the DC electric power input from the adapter is blocked.01-30-2014
20140032953BATTERY CHARGE SYSTEM AND METHOD CAPABLE OF OPERATING IN DIFFERENT CONFIGURATIONS - A controller configurable to operate in either in an NVDC mode or a standard mode. The controller includes mode logic that detects a mode value indicative of the selected mode and that asserts a corresponding mode signal, and control logic that is configured to operate according to the selected battery charging mode based on the mode signal and that provides a control signal accordingly. In the standard mode, the control signal is in either an on or off state depending upon presence of an external adapter and the charge state of the battery. In the NVDC mode, the control signal may operate in a linear mode if the battery is deeply discharged. A battery detector provides a battery indication that is used to switch the regulation operating point of an external system voltage. A power monitor output provides an indication of power being provided via the system voltage.01-30-2014
20140032954DYNAMIC CONTROL OF REDUCED VOLTAGE STATE OF GRAPHICS CONTROLLER COMPONENT OF MEMORY CONTROLLER - A method includes detecting a trigger condition, and in response to detecting the trigger condition, reducing a voltage applied to a graphics controller component of a memory controller. The reduction in voltage may cause the voltage to be reduced below a voltage level required to maintain context information in the graphics controller component.01-30-2014
20140032955METHOD, DEVICE, AND SYSTEM FOR DELAYING PACKETS DURING A NETWORK-TRIGGERED WAKE OF A COMPUTING DEVICE - A method, device, and system for delaying delivery of incoming packets during a network-triggered wake of a host computing device includes receiving one or more packets from a remote computing device over a network. The host computing device holds or buffers incoming packets to delay delivery while one or more components transition from a low-power power-managed state to an operational power-managed state. The host computing device may hold and release the packets after passage of a reference time. Additionally, the host computing device may hold and release, buffer and inject, or buffer and replay the packets upon receiving notification that one or more components has transitioned from a low-power state to an operational state. The delayed packets may be acknowledged to the remote computing device to reduce or minimize the overall time needed to establish a connection.01-30-2014
20140040648POWER MANAGEMENT FOR DEVICES IN A DATA STORAGE FABRIC - A method of power management for devices in a data storage fabric is disclosed. The data storage fabric includes a PHY having a first power condition and a second power condition coupled to a power condition independent device on the data storage fabric. The power condition independent device detects a change in the power configuration of the PHY from the first power condition to the second power condition. The power condition independent device then changes its power state to a comparable power state preselected to correspond with the second power condition.02-06-2014
20140040649Charging Method and an Electronic Apparatus Using Thereof - A charging method and an electronic apparatus using thereof are provided. In the method, an initialization procedure is executed firstly for putting a central processor in a non-working state and charging a battery via a charging circuit. Next, after the battery is charged for a first preset time, an interrupting signal is outputted via the charging circuit so as to wake up the central processor and stop charging the battery, so that the central processor is switched from the non-working state to a working state, according to the interrupting signal. The central processor in the working state detects the battery state parameter of the battery. Finally, the initialization procedure mentioned above is re-executed.02-06-2014
20140047254Sleep Mode Operation for Networked End Devices - A technique provides apparatuses, methods, and computer readable media for sending sleep information from an end device to a central unit of a network, in which the wake-up time of the end device is aligned to the scanning time for the central unit. The technique addresses at least two considerations: the clock accuracy of the end device is accounted for, and the reason that the end device requests sleep mode operation is provided. To address the above considerations, the end device may send its clock tolerance information and/or request for sleep mode (RSM) command to the central unit once the end device is connected via the network. The central unit may then adjust the scanning time based on the clock tolerance information. If the central unit receives a response from the end device during the adjusted scanning time, the central unit deems that the end device is still connected.02-13-2014
20140047255ON-BOARD NETWORK SYSTEM - An on-board network system is presented. The on-board network system sends a sleep-entered message to a communication bus. The sleep-entered message is sent under a condition that a sleep condition is satisfied on a basis that a network management (NM) message is ceased during state transition process in which node's state transfers from a normal state to a power-saving state. A monitoring ECU corresponding to a master performs an abnormality detection process. In the abnormality detection process, the monitoring ECU detects an abnormality state of the state transition process based on whether or not the sleep-entered message is sent from any one of nodes, thereby it is possible to detect the abnormality state not only during each node is a normal state but also during a bus-sleep state.02-13-2014
20140047256TERMINAL DEVICE AND METHOD FOR OPERATING THE SAME - The present disclosure discloses terminal devices and a method of operating the same, and relates to the field of terminal technology. The method includes: obtaining a current load occupancy parameter of a terminal device; and adjusting a working parameter of the terminal device's processing unit based on the current load occupancy parameter of the terminal device. The present disclosure can estimate the actual occupancy of a terminal device based on the load occupancy parameter of the terminal device, and can adjust a working parameter of the processing unit in real-time based on the load occupancy parameter, thereby controlling and reducing power usage from the bottom layer of the terminal device. In contrast to existing technologies, the disclosure does not require stopping certain services on the terminal device to achieve the goal of saving power, and power can be saved without affecting the normal operations of the terminal device.02-13-2014
20140053010DATA PROCESSING SYSTEM AND DATA PROCESSOR - One data processor is provided with an interface for realizing connection with the other data processor. This interface is provided with a function for connecting the other data processor as a bus master to an internal bus of the one data processor, and the relevant other data processor is capable of directly operating peripheral functions that are memory mapped to the internal bus from an external side via the interface. Accordingly, the data processor can utilize the peripheral functions of the other data processor without interruption of the program being executed. In short, one data processor can use in common the peripheral resources of the other data processor.02-20-2014
20140059370WAKE-ON-LOCAL-AREA-NETWORK OPERATIONS IN A MODULAR CHASSIS USING A VIRTUALIZED INPUT-OUTPUT-VIRTUALIZATION ENVIRONMENT - A method for waking an information handling system includes receiving in a chassis a plurality of modular information handling systems and a plurality of modular information handling resources, routing access of one of the modular information handling resources to one or more of the plurality of modular information handling systems, monitoring a plurality of power management event lines, determining a wake message received at one of the modular information handling resources, determining which of the plurality of modular information handling systems is associated with the received wake message, forwarding a wake signal to the determined modular information handling system, and powering on the determined information handling system. The modular information handling resource is configured to receive a wake message. Each line is coupled one of the modular information handling resources.02-27-2014
20140059371POWER MANAGEMENT OF MULTIPLE COMPUTE UNITS SHARING A CACHE - We report methods, integrated circuit devices, and fabrication processes relating to power management transitions of multiple compute units sharing a cache. One method includes indicating that a first compute unit of a plurality of compute units of an integrated circuit device is attempting to enter a low power state, determining if the first compute unit is the only compute unit of the plurality in a normal power state, and in response to determining the first compute unit is the only compute unit in the normal power state: saving a state of a shared cache unit of the integrated circuit device, flushing at least a portion of a cache of the shared cache unit, repeating the flushing until either a second compute unit exits the low power state or the cache is completely flushed, and permitting the first compute unit to enter the low power state.02-27-2014
20140059372METHOD AND APPARATUS TO SAVE POWER UPON RESUME IN MULTI-CORE SYSTEM - A method is provided for resuming one or more cores of a multi-core processor that is part of an electronic device, the method comprising: grouping wakeup sources into a plurality of computing domains; receiving an interrupt associated with a wakeup source; identifying a first computing domain from the plurality that the wakeup source is part of; mapping the first computing domain to a first indication of one or more states of a first core of the processor; configuring the first core to enter the one or more states that are indicated by the first indication; and resuming the first core after the first core is configured.02-27-2014
20140068302MECHANISM FOR FACILITATING FASTER SUSPEND/RESUME OPERATIONS IN COMPUTING SYSTEMS - A mechanism is described for facilitating faster suspend/resume operations in computing systems according to one embodiment of the invention. A method of embodiments of the invention includes initiating an entrance process into a first sleep state in response to a sleep call at a computing system, transforming from the first sleep state to a second sleep state. The transforming may include preserving at least a portion of processor context at a local memory associated with one or more processor cores of a processor at the computing system. The method may further include entering the second sleep state.03-06-2014
20140068303CIRCUIT ARRANGEMENT AND METHOD FOR LOW POWER MODE MANAGEMENT - For example, a circuit arrangement is provided comprising a clock generator configured to generate a clock signal, a circuit having a low power mode, and a controller, configured to receive, when the circuit is in the low power mode, a request specifying that the circuit should return from the low power mode and trigger the circuit to return from the low power mode when the number of clock cycles of the clock signal since the reception of the request has reached a threshold value.03-06-2014
20140068304METHOD AND APPARATUS FOR POWER REDUCTION DURING LANE DIVERGENCE - A method and device for reducing power during an instruction lane divergence includes idling an inactive execution lane during the lane divergence.03-06-2014
20140068305CIRCUIT SYSTEM AND SEMICONDUCTOR DEVICE - A circuit system includes: a plurality of memory blocks; a power supply circuit configured to supply operating power and substrate power to the plurality of memory blocks; a plurality of first power supply switches configured to control whether or not the operating power is supplied from the power supply circuit to the plurality of memory blocks; and a control circuit configured to control the power supply circuit and the plurality of first power supply switches, wherein the control circuit changes a voltage of the operating power to be supplied by the power supply circuit and a voltage of the substrate power to be supplied by the power supply circuit, based on a state of whether the first power supply switches are in a supplying state or a blocking state.03-06-2014
20140068306LOW POWER DETECTION APPARATUS AND METHOD FOR DISPLAYING INFORMATION - A low power detection apparatus and a method for displaying information are provided. When a low power manager drives a proximity detection sensor to generate a proximity interrupt in a state within which the portable terminal is in an idle state, the low power manager drives a motion detection sensor and determines a motion of the portable terminal for a preset time. When there is no motion of the portable terminal for the preset time, the low power manager generates proximity data for displaying screen information and then transmits the generated proximity data to an application processor so as to display screen information which the user desires with low power.03-06-2014
20140068307ENHANCED POWER SAVING OPTIMIZED CONFIGURATION HANDLING - Methods and apparatus, including computer program products, are provided for power savings. In one aspect there is provided a method. The method may include sending, by a user equipment, an indication to a network, wherein the indication represents whether there is a preference for power savings at the user equipment; and performing, at the user equipment when the indication is sent, one or more actions to implement power savings at the user equipment. Related apparatus, systems, methods, and articles are also described.03-06-2014
20140068308PORTABLE TERMINAL, METHOD AND PROGRAM FOR EXECUTING TRANSMISSION AND RECEPTION OF DATA - To provide a portable terminal, method or/and program that can reduce the energy consumption by automatically transmitting and receiving data based on the signal strength, rather than the user manually transitioning from sleep mode to active mode. The terminal processing means 03-06-2014
20140075225Non-Volatile Array Wakeup and Backup Sequencing Control - Individual first ones of a plurality of non-volatile logic element arrays are designated to restore first in response to entering a wakeup or restoration mode. These non-volatile logic element arrays include instructions for an order in which other non-volatile logic element arrays are to be restored next. So configured, the processing device can be set to have one or more NVL arrays restored first, which arrays are pre-configured to guide further wakeup of the device through directed restoration from particular NVL arrays. Certain NVL arrays can be skipped if the functions stored therein are not needed, and the order of restoration of others can be tailored to a particular wakeup time and power concern through restoration in parallel, serial, or combinations thereof.03-13-2014
20140075226ULTRA LOW POWER APPARATUS AND METHOD TO WAKE UP A MAIN PROCESSOR - An apparatus and method for waking up a main processor (MP) in a low power or ultra-low power device preferably includes the MP, and a sub-processor (SP) that utilizes less power than the MP to monitor ambient conditions than the MP, and may be internalized in the MP. The MP and SP can remain in a sleep mode while an interrupt sensor monitors for changes in the ambient environment. A sensor is preferably an interrupt-type sensor, as opposed to polling-type sensors conventionally used to detect ambient changes. The MP and SP may remain in sleep mode, as a low-power or an ultra-low power interrupt sensor operates with the SP being in sleep mode, and awakens the SP via an interrupt indicating a detected change. The SP then wakes the MP after comparing data from the interrupt sensor with values in storage or with another sensor.03-13-2014
20140075227CONTROL DEVICE, DATA PROCESSING DEVICE, CONTROLLER, METHOD OF CONTROLLING THEREOF AND COMPUTER-READABLE MEDIUM - A control device according to embodiments comprises a data-copying unit, a data-processing instructing unit, and a power-control unit. The data-copying unit copies data in a first memory to a second memory of which power consumption is less than power consumption of the first memory. The data is to be processed at a first data processing unit. The data-processing instructing unit instructs the first data processing unit to process the data copied to the second memory. The power-control unit switches power for the first memory from a first power to a second power while the first data processing unit is processing the data copied to the second memory. The first power is power supplied to the first memory at a time when the data is copied from the first memory to the second memory. The second power is lower than the first power.03-13-2014
20140075228PROCESSING DEVICE AND METHOD THEREOF - According to some embodiments, there is provided a communication device including: a processing unit and a notifying unit. The processing unit receives an acquisition request of first information from a requester and determines based on a predetermined condition whether or not the first information needs to be acquired from an acquisition destination of the first information. The notifying unit notifies a first response to the requester when the processing unit determines that the first information needs to be acquired, the first response containing an instruction of transitioning to a low power consumption status.03-13-2014
20140075229LOWEST POWER MODE FOR A MOBILE DRIVE - A hard disk drive enters a low power mode to reduce power consumption. To maintain communication with a host device, a communication interface remains energized along with a circuit portion storing configuration data for the communication interface. To energize the communication interface and the circuit portion, low power voltage regulators provide suitable reference voltages. One low power voltage regulator is dedicated to this purpose. Another voltage regulator is converted from an active, switching mode to a low power, linear mode to provide the necessary reference voltage. Also, unique handshaking signals are used to control entry and exit from the low power mode by the hard disk drive.03-13-2014
20140075230Waking An Electronic Device - Implementations disclosed herein relate to waking an electronic device 107. In one embodiment, an electronic device 107 detects a person within a particular proximity of the electronic device 107 and wakes the electronic device 107 while suppressing an outward indication of the operation of the electronic device 107. The electronic device 107 may then detect a person intending to use the electronic device 107 and therefore exhibit the outward indication of the operation of the electronic device 107.03-13-2014
20140082388FIRMWARE AGENT - Methods, apparatuses and storage medium associated with providing firmware to a device are disclosed herein. In various embodiments, an apparatus may include a device, and a processor to host a computing environment that includes the device and a device driver of the device. Further, the apparatus may include a firmware agent, disposed outside the computing environment, to provide, on behalf of the device driver, firmware to the device on power-on of the device. Other embodiments may be described and claimed.03-20-2014
20140082389Direct Hardware Access Media Player - A system, method and a computer program product for processing media content on a media player having direct access to hardware are provided in exemplary embodiments. When the media player is initialized, an operating system is placed into a stand-by mode that decreases power consumption on an electronic device. Instead of the operating system, a hardware pipeline processes media content. A hardware pipeline is dedicated to process a media content based on the media content type. The media content is processed using the dedicated hardware pipeline to reduce the power consumption during processing.03-20-2014
20140082390CACHE ARRAY WITH REDUCED POWER CONSUMPTION - Embodiments of the disclosure include a cache array having a plurality of cache sets grouped into a plurality of subsets. The cache array also includes a read line configured to receive a read signal for the cache array and a set selection line configured to receive a set selection signal. The set selection signal indicates that the read signal corresponds to one of the plurality subsets of the cache array. The read line and the set selection line are operatively coupled to the plurality of cache sets and based on the set selection signal the subset that corresponds to the set selection signal is switched.03-20-2014
20140082391COMPUTER SYSTEM AND POWER MANAGEMENT METHOD - A computer system is provided. The computer system has: a processor; a network transmission module; a storage unit; and a dual-port disk controller, coupled to the processor, the network transmission module and the storage unit, configured to control access of the storage unit, wherein when the computer system is in a connected-standby state and the processor is operating in a low power state, the network transmission module receives network data of the at least one background activity from a network, and writes the received network data to the storage unit directly through the dual-port disk controller.03-20-2014
20140082392SEMICONDUCTOR DEVICE AND COMMUNICATION METHOD - According to an embodiment, a communication control section which is a semiconductor device includes a communication circuit capable of operating in at least two operation modes of normal mode L0 and low-power mode L0s. A power management control section controls an UpdateFC transmission control section to make a transition of operation mode of the communication circuit to low-power mode L0s and transmit an UpdateFC signal at intervals of a second time period d2 longer than a first time period d1 if, during operation of the communication circuit in normal mode L0, transmission data is absent and reception data is absent in a reception buffer and controls the UpdateFC transmission control section to make a transition of the operation mode of the communication circuit to normal mode L0 if, during operation of the communication circuit in low-power mode L0s, transmission data is present or the second time period d2 elapses.03-20-2014
20140082393Hot Swapping Type Uninterruptible Power Supply Module - A system comprises a plurality of the hot swapping type uninterruptible power supply module and a plurality of conventional power supply. A HSUPS comprises a battery module and a controller module. A conventional power supplies is connected with an external AC power source and converts into DC voltage to provide power to the electronic system and/or to charge the HSUPS when necessary. The battery module holds the energy needed to power the electronic system during the absences of a conventional power supply and/or external AC power source. The controller module controls the operation to charge the battery, discharge the battery, switch off the HSUPS, or be standby. The controller module has the ability to detect for any failure event. The HSUPS provides power to the electronic system when the external AC power source is interrupted and when all of the conventional power supplies are removed from the system.03-20-2014
20140089701METHOD FOR CONTROLLING SCHEDULE OF EXECUTING APPLICATION IN TERMINAL DEVICE AND TERMINAL DEVICE IMPLEMENTING THE METHOD - A method may include associating, with a timer-B, a second application in a terminal device; setting the terminal device in a standby mode; and executing the second application when a processor in the terminal device wakes up after the timer-B measures a second amount of elapsed time. The timer-B may not initiate wake-up of the processor. The method may further include determining whether the second application is associated with the timer-B or a timer-A when the terminal device receives a command of setting the terminal device in the standby mode; and when the second application is determined as being associated with the timer-A, unassociating the second application with the timer-A. The timer-A may initiate wake-up of the processor when the timer-A measures another second amount of elapsed time while the terminal device is the standby mode. A timer associated with a first application may initiate wakeup of the processor.03-27-2014
20140089702ENERGY-EFFICIENT CONTENT UPDATE - Embodiments of methods, systems, and storage medium associated with are disclosed herein. In one instance, the method may include: first determining whether the computing device is connected to a network, based on a result of the first determining, monitoring data traffic between the computing device and the network, wherein the data traffic is associated with at least one application residing on the computing device, based on the monitoring, second determining whether the at least one application has been updated, and initiating a transition of the computing device to a sleep mode upon a result of the second determining that indicates that the at least one application has been updated. Other embodiments may be described and/or claimed.03-27-2014
20140089703LOW POWER EVENT PROCESSING FOR SENSOR CONTROLLERS - A controller includes a low power processor to couple to a sensor, the low power processor configured to receive data from the sensor and apply rules to the received data and provide an interrupt in accordance with the applied rules. A high power processor is coupled to receive interrupts from the low power processor in a sleep mode, to wake upon receipt of the interrupt, and to receive and process the data to determine actions to take based on the data, wherein the high power processor initiates the actions.03-27-2014
20140089704SYSTEM POWER CONTROL - A power control unit 03-27-2014
20140089705POWER GATING FOR TERMINATION POWER SUPPLIES - Power gating control architectures. A memory device having at least a memory array and input/output (I/O) lines terminated on the memory device with termination circuitry coupled to receive a termination supply voltage (V03-27-2014
20140089706DELAYING RESET SIGNALS IN A MICROCONTROLLER SYSTEM - A microcontroller system includes a reset delaying module that is configured to, during a power saving mode, receive and delay a reset signal from a reset source. The reset delaying module waits for a regulator ready signal from a voltage regulator because, prior to the reset signal, the voltage regulator is in a power saving mode. In response to receiving the regulator ready signal, the reset delaying module releases the reset, e.g., to a reset controller.03-27-2014
20140089707CHANGING POWER MODES OF A MICROCONTROLLER SYSTEM - A microcontroller system can operate in a number of power modes. In response to changing from a previous mode to a present mode, the microcontroller system reads a present calibration value correspond to the present mode from system configuration storage and write the present calibration value to a configuration register for a component. A logic block for the component reads the present calibration value and calibrates the component.03-27-2014
20140089708DELAYING INTERRUPTS IN A MICROCONTROLLER SYSTEM - A microcontroller system includes a power manager that is configured to, during a power saving mode, configure an interrupt delaying module to receive and hold an interrupt from an interrupt source. In response to receiving the interrupt from the interrupt source, the power manager causes the microcontroller system to exit the power saving mode. Upon exiting the power saving mode, the power manager configures the interrupt delaying module to release the interrupt.03-27-2014
20140089709INFORMATION PROCESSING APPARATUS AND INFORMATION PROCESSING METHOD - According to one embodiment, an information processing apparatus includes an operation control module, a calculator, and a display processor. The operation control module is configured to set the information processing apparatus in a power-saving state, based on a power-saving setup value corresponding to at least one power-saving setup item. The power-saving setup value is set by a user. The calculator is configured to calculate a total score indicative of a degree by which the power-saving state contributes to power saving during a period from a score calculation start time point to a reference time point. The display processor is configured to display an image associated with the total score.03-27-2014
20140089710INTEGRATED CIRCUIT, ELECTRONIC DEVICE AND OPERATION METHOD THEREOF - An embodiment of the invention provides an electronic device. The electronic device includes a first wireless module, a second wireless module and a controller. The first wireless module is controlled by a chipset to communicate with a portable device. The second wireless module communicates with the portable device. The controller is coupled to the second wireless module. When the first wireless module and the chipset are disabled, the electronic device receives a signal from the portable device via the second wireless module.03-27-2014
20140089711INCREASING THE BATTERY LIFE OF A MOBILE COMPUTING SYSTEM IN A REDUCED POWER STATE THROUGH MEMORY COMPRESSION - Embodiments of the invention are generally directed to systems, methods, and apparatuses for increasing the battery life of a mobile computing system through memory compression. In some embodiments, an integrated circuit includes compression logic to compress at least a portion of the data in volatile memory independent of an operating system. The compression logic may compress the data responsive to an indication to transition to a reduced power state.03-27-2014
20140095910Method and Apparatus For Efficient Store/Restore of State Information During A Power State Transition - A processor is described having streamlining circuitry that has a first interface to receive information from a memory describing: i) respective addresses for internal state information of a power domain; ii) respective addresses of a memory where the internal state information is stored when the power domain is powered down; and, iii) meta data for transferring the state information between the power domain and where the internal state information is stored when the power domain is powered down.04-03-2014
20140095911Controlling Power Consumption By Power Management Link - Methods and apparatus relating to controlling power consumption by a power management link are described. In one embodiment, the physical interface of a power management (PM) link is shut down when a processor is in a sleep state (e.g., to conserve power), while maintaining the availability of the processor for communication to a (e.g., embedded) controller over the PM link. Other embodiments are also disclosed and claimed.04-03-2014
20140095912Micro-Architectural Energy Monitor Event-Assisted Temperature Sensing - Methods and apparatus relating to micro-architectural energy monitor event-assisted temperature sensing are described. In one embodiment, at least one of a plurality of slices of a computational logic or at least one of a plurality of sub-slices of the computational logic are powered down or powered up based on a comparison of a temperature value, that is determined based on one or more micro-architectural events, and a threshold value. Other embodiments are also disclosed and claimed.04-03-2014
20140095913ELECTRONIC APPARATUS - An electronic apparatus is disclosed. The electronic apparatus comprises a capacitive sensor and a central processing unit (CPU). The capacitive sensor comprises a sensor output pin, and the CPU comprises a wake-up pin. The wake-up pin is coupled to the sensor output pin.04-03-2014
20140095914INFORMATION PROCESSING APPARATUS AND OPERATION CONTROL METHOD - According to one embodiment, an information processing apparatus includes a display processor and a power-saving setup module. The display processor displays on a screen a first interface including a slider which is movable along a path. The power-saving setup module sets values of a plurality of power-saving setup items in accordance with a position of the slider on the path, such that the information processing apparatus operates in a power-saving mode of one of a plurality of power-saving levels. The display processor changes, if a value of at least one power-saving item of the plurality of power-saving setup items is changed by a second interface which is different from the first interface, the position of the slider on the path.04-03-2014
20140095915PORTABLE ELECTRONIC DEVICE CARRIER WITH ELECTRONIC INTERFACE FOR RECHARGING DEVICE BATTERY - An electronics device carrier for porting a battery powered electronic device is formed by outer walls surrounding a hollow volume. The electronic device is held within the hollow volume and can be operated without removing the electronic device from the carrier. An input interface comprising interface elements external to the outer walls provides an element suitable for interfacing with external power devices such as a power source or another power load. A device interface includes interface elements at least partially disposed internal to the outer walls for interfacing with the electronic device battery. A charge controller is disposed between the input interface and the device interface and is operable to connect elements connected to the input interface to the device battery to either recharge the device battery or use the device battery as a power source.04-03-2014
20140101472ADAPTIVE SCREEN TIMEOUTS BASED ON USER PREFERENCES, INTERACTION PATTERNS AND ACTIVE APPLICATIONS - A computing device, such as a mobile communication device, is provided that adjusts, based on user interaction with the device, sleep times for a display to enter a sleep mode restricting use of a graphical user interface. The device includes a display providing the graphical user interface and a processor. The processor is configured to cause the display to enter the sleep mode after a sleep time without receiving any user inputs, increase the sleep time responsive to a user input received within a predetermined period of time after entry of the sleep mode and decrease the sleep time responsive to another user input directing the display to enter the sleep mode before passage of the sleep time. The processor may execute similar processes to adjust a plurality of sleep times associated with different applications and different functions within an application.04-10-2014
20140101473System and Method for Enabling Energy Efficient Ethernet Networks with Time-Sensitive Protocols - A system and method for enabling energy efficient Ethernet networks with time-sensitive protocols. Time-stamped packets generated by a time-sensitive protocol rely on fixed latency in a transit time through a transmission subsystem. An energy efficiency control policy in a network device governs a transmission of time-stamped packets in accordance with energy efficiency initiatives controlled by the energy efficiency control policy. In one embodiment, time-stamped packets can be dropped or modified to account for transitions by the transmission subsystem into an energy saving state. In another embodiment, transitions by the transmission subsystem into or out of an energy saving state can be impacted by the existence of time-stamped packets scheduled for transmission.04-10-2014
20140108839LOG RECORD WRITING SYSTEM, DEVICE, METHOD, AND PROGRAM - A log record writing system includes a log writing media 04-17-2014
20140108840COMMUNICATION APPARATUS AND CONTROL METHOD THEREFOR - There is provided a communication apparatus in which power consumption by wired connection is reduced in a standby state. An acquisition unit acquires information indicating whether a partner apparatus connected to the communication apparatus by wired connection has a function of waiting in a power saving state in the wired connection. Based on the acquired information, a setting unit sets a communication speed with the partner apparatus. The function of waiting in the power saving state in the wired connection is, for example, LPI (Low Power Idle).04-17-2014
20140108841INFORMATION PROCESSING APPARATUS, CONTROL METHOD FOR THE SAME AND STORAGE MEDIUM - An information processing apparatus according to an aspect of this invention includes a wide IO memory device stacked on an SoC die that includes a CPU, detects the temperatures of multiple memories included in the wide IO memory device, and when transitioning to a power saving mode, specifies a memory with a lower temperature, based on the detected temperatures, as the memory to be used with priority in the power saving mode, and stores information for returning from the power saving mode to a normal mode in the specified memory.04-17-2014
20140108842Utilizing eye tracking to reduce power consumption involved in measuring affective response - Systems and methods that enable a reduction of the power consumption involved in measuring a user's affective response to content. The reduction in power consumption is achieved by utilizing eye tracking to determine when a user is paying attention to content, and accordingly setting a mode of operation of a device that measures the user. Thus, by using different modes of operation, which are characterized by different energy consumption rates, the total power consumption of the device may be reduced, without loss of relevant measurements.04-17-2014
20140108843INFORMATION PROCESSING APPARATUS AND METHOD FOR CONTROLLING THE INFORMATION PROCESSING APPARATUS - An information processing apparatus operable in a standby mode and in a sleep mode. The information processing apparatus comprising a sleep unit and, a power-off unit. The sleep unit configured to shift the information processing apparatus into the sleep mode if a first time has elapsed in the standby mode. The power-off unit configured to turn off the power supply of the information processing apparatus if a second time has elapsed in the sleep mode and configured to turn off the power supply of the information processing apparatus at a specified time. The power-off unit configured to turn off the power supply of the information processing apparatus without waiting for the second time if the information processing apparatus has been started after the time specifying power-off processing.04-17-2014
20140108844METHOD AND APPARATUS FOR REDUCING POWER CONSUMPTION IN DIGITAL LIVING NETWORK ALLIANCE NETWORK - A method and apparatus for reducing power consumption in an electronic device are provided. The method includes receiving media content from a server, establishing a control state based on the media content, controlling power of at least one of a communication connection device and a display according to the control state of the received media content, determining whether a reception of the media content is completed, determining whether the electronic device performs functions for playing and controlling a digital media, transitioning a Wireless Local Area Network (WLAN), when it has been determined that a reception of the media content and that the functions are not performed by the electronic device, to a power save mode, and disconnecting the WLAN, when it has been determined that receiving the media content is completed and that the functions are performed by the electronic device, wherein the electronic device comprises the communication connection device.04-17-2014
20140115363MODAL WORKLOAD SCHEDULING IN A HETEROGENEOUS MULTI-PROCESSOR SYSTEM ON A CHIP - Various embodiments of methods and systems for mode-based reallocation of workloads in a portable computing device (“PCD”) that contains a heterogeneous, multi-processor system on a chip (“SoC”) are disclosed. Because individual processing components in a heterogeneous, multi-processor SoC may exhibit different performance capabilities or strengths, and because more than one of the processing components may be capable of processing a given block of code, mode-based reallocation systems and methodologies can be leveraged to optimize quality of service (“QoS”) by allocating workloads in real time, or near real time, to the processing components most capable of processing the block of code in a manner that meets the performance goals of an operational mode. Operational modes may be determined by the recognition of one or more mode-decision conditions in the PCD.04-24-2014
20140115364METHOD AND DEVICE FOR ADVANCED CONFIGURATION AND POWER INTERFACE (ACPI) SLEEP-STATE SUPPORT USING CPU-ONLY RESET - A mechanism for firmware to gain control from the operating system of an Advanced Configuration and Power Interface (ACPI)-compliant computing device during sleep-state transitions even if the computing device lacks a dedicated means for such a change to occur is discussed. Embodiments of the present invention report a CPU-only reset register in place of a sleep control register for an ACPI-compliant computing device in which an operating system is attempting a sleep-state transition. A CPU reset value is substituted for a sleep type value in a sleep-state object and written to the CPU-only reset register that was reported instead of the sleep control register thereby triggering a CPU-only reset. Firmware code operating at a known CPU reset vector may perform specified processing and then authorize a transition to the originally requested sleep-state.04-24-2014
20140115365ELECTRONIC DEVICE AND POWER MANAGEMENT METHOD - A power management method for use in an electronic system is provided. The electronic system has a processor and a power management unit. The method has the steps of: when the processor has entered a low power state and an awakening event occurs, calculating a staying time from the time point the processor enters the low power state till the time point the awakening event occurs, wherein the operation voltage of the processor is at a first voltage level in the low power state; and when the processing starts to exit the low power state according to the awakening event, determining a wait time, during which the operation voltage of the processor is recovered to a second voltage level of a working state from the first voltage level, wherein the first voltage level is lower than the second voltage level.04-24-2014
20140115366APPLICATION PROCESSOR, MOBILE DEVICE HAVING THE SAME, AND METHOD OF SELECTING A CLOCK SIGNAL FOR AN APPLICATION PROCESSOR - An application processor includes a main central processing device that operates based on an external main clock signal received from at least one external clock source when the application processor is in an active mode, at least one internal clock source that generates an internal clock signal, and a sensor sub-system that processes sensing-data received from at least one sensor module on a predetermined cycle when the application processor is in the active mode or a sleep mode, and that operates based on the internal clock signal or an external sub clock signal received from the external clock source depending on an operating speed required for processing the sensing-data.04-24-2014
20140115367ELECTRONIC DEVICE OPERATING IN A PLURALITY OF POWER STATES, CONTROL METHOD THEREOF, AND STORAGE MEDIUM - An electronic device with improved usability when activated. The electronic device is capable of operating in a plurality of power states including a first power state, and a second power state in which the electric device is less in power consumption than in the first power state. The power state after activation of the electronic device is decided, based on status of connection of an external apparatus to the electronic device. The electronic device is shifted to the decided power state after the activation of the electronic device.04-24-2014
20140115368CONSTRAINED BOOT TECHNIQUES IN MULTI-CORE PLATFORMS - Methods and apparatus relating to constrained boot techniques in multi-core platforms are described. In one embodiment, a processor may include logic that controls which specific core(s) are to be powered up/down and/or which power state these core(s) need to enter based, at least in part, on input from OS and/or software application(s). Other embodiments are also claimed and disclosed.04-24-2014
20140115369TECHNIQUE FOR PRESERVING CACHED INFORMATION DURING A LOW POWER MODE - A technique to retain cached information during a low power mode, according to at least one embodiment. In one embodiment, information stored in a processor's local cache is saved to a shared cache before the processor is placed into a low power mode, such that other processors may access information from the shared cache instead of causing the low power mode processor to return from the low power mode to service an access to its local cache.04-24-2014
20140122910RACK SERVER SYSTEM AND OPERATION METHOD THEREOF - An operation method of a rack server system includes receiving power information for each of a plurality of nodes, calculating a maximum power consumption value of the rack server system and a total power consumption value of the nodes upon the power information, determining whether a ratio value between the maximum power consumption value and the total power consumption value exceeds a predetermined ratio value and adjusting operation statuses of the nodes, for making the rack server system enter a power saving mode when the ratio value does not exceed the predetermined ratio value. Further, a rack server system includes multiple nodes and a rack management controller. The nodes include a power supply, a base board management controller coupled to the power supply and a connection interface coupled to the baseboard management controller. The rack management controller is coupled to the baseboard management controller through the connection interfaces.05-01-2014
20140122911Electronic Device with Enhanced Method of Displaying Notifications - There is disclosed an electronic device comprising a receiver, a display, an application processor and a sensor hub. The receiver is configured to receive notifications from a remote device. The display is configured to provide information including notifications. The application processor and the sensor hub are in communication with the display. The application processor is configured to provide instructions for displaying full screen information at the display during a non-sleep mode of the electronic device. The full screen information includes a first notification associated with information received by the electronic device during the non-sleep mode. The sensor hub is configured to provide instructions for displaying partial screen information at the display during a sleep mode of the electronic device. The partial screen information includes a second notification associated with information received by the electronic device during the sleep mode.05-01-2014
20140129859Remote Wake Using Signal Characteristics - Disclosures related to waking a sleeping device while minimizing active components needed to receive a remote wakeup request. In one aspect, devices having an RF tuner, may be configured to detect a digital or an analog signal variation or change in RF signal characteristics. Further, the variation or change may be interpreted as a wakeup signal.05-08-2014
20140129860METHOD AND APPARATUS FOR ENABLING MOBILE DEVICE POWER MANAGEMENT USING A SHARED WORKER - A method, apparatus and computer program product are provided to enable an application in an HTML5 runtime to listen to background servers and wait for events, even if the power savings mode is on. In the context of a method, a share worker application, comprising an event listener, is launched and the operating system is notified not to pause the shared worker application in the power savings mode. In response to a triggering event, the shared worker application may wake up the full system or may wake up only the main execution of JavaScript and a specific action caused by JavaScript while other power savings actions continue. The method may also cause performance of the specific action, such as an audio alert.05-08-2014
20140129861CONTROLLING A DATA STREAM - The present application relates to a computer implemented method, a computer program product and a computer system for controlling a data stream from a server computer to a client computer. The client computer comprises a data stream client and the server computer comprises a data stream server. While receiving, by the data stream client from the data stream server, the data stream, the method may comprise generating, by the client computer, a power management decrease event, receiving, by the data stream client, the power management decrease event, sending, from the data stream client to the data stream server, a first pause request to temporarily halt the data stream, and transitioning, by the client computer, from a fully working power state to a decreased power consumption state in response to the power management decrease event.05-08-2014
20140129862APPARATUS AND METHOD FOR REPLACING A BATTERY IN A PORTABLE TERMINAL - An apparatus and method for replacing a battery in a portable terminal are provided, in which there are a main battery and an auxiliary battery, a cover removal sensor senses the removal of a battery cover, and a controller switches from the main battery to the auxiliary battery for supplying a power in response to the battery cover removal, wherein the auxiliary battery supplies the power to some component of the portable terminal under the control of the controller.05-08-2014
20140136869ADAPTIVE CONNECTED STANDBY FOR A COMPUTING DEVICE - Various computing devices and methods of managing the power consumption thereby are disclosed. In one aspect, a method of managing power consumption of a computing device that has a battery is provided. The method includes cycling the computing device between a connected standby active state and a connected standby idle state. The duration of the connected standby idle state is set based at least in part on a charge level of the battery.05-15-2014
20140136870TRACKING MEMORY BANK UTILITY AND COST FOR INTELLIGENT SHUTDOWN DECISIONS - A device receives an indication that a memory bank is to be powered down, and determines, based on receiving the indication, shutdown scores corresponding to powered up memory banks. Each shutdown score is based on a shutdown metric associated with powering down a powered up memory bank. The device may power down a selected memory bank based on the shutdown scores.05-15-2014
20140136871MOBILE ELECTRONIC DEVICE AND METHOD OF CONTROLLING THE SAME - There is provided a mobile electronic device for reducing power consumption, the device including a user input unit receiving a power saving condition; a sensing unit acquiring state information; and a controller changing an operating mode based on the power saving condition and the state information.05-15-2014
20140143567INTELLIGENT DEVICE FOR THE DISPLAY OF DATA IN A POWER CONSTRAINED ENVIRONMENT - An intelligent device is provided which includes an housing and an attachment means to attach the housing of the intelligent device to an object. A processor is provided which is housed within the housing, and a movement sensor is coupled to the processor for detecting movement of the object to which the housing of the intelligent device is attached. Further provided is a display means coupled to the processor. The processor of the device is responsive to the sensor detecting movement of the object to reconfigure from a first low-power state to a second working state for a pre-determined period of time, such that during the pre-determined period of time the processor retrieves data and displays said data on the display means. The processor is further operable to revert back to the first low-power state when the pre-determined period of time has expired.05-22-2014
20140143568MONITORING AND MANAGING PROCESSOR ACTIVITY IN POWER SAVE MODE OF PORTABLE ELECTRONIC DEVICE - An electronic device and a method operative therein monitor automatic wakeup events that occur during a power save mode. Wakeup events are monitored for respective applications executable within the electronic device. Applications with processing activity during the power save mode are then listed, on the basis of at least the monitored wakeup events. An indication of which apps are consuming battery power during the power save mode can then be obtained.05-22-2014
20140143569MOBILE PLATFORM WITH POWER MANAGEMENT - A power management technique at a mobile station is disclosed that features a dedicated processor for continuously processing sensor data, in addition to a main processor for the conventional processing in the mobile station. The dedicated processor executes a set of data-processing primitives. The data-processing primitives operate on received sensor signals that are based on the monitoring of one or more physical conditions. The processing primitives process the received sensor signals, in which the processing of the signals results in a transitioning of the dedicated processor from one data-processing state to another data-processing state. The transitioning is based on one or more predetermined patterns being detected in the data. The processing performed by the primitives results in the generating of a power management signal based on the transition between states and also results in the transitioning of the main processor to an active mode of processing.05-22-2014
20140143570THREAD CONSOLIDATION IN PROCESSOR CORES - According to one embodiment, a method for thread consolidation is provided for a system that includes an operating system and a multi-core processing chip in communication with an accelerator chip. The method includes running an application having software threads on the operating system, mapping the software threads to physical cores in the multi-core processing chip, identifying one or more idle hardware threads in the multi-core processing chip and identifying one or more idle accelerator units in the accelerator chip. The method also includes executing the software threads on the physical cores and the accelerator unit. The method also includes the controller module consolidating the software threads executing on the physical cores, resulting in one or more idle physical cores and a consolidated physical core. The method also includes the controller module activating a power savings mode for the one or more idle physical cores.05-22-2014
20140143571POWER INTEGRATION MODULE AND ELECTRONIC DEVICE - A power integration module includes a first input connector, a second input connector, an output connector, a switch unit, a determining unit. The first input connector and the second input connector are electrically connected to a first power supply and a second power supply of a power supply module. The output connector is electrically connected to an electrical load. The switch unit is coupled between the first input connector, the second input connector and the output connector. When the switch unit is turned on, the first input power supply and the second input power supply are integrated in parallel and outputted to the output connector to the electrical load. Moreover, an electronic device with a plurality of power integration modules is provided. Thus, the wrong connection is prevented and the condition that the power supplies of different electrical parameters are connected in parallel is avoided.05-22-2014
20140143572SHORTENING RESUME TIME FROM A POWER-SAVING STATE - A computer implemented method resumes a computer from a power-saving state in a short time. Prior to shifting to a power-saving state, a drive sets a status flag indicating a loading state of a disk at a flash memory. For resumption from the power-saving state, the BIOS requests a ready notification from the drive. When it is determined that the status flag indicates not-loading of a disk, the drive skips the detection processing of the disk including initialization of a read/write circuit and a servo mechanism such as a spindle motor and sends a ready notification indicating not-loading of the disk to the BIOS. Receiving the ready notification, the BIOS can continue the resume processing.05-22-2014
20140143573COMMUNICATION DEVICE AND METHOD - According to an embodiment, a communication device includes a first processor and a second processor. The first processor is switchable to a sleep state having low power consumption. The second processor performs operations related to information acquisition via a network. The second processor includes a networking unit, an information obtaining unit, and a start-up control unit. Based on first information which indicates a connection destination of the network as specified in the first processor, the networking unit performs communication with the connection destination and notify a permission of switching the first processor to the sleep state. The information obtaining unit obtains second information which is associated to the first information. The start-up control unit switches the first processor back to an operating state from the sleep state when the information obtaining unit finishes obtaining the second information associated to the first information specified by the first processor.05-22-2014
20140143574POWER CONTROL SYSTEM AND POWER CONTROL METHOD - A power control system and a power control method are provided. The power control system is adapted to a computer device. The computer device comprises an embedded controller and a power supply both coupled to each other. The power supply provides power to the embedded controller. The power control system comprises a device switch input terminal and a logic output terminal. The device switch input terminal receives a trigger signal from a component of the computer device to change a state of the computer system. The logic output terminal is coupled to the power supply and performs on-off control of the power supply to provide or stop power to the embedded controller when the switch input terminal receives the trigger signal.05-22-2014
20140143575Power Saving Mode for Network Devices - Methods, systems, and apparatuses can be operable to facilitate transitioning an node to and from a power-saving mode. A mixed network comprising devices having different protocols and/or specifications can communicate with each other and outdated or legacy devices can utilize power-saving modes possessed by updated devices.05-22-2014
20140149770LOW-POWER STATES FOR A COMPUTER SYSTEM WITH INTEGRATED BASEBAND - A method of entering a power conservation state comprises selecting and entering one of a plurality of low power states for the computer system in response to a detected system idle event. The plurality of low power states comprise a first low power state and a second low power state for the computer system. A memory of the computer system is self refreshed during the first low power state. A baseband module of the computer system remains powered, and the memory is accessible to the baseband module during the second low power state. The one low power state is selected depending upon baseband module activity. The method also includes exiting from the one of a plurality of low power states when a wake event is detected.05-29-2014
20140149771Smart Calendar for Scheduling and Controlling Collaboration Devices - A system and method for implementing a service oriented architecture that supports complex event processing and business activity monitoring. An enterprise service bus (ESB) generates a first list of one or more devices, receives a selection from a user associated with a first device of a second device in the first list, generates a second list that includes functions associated with the selection from the first user, receives a request from the first device to perform one of the functions in the second list, translates a first communication protocol of the request received from the first device into a second communication protocol used by the second device to create a translated request, translates a first message format of the request into a second message format and transmits the translated request to the second device.05-29-2014
20140149772Using a Linear Prediction to Configure an Idle State of an Entity in a Computing Device - The described embodiments include a computing device with one or more entities (processor cores, processors, etc.). In some embodiments, during operation, a thermal power management unit in the computing device uses a linear prediction to compute a predicted duration of a next idle period for an entity based on the duration of one or more previous idle periods for the entity. Based on the predicted duration of the next idle period, the thermal power management unit configures the entity to operate in a corresponding idle state.05-29-2014
20140149773LATCH CIRCUIT AND DATA PROCESSING SYSTEM - A latch circuit is described comprising a switchable resistive element and a switching circuit configured to set the switchable resistive element to a first resistive state in response to receiving a set signal and to set the switchable resistive element to a second resistive state in response to receiving a reset signal.05-29-2014
20140149774INCREASING POWER EFFICIENCY OF TURBO MODE OPERATION IN A PROCESSOR - In one embodiment, a processor has multiple cores to execute threads. The processor further includes a power control logic to enable entry into a turbo mode based on a comparison between a threshold and value of a counter that stores a count of core power and performance combinations that identify turbo mode requests of at least one of the threads. In this way, turbo mode may be entered at a utilization level of the processor that provides for high power efficiency. Other embodiments are described and claimed.05-29-2014
20140149775DYNAMICALLY CHANGING DATA ACCESS BANDWIDTH BY SELECTIVELY ENABLING AND DISABLING DATA LINKS - Bandwidth for information transfers between devices is dynamically changed to accommodate transitions between power modes employed in a system. The bandwidth is changed by selectively enabling and disabling individual control links and data links that carry the information. During a highest bandwidth mode for the system, all of the data and control links are enabled to provide maximum information throughout. During one or more lower bandwidth modes for the system, at least one data link and/or at least one control link is disabled to reduce the power consumption of the devices. At least one data link and at least one control link remain enabled during each low bandwidth mode. For these links, the same signaling rate is used for both bandwidth modes to reduce latency that would otherwise be caused by changing signaling rates. Also, calibration information is generated for disabled links so that these links may be quickly brought back into service.05-29-2014
20140157024MANAGING GATEWAY ACCESS - A storage system provides a content manager configured to initiate transfer of media content from a first device to a second device. Responsive to a transfer request from the second device and during the transfer, gateway logic coupled to the content manager disables a gateway executing on the first device, responsive to the transfer request from the second device. Power logic coupled to the content manager enters a low power mode in the first device, responsive to completion of the transfer of the media content from the first device to the second device.06-05-2014
20140157025STATIC FRAME DISPLAY FROM A MEMORY ASSOCIATED WITH A PROCESSOR OF A DATA PROCESSING DEVICE DURING LOW ACTIVITY THEREOF - A method includes detecting an idle state of a processor of a data processing device based on initiation thereof through a driver associated with the processor and/or an operating system executing on the data processing device, and copying raw display data related to a static frame to be displayed during the idle state into a memory upon detection of the idle state, along with state information of the data processing device. The method also includes providing access to the copied raw display data to an output resource of the processor during the idle state, and converting the copied raw display data into a format suitable for rendering on a display unit. Further, the method includes power gating one or more engine(s) of the processor during the idle state while maintaining the output resource and the memory in a powered up state to reduce power consumption of the data processing device.06-05-2014
20140157026METHODS AND APPARATUS FOR DYNAMICALLY ADJUSTING A POWER LEVEL OF AN ELECTRONIC DEVICE - Methods and apparatus for dynamically adjusting a power level of an electronic device (06-05-2014
20140157027DEMAND RESPONSE DETERMINATION APPARATUS AND DEMAND RESPONSE DETERMINATION METHOD THEREOF - A demand response determination apparatus and a demand response determination method thereof are provided. The demand response determination apparatus connects to an electric power system via a network. The demand response determination apparatus receives power consumption information from the electric power system and decides a power consumption mode of the electric power system according to the power consumption information. The demand response determination apparatus calculates demanded power according to the power consumption mode and determines whether the demanded power exceeds a power consumption threshold. The demand response determination apparatus informs the electric power system to unload electric power equipment when the demanded power exceeds the power consumption threshold.06-05-2014
20140157028Optimizing Power Consumption in a Communication System - Within a communication system that includes multiple communication channels, a low-power mode of operation and a higher-power mode of operation are provided. Each channel is allocated to one of several groups, based on criteria such as whether power is allocated to that channel in low power mode, and whether power was allocated to that channel in a previous high power mode. Initial power levels for each channel for each mode are approximated using an interpolation rule known to both the receive and the transmitter. The system switches between modes according to a PMD pre-defined schedule. When a new power mode begins, the receiver measures signal power received on each channel and then transmits corrective information sufficient to allow adaptation of power levels to achieve PMD pre-defined levels of received power.06-05-2014
20140157029POWER SUPPLY CIRCUIT - A power supply circuit includes a first power supply for a normal power mode, a second power supply for a power saving mode, which is connected in parallel with the first power supply, a controller to which power from the first power supply and power from the second power supply are supplied via a common connection point, and a switch element which is connected between an output terminal of the first power supply and the connection point and controls power that is supplied to the controller, wherein the switch element includes a diode between a source terminal and a drain terminal of the switch element, the drain terminal of the switch element is connected to the first power supply side to prevent current flowing from the connection point toward the first power supply, and the source terminal of the switch element is connected to the connection point side.06-05-2014
20140157030SYSTEMS HAVING A MAXIMUM SLEEP MODE AND METHOD OF OPERATING THE SAME - A main memory system includes a main memory device including a first memory device implemented with a volatile memory and a second memory device implemented with a non-volatile memory, the main memory system being configured such that, when entering a sleep mode, the memory device reads a portion of data stored in the first memory device to store the read data in the second memory device, and, after the portion of data is read, the first memory device and the second memory device are powered off.06-05-2014
20140157031IMAGE PROCESSING APPARATUS, ELECTRONIC APPARATUS, DETECTION DEVICE, METHOD FOR CONTROLLING IMAGE PROCESSING APPARATUS, METHOD FOR CONTROLLING ELECTRONIC APPARATUS, AND METHOD FOR CONTROLLING DETECTION DEVICE - An image processing apparatus that operates in a first power state or a second power state in which power consumption is smaller than in the first power state includes a detection unit that includes regions in which an object is detected, an analysis unit configured to analyze results of detection obtained in the plurality of regions, wherein the analysis unit enters a power saving mode in the second power state, a control unit that causes the analysis unit to return from the power saving state in a case where an object has been detected in any of the plurality of regions included in the detection unit in the second power state, and a power control unit that, in a case where the analysis unit determines that an object has approached the image processing apparatus, shifts the image processing apparatus from the second power state to the first power state.06-05-2014
20140157032IMAGE FORMING APPARATUS AND METHOD FOR CONTROLLING IMAGE FORMING APPARATUS - An image forming apparatus that enters a first power state in which a predetermined function is executable and a second power state in which the predetermined function is not executable includes a detection unit configured to detect an object; a return unit that a user operates in order to shift the image forming apparatus from the second power state to the first power state; a notification unit configured to, in a case where an object has been detected by the detection unit, notify a user of a position of the return unit; and a power-source control unit configured to, in a case where the return unit has been operated by the user, shift the image forming apparatus from the second power state to the first power state.06-05-2014
20140164803Power Management Integrated Circuit Having A Configurable Total Hibernate Mode - A Multi-Tile Power Management Integrated Circuit (MTPMIC) includes tiles including an MCU/ADC tile and a power manager tile. The power manager tile includes a hibernate circuit and a set of Configurable Switching Power Supply Pulse Width Modulator (CSPSPWM) components. The CSPSPWM, in combination with other circuitry external to the integrated circuit, form a switching power supply. The hibernate circuit is operable in a hibernate mode where the CSPSPWM is disabled and the switching power supply no longer generates a supply voltage. A processor in the MCU/ADC tile writes across a standardized bus to configure the hibernate circuit to wake up after a timer determines a configurable amount of time has lapsed, or to wake up in response to a signal present on a terminal of MTPMIC. The processor enables the hibernate mode causing the switching power supply to no longer provide power to the processor and other circuitry of MTPMIC.06-12-2014
20140164804SYSTEM AND METHODS FOR DIMM-TARGETED POWER SAVING FOR HYPERVISOR SYSTEMS - A method of saving power in a computing system having a plurality of dual in-line memory modules (DIMMs) and employing a suspend-to-RAM sleep mode includes, when entering suspend-to-RAM sleep mode, consolidating selected information into a subset of DIMMs, and turning off power to all other DIMMs. A DIMM power rail may be coupled to each of the DIMMs, the DIMM power rail being configured to selectively have power being supplied to respective DIMMs turned off in response to enable/disable logic signals.06-12-2014
20140164805DATA PROCESSING APPARATUS, METHOD FOR CONTROLLING DATA PROCESSING APPARATUS, AND PROGRAM - A data processing apparatus operating in a first power mode and a second power mode in which power consumption is lower than that of the first power mode includes a plurality of USB interfaces, a selection unit configured to select at least one of the USB interfaces which is to be used when the second power mode is entered, and a control unit configured to perform control so that, in the second power mode, electric power is supplied to a device connected to the at least one of the USB interfaces selected by the selection unit through the at least one of the USB interfaces.06-12-2014
20140164806INTEGRATED CIRCUIT DEVICE INCLUDING A PLURALITY OF INTEGRATED CIRCUITS AND ITS APPLICATION TO PANEL DISPLAY DEVICE - An integrated circuit device includes first and second integrated circuits and a power supply line. The first integrated circuit includes a first power supply circuit, a timing generation circuit generating a synchronization signal, and a first power supply control section. The second integrated circuit includes a second power supply circuit and a second power supply control section. The power supply line electrically connects the outputs of the first and second power supply circuit. The first and second power supply control sections are each configured to start the operations of the first and second power supply circuits, respectively, in response to a start of a supply of the synchronization signal after a sleep-out command is supplied thereto. The timing generation circuit starts supplying the synchronization signal after a predetermined waiting time elapses after the sleep-out command is supplied to the first integrated circuit.06-12-2014
20140164807INFORMATION PROCESSING APPARATUS, CONTROL METHOD OF INFORMATION PROCESSING APPARATUS, AND STORAGE MEDIUM - Whether to enable a packet discarding mode is designated and, if an information processing apparatus operates in a power saving mode and the packet discarding mode is enabled, the information processing apparatus determines processing for a received packet based on a proxy response pattern, a WOL pattern, and a discard pattern, and, if the information processing apparatus operates in the power saving mode and the packet discarding mode is disabled, the information processing apparatus determines processing for the received packet based on the proxy response pattern and the WOL pattern without using the discard pattern.06-12-2014
20140164808METHOD AND APPARATUS FOR PREVENTING OVERHEATING OF A COMPUTER - A computer implemented method and apparatus for preventing overheating of a computer comprising sensing a disengagement action for the computer, detecting a power setting associated with the disengagement action, and performing, when the power setting is detected to be set to a preset mode, at least one of alerting the user regarding the power setting and forcing the computer into a low power state.06-12-2014
20140173312DYNAMIC RE-CONFIGURATION FOR LOW POWER IN A DATA PROCESSOR - A data processor includes an execution unit having a multiple number of redundant resources, and a configuration circuit having first and second modes, wherein in the first mode, the configuration circuit enables the multiple number of redundant resources, and in the second mode, the configuration circuit disables the multiple number of redundant resources.06-19-2014
20140173313LINK CLOCK CHANGE DURING VERITCAL BLANKING - Embodiments of an apparatus for implementing a display port interface are disclosed. The apparatus may include a source processor and a sink processor coupled through an interface. The interface may include a primary link, and an auxiliary link. The source processor may be operable to send a wake-up command to the sink processor via the auxiliary link, which may indicate a change in frequency on the primary link. The source processor to the sink processor via the primary link may send initialization parameters, which may include a clock data recovery lock parameter and an idle parameter.06-19-2014
20140173314ADAPTIVELY DISABLING AND ENABLING SLEEP STATES FOR POWER AND PERFORMANCE - Methods and systems may provide for determining whether a runtime disablement condition is met with respect to a sleep state and disabling the sleep state if the runtime disablement condition is met. Additionally, the sleep state may be enabled if a runtime reinstatement condition is met. In one example, determining whether the runtime disablement condition is met includes determining a false entry rate for the sleep state, and comparing the false entry rate to an energy-based threshold, wherein the sleep state is disabled if the false entry rate exceeds the energy-based threshold.06-19-2014
20140173315INFORMATION PROCESSING APPARATUS OPERABLE IN POWER SAVING MODE AND METHOD FOR CONTROLLING THE SAME - In an information processing apparatus operable in a power saving mode, a sub CPU reads out initial screen data pre-stored in a nonvolatile memory, and displays it on a display screen when the information processing apparatus returns from the power saving mode. Further, the sub CPU detects coordinate data of an area touched by a user on the initial screen, and stores it into the nonvolatile memory. Simultaneously, a main CPU performs startup processing such as setting the whole apparatus. After completing the startup processing, the main CPU reads out the coordinate data stored in the nonvolatile memory, identifies a function selected by the user, and performs an identified operation. Further, the main CPU generates display screen data corresponding to a determination of the function selected by the user to transfer it to an operation unit controller, and transmits a screen switching instruction to the operation unit controller.06-19-2014
20140181552MULTI-MODE DEVICE POWER-SAVING OPTIMIZATION - Methods and systems input an energy consumption profile for each of a plurality of different sleep modes available for a device, and input a probability distribution of interjob times for the device. The methods and systems then compute the optimal time-out period for each sleep mode based on the energy consumption profile of each sleep mode and the probability distribution of interjob times. Further, such methods and systems monitor the usage of the device to determine the current interjob time, and switch between sleep modes to relatively lower power sleep modes as the current interjob time becomes larger.06-26-2014
20140181553Idle Phase Prediction For Integrated Circuits - A method and apparatus for idle phase prediction in integrated circuits is disclosed. In one embodiment, an integrated circuit (IC) includes a functional unit configured to cycle between intervals of an active state and an idle state. The IC further includes a prediction unit configured to record a history of idle state durations for a plurality of intervals of the idle state. Based on the history of idle state durations, the prediction unit is configured to generate a prediction of the duration of the next interval of the idle state. The prediction may be used by a power management unit to, among other uses, determine whether to place the functional unit in a low power (e.g., sleep) state.06-26-2014
20140181554POWER CONTROL FOR MULTI-CORE DATA PROCESSOR - A multi-core data processor includes multiple data processor cores and a circuit. The multiple data processor cores each include a power state controller having a first input for receiving an idle signal, a second input for receiving a release signal, a third input for receiving a control signal, and an output for providing a current power state. In response to the idle signal, the power state controller causes a corresponding data processor core to enter an idle state. In response to the release signal, the power state controller changes the current power state from the idle state to an active state in dependence on the control signal. The circuit is coupled to each of the multiple data processor cores for providing the control signal in response to current power states in the multiple data processor cores.06-26-2014
20140181555MANAGING A POWER STATE OF A PROCESSOR - A method and system for managing a power state of a processor are described herein. The method includes receiving, at the processor, a signal indicating that an interrupt is to be sent to the processor. The method also includes transitioning the processor from the deep idle state to the shallow idle state in response to receiving the signal and transitioning the processor from the shallow idle state to an active state in response to receiving the interrupt.06-26-2014
20140181556Idle Phase Exit Prediction - A method and apparatus for exiting a low power state based on a prior prediction is disclosed. An integrated circuit (IC) includes a functional unit configured to, during operation, cycle between intervals of an active state and intervals of an idle state. The IC also include a power management unit configured to place the functional unit in a low power state responsive to the functional unit entering the idle state. The power management unit is further configured to preemptively cause the functional unit to exit the low power state at a predetermined time after entering the low power. The predetermined time is based on a prediction of idle state duration made prior to entering the low power state. The prediction may be generated by a prediction unit, based on a history of durations of intervals in which the functional unit was in the idle state.06-26-2014
20140181557METHODS AND APPARATUS RELATED TO PROCESSOR SLEEP STATES - A system includes a processor including at least a first core and a local interrupt controller associated with the first core. The first core is operable to store its architectural state prior to entering a first core sleep state, and the processor is operable to receive and implement a request for entering a system sleep state in which the first core is in the first core sleep state and the local interrupt controller is powered down and exit the system sleep state by restoring the local interrupt controller and restoring the saved architectural state of the first core.06-26-2014
20140181558REDUCING POWER CONSUMPTION OF VOLATILE MEMORY VIA USE OF NON-VOLATILE MEMORY - A method includes initiating a transition from an operating mode to a sleep mode at an electronic device that includes a volatile memory and a non-volatile memory. In response to the initiating, data is copied from the volatile memory to the non-volatile memory and a portion of the volatile memory is disabled. Another method includes determining that a low performance mode condition is satisfied at an electronic device that includes a volatile memory that stores a first copy of read-only data and a non-volatile memory that stores a second copy of the read-only data. A memory mapping of the read-only data is updated from the volatile memory to the non-volatile memory. A portion of the volatile memory that stores the first copy is disabled and access of the read-only data is directed to the non-volatile memory instead of the volatile memory.06-26-2014
20140181559SUPPORTING RUNTIME D3 AND BUFFER FLUSH AND FILL FOR A PERIPHERAL COMPONENT INTERCONNECT DEVICE - Particular embodiments described herein provide for an apparatus that includes a means for determining a power state for a device connected to a system, a means for determining that the device should change power states, and means for sending a signal to the device to put the device in a D3-cold state while the system is a GO/SO state. In an embodiment, the device is a peripheral component interconnect (PCI) device. Also, the particular example implementation can include means for sending a WAKE# signal from a controller to the device to cause the device to exit the D3-cold state, wherein the WAKE# signal was sent from a designated WAKE# signal pin on the controller. In some embodiments, the WAKE# signal is not sent to other devices in the system.06-26-2014
20140181560PLATFORM POWER CONSUMPTION REDUCTION VIA POWER STATE SWITCHING - Methods and apparatus relating to platform power consumption reduction via power state switching are described. In one embodiment, control logic causes a processor to enter a first low power consumption state (e.g., S0ix) instead of a second low power consumption state (e.g., S3) based on whether a threshold time period exists between a first wake event (e.g., corresponding to a first one of one or more awake requests) and a second wake event (e.g., corresponding to a second one of the one or more awake requests). Other embodiments are also claimed and disclosed.06-26-2014
20140189398TECHNIQUES FOR PLATFORM DUTY CYCLING - Various embodiments are generally directed to an apparatus, method and other techniques for detecting active and semi-active workloads during execution on a platform processing device and enabling a duty cycle process to reduce thermal output and power consumption, and align unaligned activity. In various embodiments, the duty cycle processing may be enabled during an active workload when thermal output or power consumption is above a thermal threshold or power consumption threshold that is below an efficient operating point for the platform processing device. The duty cycle processing may also be enabled during semi-active workloads when the workload causes the platform processing device to be underutilized and unaligned. The duty cycle processing may comprise enabling a forced idle period for the platform processing device. Other embodiments are described and claimed.07-03-2014
20140189399METHODS, SYSTEMS AND APPARATUS TO MANAGE POWER CONSUMPTION OF A GRAPHICS ENGINE - Methods and apparatus are disclosed to manage power consumption at a graphics engine. An example method to manage power usage of a graphics engine via an application level interface includes obtaining a policy directive for the graphics engine via the application level interface, the policy directive identifying a threshold corresponding to power consumed by the graphics engine operating in a first graphics state. The example method also includes determining a power consumed by the graphics engine during operation. The example method also includes comparing the power consumed to the threshold of the policy directive, and when the threshold is met, setting the graphics engine in a second graphics state to cause the graphics engine to comply with the policy directive.07-03-2014
20140189400PROCESSING SYSTEM AND ASSOCIATED METHOD - The present invention provides a processing system and associated method; the processing system includes a processing unit, a peripheral unit consuming system resource, a support unit capable of providing the system resource, a buffer capable of storing a portion of the system resource, and a system power manager (SPM). When the processing unit suspends for idle, the peripheral unit consumes the buffer and thus does not need system resource from the support unit, so the support unit and/or the corresponding system resource can be powered down. When the buffer is consumed, the SPM is capable of allocating the system resource for the peripheral unit in response to request of the peripheral unit, so the processing unit does not have to leave idle for allocating the system resource.07-03-2014
20140189401BLOCK-LEVEL SLEEP LOGIC - In one embodiment, a processor includes at least one sleep block and a central sleep controller. The at least one sleep block may include at least one execution unit, at least one processor component, and sleep logic. The central sleep controller may be to program the sleep logic to perform at least one sleep transition for the at least one sleep block, and to operate in a first sleep mode. The sleep logic may be to perform the at least one sleep transition for the at least one sleep block without waking the central sleep controller from the first sleep mode. Other embodiments are described and claimed.07-03-2014
20140189402Apparatus And Method To Manage Energy Usage Of A Processor - In an embodiment, a processor includes at least one processor core and power control logic having energy usage logic to predict an energy usage of the processor and a voltage regulator coupled to the processor, during a low power period according to a first voltage regulator control mode and a second voltage regulator control mode, and to control the voltage regulator based at least in part on the predicted energy usage. Other embodiments are described and claimed.07-03-2014
20140189403PERIODIC ACTIVITY ALIGNMENT - Methods and systems may provide for determining a latency constraint associated with a platform and determine an idle window based on the latency constraint. In addition, a plurality of devices on the platform may be instructed to cease one or more activities during the idle window. In one example, the platform is placed in a sleep state during the idle window.07-03-2014
20140189404ADAPTIVE THERMAL CONTROL OF ELECTRONIC DEVICES - An apparatus includes logic to control heat generation in a device. The device to operate ate leas in one of a first state and a second state, wherein the device to consume more power in the first state than in the second state. The device to connect to a network at least for a portion of time while in the second state. The logic to select a plurality of thermal control solutions to decrease the generation of heat in the device in the second state, the selected thermal control solution to be performed while the device is in the second state to reduce the generated heat to below a predetermined level.07-03-2014
20140189405APPARATUS AND METHOD TO MANAGE ENERGY USAGE OF A PROCESSOR - In an embodiment, a processor includes at least one processor core and power control logic having energy usage logic to predict an energy usage of the processor and a voltage regulator coupled to the processor, during a low power period according to a first voltage regulator control mode and a second voltage regulator control mode, and to control the voltage regulator based at least in part on the predicted energy usage. Other embodiments are described and claimed.07-03-2014
20140189406COMPUTER DEVICE AND METHOD OF POWER MANAGEMENT OF THE SAME - A method of power management of a computer device includes a number of steps. When a decision element determines that the computer device must enter a power saving mode, the decision element generates a power saving mode signal. When an embedded controller detects the power saving mode signal, the embedded controller determines whether an NFC transceiving module is sending or receiving information. If the NFC transceiving module is sending or receiving information, the embedded controller postpones entering into the power saving mode by the computer device until the NFC transceiving module finishes sending or receiving information.07-03-2014
20140189407DATA STORAGE DEVICE AND METHOD FOR OPERATING THE SAME - A data storage device and a method for operating the same are provided. In the data storage device and the method for operating the same, a predetermined number of memory chips are operated based on a usable power limitation when a power supply is supplied from a finite power supply source such as a battery, and as many memory chips as possible are operated in parallel. Accordingly, performance of the data storage device may be improved.07-03-2014
20140195835SYSTEM AND METHOD FOR PROVIDING POWER SAVINGS IN A PROCESSOR ENVIRONMENT - Particular embodiments described herein can offer a method that includes powering down a root port; initiating a first downstream cycle by a central processing unit (CPU) to the root port; identifying a power up activity for the CPU; and triggering an exit flow for a power state in conjunction with sending a second downstream cycle to the root port. In more particular embodiments, the triggering of the exit flow for the power state and the sending of the second downstream cycle to the root port occurs in a substantially parallel fashion. In addition, a prewake indicator can be sent to the root port to trigger the exit flow before the CPU is powered up and the second downstream cycle is sent.07-10-2014
20140195836METHODS AND APPARATUS FOR EFFICIENT SERVICE LAYER ASSISTANCE FOR MODEM SLEEP OPERATIONS - A method, an apparatus, and a computer program product for wireless communication are provided in connection with improving wireless device power consumption in an M2M environment. In an example, a service layer module equipped to obtain a network value indicating that a service layer transaction is complete and no additional activity associated with the service layer transaction is expected from a network entity, determine that no additional activity associated with the service layer transaction is expected from a wireless device application, generate a sleep mode value based on the reception of the network value and upon the determination, and provide the sleep mode value to a modem subsystem and/or an application subsystem indicating that it is allowed to enter a sleep mode. In an aspect, the sleep mode value may be provided using cross layer signaling between a service layer and modem processing layer.07-10-2014
20140195837ENHANCED DYNAMIC MEMORY MANAGEMENT WITH INTELLIGENT CURRENT/POWER CONSUMPTION MINIMIZATION - A low-power state current/power consumption for each volatile memory device in a plurality of volatile memory devices is obtained. Data is copied from a first set of the volatile memory devices to a second set of the volatile memory devices, where the second set of volatile memory devices has a lower current/power consumption than the first set of volatile memory devices. Additionally, a current/power consumption may be obtained for each memory bank within each of the plurality of volatile memory devices. Data is then copied from a first set of memory banks to a second set of memory banks within the same memory device in the second set of memory devices, where the second set of memory banks has lower current/power consumption than the first set of memory banks. The first set of volatile memory devices and/or first set of memory banks are then placed into a power-down state.07-10-2014
20140195838METHODS AND SYSTEMS FOR IMPLEMENTING WAKE-ON-LAN - Methods and systems for a device in a network are disclosed. The methods and systems compare priority data of the device to priority data of a set of other devices in the network. In addition, the methods and systems determine the device has a priority greater than or equal to a priority of each device in the set of other devices based, at least in part, on the comparison. The methods and systems also select the device as an elected device based, at least in part, on the priority determination. In addition, the methods and systems transmit, using the elected device, a Wake-On-LAN command.07-10-2014
20140195839METHOD FOR PERFORMING WAKE-UP EVENT MANAGEMENT, AND ASSOCIATED APPARATUS AND ASSOCIATED COMPUTER PROGRAM PRODUCT - A method and apparatus for performing wake-up event management and an associated computer program product are provided, where the method is applied to an electronic device. The method includes the steps of: classifying a plurality of wake-up events of the electronic device according to at least one predetermined rule, wherein a specific wake-up event of the plurality of wake-up events is classified to be a triggering event, and one or more other wake-up events of the plurality of wake-up events are classified to be grouping events; arranging the grouping events as a group corresponding to the triggering event by setting wake-up time of each of the grouping events to be equivalent to that of the triggering event, for triggering the grouping events by utilizing the triggering event; and when the wake-up time of the triggering event is reached, performing operations corresponding to the triggering event and the grouping events, respectively.07-10-2014
20140195840METHOD FOR POWER MANAGEMENT AND AN ELECTRONIC SYSTEM USING THE SAME - A power-management method is provided, and the power-management method includes setting a central processing unit in a first low-power state when receiving a second low-power state request requiring the central processing unit to enter the second low-power state, obtaining first idle periods of the peripheral modules respectively to determine a second idle period according to the first idle periods of the peripheral modules, determining whether the peripheral modules have not sent a data-access request during the second idle period, setting the central processing unit in the second low-power state when the peripheral modules have not sent the data-access request during the second idle period, wherein each first idle period is an interval period between two data transmissions of each peripheral module.07-10-2014
20140195841PORTABLE DEVICE AND METHOD FOR PROVIDING VOICE RECOGNITION SERVICE - A portable device and a method for controlling the device are disclosed. The portable device includes sensors configured to sense user inputs; a sensor hub configured to activate a main processor and control the sensors including a touch sensor, the touch sensor sensing touch inputs while the portable device is in a standby mode; and the main processor configured to execute an application and control the portable device, wherein, when the portable device is in the standby mode, the touch sensor is in an active state and at least one of the sensors except the touch sensor is in an inactive state, and when the touch sensor detects a first touch input which corresponds to a pre-stored pattern, the sensor hub activates the main processor and switches the portable device from the standby mode to an active mode.07-10-2014
20140195842SYSTEM COMPRISING A MAIN ELECTRICAL UNIT AND A PERIPHERAL ELECTRICAL UNIT - The invention relates to a system comprising a main electrical unit (07-10-2014
20140201551METHOD OF CHANGING OVER COMPUTER FROM POWER-ON STATE TO POWER-SAVING STATE AND COMPUTER - A power state controlling method is provided that balances quick resumption with reduction of power consumption. An upper limit value Pih and a lower limit value Pil of a power idle state are set for the magnitude of power consumption of a system. The power consumption of the system in a power-on state is calculated. The system changes over to a suspended state when a predetermined time elapses after the system changes over to the power idle state with the lowering of the power consumption.07-17-2014
20140201552SLEEP WAKE EVENT LOGGING - A machine implemented method includes creating a universally unique identifier, detecting a trigger of a sleep event, and associating the universally unique identifier with the sleep event. The method monitors sub-system events that occur after a sleep event has been triggered and stores data in non-volatile non-disk storage (e.g., non-boot storage) identifying an event stage corresponding to the sub-system event that is occurring. The method determines whether a wake event has completed and logs each of the sub-system events using the universally unique identifier until a wake event has completed.07-17-2014
20140215244DATA STORAGE DEVICE INCLUDING CURRENT DETECTOR - Provided is a data storage device including a current detector. The data storage device includes a plurality of memory devices, a detector, and a power manager. The detector detects a current inputted from a power source. The power manager manages consumption power of the plurality of memory devices according to a result of the detection provided from the detector.07-31-2014
20140215245SERDES FAST RETRAIN METHOD UPON EXITING POWER SAVING MODE - Systems and methods for reducing power consumption of systems using serialized data transmission. In a multi-node system, the reiterative steps for the setup of the lanes within links between the nodes produces both a time invariant set of parameters associated with the channel properties of the lanes and a time variant set of parameters associated with receiver clock alignment. The time invariant set is stored in persistent storage. Links may be turned on and turned off. When a link is turned on again, the stored time invariant set may be used as initial values to reconfigure both the time invariant and the time variant sets, thereby greatly reducing the delay to begin using the link again. The reduced delay may significantly speed up the wakening process for the links, thereby encouraging the use of low-power techniques that include tuning off lanes.07-31-2014
20140215246Ultra Low Power Actigraphy Based On Dynamic Threshold - A processor for an activity monitor for a user has a reduced power mode in which it does not process data samples from an accelerometer. A wake up circuit or logic evaluates an output from the accelerometer and determines whether the output exceeds a threshold, indicating a threshold amount of activity of the user. If the threshold is exceeded, the wake up circuit or logic causes the processor to enter an active mode. The processor evaluates samples of the accelerometer in an evaluation period and decides whether to continue in the active mode or return to the reduced power mode. If the user is deemed to be sufficiently active, the processor continues in the active mode. In another aspect, the threshold can be set based on an activity level of the user before the reduced power mode or sensor data such as ambient light level or skin temperature.07-31-2014
20140215247POWER SAVING OPERATING METHOD FOR AND ELECTRONIC DEVICE - A power-saving operating method for an electronic device is provided. A control chip of the electronic device has an interrupt pin, and the electronic device couples to a touch device through a connection port and the interrupt pin. When the touch device is idle for over an idle time, a BIOS is informed through the interrupt pin to disable the connection port. When a number of touch signals received from the touch device within a first predetermined time is not less than a first predetermined amount, the connection port is enabled. When the number of the touch signals received from the touch device within the first predetermined time is less than the first predetermined amount or none of the touch signal is received within the first predetermined time, a reading operation for reading the connection port is interrupted and the touch device enters a power-saving mode.07-31-2014
20140215248SPECULATIVE SYSTEM START-UP TO IMPROVE INITIAL END-USER INTERACTION RESPONSIVENESS - Methods and systems may include a human interface device (HID) and logic to place the HID in a blocked state in response to a request to power off the system. The logic can also use a speculative start-up heuristic to establish one or more subsequent operating states for the system while the HID is in the blocked state, wherein the background automatic state transitions may maximize battery life when a user is not present. In addition, the HID may be removed from the blocked state in response to a request to power on the system. Accordingly, the speculative start-up heuristic can make system “ready-to-use” before the user actually interacts with any inputs (e.g. power button, or touch screen) of the system.07-31-2014
20140215249ELECTRONIC APPARATUS AND POWER SAVING CONTROL METHOD - According to one embodiment, an electronic apparatus includes a first device, a first controller, and a second controller. The first controller transits the apparatus between a working state and a hibernation or stand-by state. The second controller shifts the first device from an ordinary operation mode to a power saving mode when a first condition is established while the apparatus is in the working state. The second controller shifts the first device to the power saving mode without waiting that the first condition is satisfied when the apparatus returns to the working state in which the first device is set to the ordinary operation mode after the apparatus shifted to the hibernation state or to the stand-by state in a state that the first device is in the power saving mode.07-31-2014
20140215250ELECTRONIC DEVICE AND POWER SAVING METHOD FOR ELECTRONIC DEVICE - A power saving method for an electronic device includes the following steps. The electronic device detects if an input operation is received by the electronic device. The electronic device enters a monitor mode when no input operation is detected within a predefined time. The electronic device determines if a facial feature in front of the electronic device is present while in the monitor mode with a camera. The electronic device enters a power saving mode when no facial feature is detected.07-31-2014
20140215251CONSERVING POWER BY REDUCING VOLTAGE SUPPLIED TO AN INSTRUCTION-PROCESSING PORTION OF A PROCESSOR - One embodiment of the present invention provides a system that facilitates reducing static power consumption of a processor. During operation, the system receives a signal indicating that instruction execution within the processor is to be temporarily halted. In response to this signal, the system halts an instruction-processing portion of the processor, and reduces the voltage supplied to the instruction-processing portion of the processor. Full voltage is maintained to a remaining portion of the processor, so that the remaining portion of the processor can continue to operate while the instruction-processing portion of the processor is in reduced power mode.07-31-2014
20140223212POWER MANAGEMENT CIRCUIT, POWER MANAGEMENT METHOD, AND COMPUTER SYSTEM - A power management circuit is provided. The power management circuit includes a power switch, a current/voltage detector, a current setting unit, and a control unit. The power switch is coupled to a power supply of the computer system. When the power switch is turned on, it supplies an output current and an output voltage of the power supply to an external device. The current/voltage detector detects the magnitudes of the output current and the output voltage. The current setting unit sets a plurality of current thresholds. When the computer system is in a power-saving state and when the output current is greater than a first current threshold and smaller than a second current threshold or the output voltage is smaller than a first voltage threshold and larger than a second voltage threshold, the control unit issues a notification signal to execute a predetermined operation on the power supply.08-07-2014
20140237276Method and Apparatus for Determining Tunable Parameters to Use in Power and Performance Management - Various method and apparatus embodiments for selecting tunable operating parameters in an integrated circuit (IC) are disclosed. In one embodiment, an IC includes a number of various functional blocks each having a local management circuit. The IC also includes a global management unit coupled to each of the functional blocks having a local management circuit. The management unit is configured to determine the operational state of the IC based on the respective operating states of each of the functional blocks. Responsive to determining the operational state of the IC, the management unit may provide indications of the same to the local management circuit of each of the functional blocks. The local management circuit for each of the functional blocks may select one or more tunable parameters based on the operational state determined by the management unit.08-21-2014
20140237277HYBRID PERFORMANCE SCALING OR SPEECH RECOGNITION - Aspects of the present disclosure describe methods and apparatuses for executing operations on a client device platform that is operating in a low-power state. A first analysis may be used to assign a first confidence score to a recorded non-tactile input. When the first confidence score is above a first threshold an intermediate-power state may be activated. A second more detailed analysis may then assign a second confidence score to the non-tactile input. When the second confidence score is above a second threshold, then the operation is initiated. It is emphasized that this abstract is provided to comply with the rules requiring an abstract that will allow a searcher or other reader to quickly ascertain the subject matter of the technical disclosure. It is submitted with the understanding that it will not be used to interpret or limit the scope or meaning of the claims.08-21-2014
20140237278CONTROLLING POWER MANAGEMENT IN MICRO-SERVERS - Systems and methods of enabling power management in a micro server include providing multiple cores, a power management module coupled to the cores, and one or more peripherals coupled to the power management module. The power management module may be configured to cause the one or more peripherals to delay operations based on determining that the cores are in a first power consumption state, and place the cores in a second power consumption state for a predetermined time period. The second power consumption state may consume less power than the first power consumption state. The power management module may cause the one or more peripherals to resume their operations based on expiration of the predetermined time period and may place the cores in a third power consumption state based on the expiration of the time period.08-21-2014
20140237279PRIORITY BASED APPLICATION EVENT CONTROL (PAEC) TO REDUCE POWER CONSUMPTION - Methods and apparatus relating to Priority Based Application Event Control (PAEC) to reduce application events are described. In one embodiment, PAEC may determine which applications (and their corresponding sub-system(s)) may cause a processor or platform to exit a low power consumption state. In an embodiment, PAEC may determine which applications (and their corresponding sub-system(s)) may resume operations after a processor or platform exit a low power consumption state. Other embodiments are also claimed and disclosed.08-21-2014
20140237280POWER SUPPLY SYSTEM AND CONTROL METHOD - A power supply system includes a plurality of power supply circuits connected to a common output node and a control unit that controls outputs of the plurality of power supply circuits such that an output value at the output node follows an output target value at the output node. The control unit is configured to change the output of part of the plurality of power supply circuits when there is a deviation smaller than or equal to a predetermined value between the output value and the output target value.08-21-2014
20140237281DATA PROCESSING SYSTEM - A data processing apparatus is provided comprising first processing circuitry, second processing circuitry and shared processing circuitry. The first processing circuitry and second processing circuitry are configured to operate in different first and second power domains respectively and the shared processing circuitry is configured to operate in a shared power domain. The data processing apparatus forms a uni-processing environment for executing a single instruction stream in which either the first processing circuitry and the shared processing circuitry operate together to execute the instruction stream or the second processing circuitry and the shared processing circuitry operate together to execute the single instruction stream. Execution flow transfer circuitry is provided for transferring at least one bit of processing-state restoration information between the two hybrid processing units.08-21-2014
20140237282USB PERIPHERAL AND METHOD OF REDUCING TRANSMISSION POWER THEREOF - Disclosed are a USB peripheral apparatus capable of reducing transmission power of a transmission terminal circuit by significantly increasing resistance values of terminations provided at the transmission terminal circuit and a reception terminal circuit as compared with a specific impedance value of a transmission line, and a transmission power reduction method thereof.08-21-2014
20140245040Systems and Methods for Managing Data in a System for Hibernation States - The present application is directed to systems and methods for managing data in a system for hibernation states. In one implementation, a memory device comprises a controller memory, a main memory, a buffer to the main memory and a controller comprising a processor. The processor is configured to manage data storage in conjunction with hibernation of the memory device. The processor is in communication with the controller memory, the main memory and the buffer, and is configured to read data from the controller memory; write at least a portion of the data read from the controller memory into the buffer prior to the memory device entering a hibernation state; and after writing the at least a portion of the data read from the controller memory into the buffer and prior to the memory device entering the hibernation state, reduce an amount of power provided to the buffer of the to a reduced power level.08-28-2014
20140245041APPARATUS FOR HIBERNATION CONTROL IN A DEVICE - Apparatus and algorithms to balance power savings and convenience to users of electronic devices based on usage patterns, charging and battery efficiency and capacity, and users' subscriptions.08-28-2014
20140245042METHOD FOR HIBERNATION CONTROL BASED ON BATTERY CAPACITY - Apparatus and algorithms to balance power savings and convenience to users of electronic devices based on usage patterns, charging and battery efficiency and capacity, and users' subscriptions.08-28-2014
20140245043METHOD FOR HIBERNATION CONTROL BASED ON CHARGING EFFICIENCY - Apparatus and algorithms to balance power savings and convenience to users of electronic devices based on usage patterns, charging and battery efficiency and capacity, and users' subscriptions.08-28-2014
20140245044METHOD FOR HIBERNATION CONTROL BASED ON USAGE PATTERN - Apparatus and algorithms to balance power savings and convenience to users of electronic devices based on usage patterns, charging and battery efficiency and capacity, and users' subscriptions.08-28-2014
20140245045CONTROL DEVICE AND COMPUTER PROGRAM PRODUCT - According to an embodiment, a control device includes a processor setting unit, a resumption data reading unit, and a resumption processing unit. The processor setting unit is configured to identify, among a plurality of processors included in an information processing system, each of which is connected to one or more memories, a processor connected to a memory storing resumption data for resuming the information processing system and to activate the identified processor, in response to a resumption request for resuming the information processing system from hibernation. The information processing system includes two or more processors each connected with one or more memories. The resumption data reading unit is configured to read the resumption data from the memory that stores the resumption data. The resumption processing unit is configured to resume the information processing system by using the read resumption data.08-28-2014
20140245046COMMUNICATION NODE - When an ECU serving as a communication node is under sleep mode, a switch portion in a transceiver connects a data transmit port of a microcomputer to a memory controller. The microcomputer sends, to the memory controller, a code write-in request for writing a code while outputting a write data that is written as the code. The data transmit port, which is to output a communication data, is commonly used in order to output the write-in request and the write data; this suppresses the increase in the number of connection lines between the microcomputer and the transceiver and the increase in the number of ports of the microcomputer.08-28-2014
20140245047INFORMATION PROCESSING APPARATUS, OPERATION STATE CONTROL METHOD, AND COMPUTER PROGRAM PRODUCT - According to an embodiment, an information processing apparatus that includes a processor, has a first control unit, a power storage unit, and a second control unit. The first control unit is configured to control execution of a process by the processor. The power storage unit is configured to store therein power. The second control unit is configured to control reduction of power consumption of the information processing apparatus in a case where there is a process waiting to be executed and an amount of stored power of the power storage unit is equal to or less than a first threshold.08-28-2014
20140245048LSI AND INFORMATION PROCESSING SYSTEM - The controller LSI is connected to an SPI flash memory having a deep power down mode (DPM), and brings the SPI flash memory to the DPM and then brings itself to low power consumption mode (LPM) that volatilizes data in a RAM. This invention solves the problem that the controller LSI cannot release the peripheral device from the DPM upon returning from the LPM due to the volatilization of the data. The controller LSI includes a CPU, the RAM, and an SPI control unit transmitting an SPI command to the flash memory. The SPI command includes a power down command to bring the flash memory into DPM and a release command to release it from the DPM. Upon returning from the LPM, the controller LSI causes the control unit to transmit a release command to the flash memory irrespective of whether it is in DPM or normal mode.08-28-2014
20140245049ELECTRONIC CONTROL DEVICE AND MICROCOMPUTER CONTROL METHOD - Provided are an electronic control device and a microcomputer control method not only enabling smooth transmission and reception of signals by using a plurality of microcomputers but also capable of achieving, with a simpler configuration, reduction of power consumed by the microcomputers. As a mode for reducing power consumption, the electronic control device brings microcomputers from which a sleep request has been issued into a sleep state. The electronic control device is equipped with a low power consumption mode for bringing a communication bus driver into the sleep state after all microcomputers constituting the electronic control device have been transferred into the sleep state.08-28-2014
20140250315STORAGE SYSTEM DATA HARDENING - A bridge receives a power down command and in response converts the power down command to a data hardening command. The bridge issues the data hardening command to a solid state disk. In response to the data hardening command, data stored on the solid state disk is hardened. The hardening comprises writing data in volatile memory to non-volatile memory. The data that is hardened comprises user data and protected data. The data hardening command optionally comprises one or more of a flush cache command, a sleep command, and a standby immediate command.09-04-2014
20140258754Reducing Power Consumption During Graphics Rendering - In accordance with some embodiments, the knowledge that a capped frame time is used can be exploited to reduce power consumption. Generally a capped frame time is a pre-allocated amount of time to apply power for rendering in graphics processing. Generally the frame time involves the application of power and some down time in which only idle power is applied pending the next frame time. By making better use of that down time, power consumption reductions can be achieved in some embodiments.09-11-2014
20140258755STORAGE DEVICE POWER FAILURE INFRASTRUCTURE - A power fail protection system wherein pluralities of individual energy storage components are electrically connected to one or more SSD drives during a power failure though a power switch matrix. Typically an individual high-energy supply will be connected to one SSD drive during a power failure. The power fail protection system may also test the transient energy response of individual energy storage components, or include an imminent power fail warning connected directly to an SSD drive interface. Some embodiments further provide for identifying, reporting, and replacing weak energy storage components. High-energy supplies may be modular, or hot swappable.09-11-2014
20140258756METHOD AND APPARATUS FOR OPERATING POWER SAVING MODE OF TERMINAL - Disclosed is a method of operating a power saving mode of a terminal, the method including setting at least one power saving display object to be displayed in the power saving mode; configuring a power saving display object DataBase (DB) including information corresponding to the at least one power saving display object, and updating the information corresponding to the at least one power saving display object; beginning an operation of the power saving mode; and displaying the at least one power saving display object in response to a request for a display operation of the at least one power saving display object.09-11-2014
20140258757Methods And Apparatuses For Reducing Power Consumption Of Processor Switch Operations - Methods and apparatuses for reducing power consumption of processor switch operations are disclosed. One or more embodiments may comprise specifying a subset of registers or state storage elements to be involved in a register or state storage operation, performing the register or state storage operation, and performing a switch operation. The embodiments may minimize the number of registers or state storage elements involved with the standby operation by specifying only the subset of registers or state storage elements, which may involve considerably fewer than the total number of registers or state storage or elements of the processor. The switch operation may be switch from one mode to another, such as a transition to or from a sleep mode, a context switch, or the execution of various types of instructions.09-11-2014
20140281615TECHNIQUES FOR POWER SAVING ON GRAPHICS-RELATED WORKLOADS - Various embodiments are generally directed to an apparatus, method and other techniques for monitoring a task of a graphics processing unit (GPU) by a graphics driver, determining if the task is complete, determining an average task completion time for the task if the task is not complete and enabling a sleep state for a processing circuit for a sleep state time if the average task completion time is greater than the sleep state time.09-18-2014
20140281616PLATFORM AGNOSTIC POWER MANAGEMENT - In an embodiment, a processor includes at least one functional block and a central power controller. The at least one functional block may include at least one block component and block power logic. The block power logic may be to: receive a first request to initiate a first reduced power mode in the at least one functional block, and in response to the first request, send a notification signal to a central power controller. The central power controller may be to, in response to the notification signal: store a first state of the at least one functional block, and initiate the first reduced power mode in the at least one functional block. Other embodiments are described and claimed.09-18-2014
20140281617PREVENTING SLEEP MODE FOR DEVICES BASED ON SENSOR INPUTS - A data processing device may receive, from an application running on the data processing device, an indication that one or more sensors may be associated with a user input for the application. Based on sensing information collected from the one or more sensors, a characteristic of the user input may be determined by the data processing device. The data processing device may refrain from entering a sleep mode if the characteristic of the user input matches a specific characteristic condition specified by the application. The data processing device may allow entering the sleep mode if the characteristic of the user input does not match the specific characteristic condition for a specific timeout period specified by the application. The data processing device may receive the indication, information on the specific characteristic condition and/or information on the specific timeout period via invoking, by the application, one or more application programming interfaces (APIs).09-18-2014
20140281618Systems And Methods For Providing Auxiliary Reserve Current For Powering Information Handling Sytems - Systems and methods are disclosed for providing auxiliary reserve current to power a system load of an information handing system using an auxiliary energy storage power source as an energy cache to selectably provide auxiliary reserve current to at least partially supplement the normal operating power supply (e.g., battery pack, AC adapter, AC/DC power source, etc.) of an information handling system during temporary times of increased current need by the system load of the information handling system.09-18-2014
20140281619SYSTEMS AND METHODS FOR MODIFYING POWER STATES IN A VIRTUAL ENVIRONMENT - Systems, methods, and software are described herein for operating a power management system including identifying a virtual machine load in a virtual machine environment, identifying a power state for at least one real machine in the virtual machine environment based on the virtual machine load, and modifying the power state for the at least one real machine.09-18-2014
20140281620Control System for Power Control - A power control system for saving power by powering on enough application servers to satisfy the current load workload as well as any required reserve capacity based on administrative settings is disclosed. As the load increases, more servers are powered on. As the load decreases some servers are powered off. The power control system provides a reasonable end user experience at the least cost based on power consumption of the servers.09-18-2014
20140281621STEALTH POWER MANAGEMENT IN STORAGE ARRAY - Increased power savings is provided by powering down idle storage devices and emulating storage device responses to host requests which do not require data access. A virtual target emulates the hard disk drive, has information associated with the hard disk drive, and provides information in response to host requests to satisfy the host. For host requests that do require data access, the storage device is powered on and storage device control is provided to the host. If the storage device is not ready to communicate with a host, a virtual target communicates with the host to emulate the storage device and prevent the host from timing out while the storage device is powering up to get ready.09-18-2014
20140281622METHOD, APPARATUS, AND SYSTEM FOR IMPROVING RESUME TIMES FOR ROOT PORTS AND ROOT PORT INTEGRATED ENDPOINTS - A device is determined to be in a low power state. A transition from the low power state to an active state is initiated, where a fixed minimum recovery time is defined for transitions from the low power state to the active state. A capability of the device is identified corresponding to transition of the device from the low power state to the active state, and the transition of the device from the low power state to the active state is completed based at least in part on the capability, such that the transition is to be completed prior to expiration of the fixed minimum recovery time.09-18-2014
20140281623WIRELESS DEVICE INCLUDING SYSTEM-ON-A-CHIP HAVING LOW POWER CONSUMPTION - A wireless station is disclosed that may quickly enter and/or exit a sleep state and that may reduce power consumption associated with waking up from the sleep state to perform selected low power operations by performing such operations using a set of first instructions stored within an internal memory of the station's processor. If more complex operations such as processing downlink data received from an access point are subsequently desired, then the processor may jump to execution of a set of second instructions stored in an external memory that is coupled to the processor.09-18-2014
20140281624Power Management Device - A power management device is adapted to reduce power consumption, particularly in battery-powered applications such as within a node in a utility network (e.g., in a gas, water, or other utility application). In one example, a low-current voltage regulator provides power to a processor during low-power “sleep” states. A high-current voltage regulator provides power to the processor, metrology devices and/or a radio during “awake” states. A buck-boost device may provide power to a transmitter during radio frequency (RF) transmissions. A max device may determine a greater of voltages output by a battery and the buck-boost device, and use the higher to power the high-current voltage regulator. The power management device may include a state machine, which may include several states and operations to perform within each state. In one state, the processor enters a sleep state prior to recovery of battery voltage after a transmission state.09-18-2014
20140281625Storing System Data During Low Power Mode Operation - Apparatus and method for operating a device in a low power mode. In accordance with some embodiments, the apparatus comprises a memory and a system on chip (SOC) integrated circuit. The SOC has a first region with a processing core and a second region electrically isolated from the first region as an always on domain power island with a power control block. In response to a sleep command, the processing core transfers system data to the memory and the power control block enters a low power mode in which no electrical power is supplied to the first region. In response to a wake up command, power is restored to the first region and the processing core performs a reinitialization operation responsive to status information communicated by the power control block indicative of a state of the system during the low power mode.09-18-2014
20140281626PHY Based Wake Up From Low Power Mode Operation - Apparatus and method for supplying electrical power to a device. A system on chip (SOC) integrated circuit includes a first region having a processing core and a second region characterized as an always on domain (AOD) power island having a power control block with an energy detector coupled to a host input line. First and second power supply modules respectively supply power to the first and second regions. The second power supply module includes a main switch between the first power supply module and a host input voltage terminal. The power control block opens the main switch to enter a low power mode during which no power is supplied to the first region, and the power control block closes the main switch to resume application of power to the first region responsive to the energy detector detecting electrical energy on the host input line.09-18-2014
20140281627Device Sleep Partitioning and Keys - A data storage device includes a device sleep state pin and device sleep state logic to allow the data storage device to store security keys and necessary device sleep state logic together in a volatile logical data storage element. The volatile logical data storage element may be on-chip or off-chip. Device sleep state logic parameters for powering down PHYs while in a device sleep state determine the power characteristics of the device sleep state.09-18-2014
20140281628Always-On Low-Power Keyword spotting - The invention relates to an electronic device that includes a wake-up system that operates at a substantially low power level and is applied to wake up the electronic device from a sleep mode. The wake-up system comprises a sound transducer that converts a received sound signal to an electrical signal and a keyword detection logic that preliminarily identifies a speech energy profile that corresponds to at least one of a plurality of keywords in a part of the electrical signal. In some embodiments, a keyword finder is further activated to identify with an enhanced accuracy whether the at least one keyword exists in the part of the electrical signal, and generates a wake-up control to activate a host of the electronic device from its sleep mode.09-18-2014
20140281629POWER MANAGEMENT FOR A COMPUTER SYSTEM - Embodiments include a method for managing power in a computer system including a main processor and an active memory device including powered units, the active memory device in communication with the main processor by a memory link, the powered units including a processing element. The method includes the main processor executing a program on a program thread, encountering a first section of code to be executed by the active memory device, changing, by a first command, a power state of a powered unit on the active memory device based on the main processor encountering the first section of code, the first command including a store command. The method also includes the processing element executing the first section of code at a second time, changing a power state of the main processor from a power use state to a power saving state based on the processing element executing the first section.09-18-2014
20140281630ELECTRONIC DEVICE WITH TWO DRIVING STRUCTURES - An electronic device with two driving structures is capable of switching between a standby state and a working state. The electronic device includes a power supply, a processor and a driving circuit. The power supply is capable of outputting a first driving voltage or a second driving voltage. The processor detects whether the power supply outputs a first driving voltage and whether the electronic device receives a power-on instruction for powering on the electronic device. The processor outputs different controlling signals to control the driving circuit to output different voltages. The processor works in different states of different driving structures based on the received voltage outputted by the driving circuit.09-18-2014
20140281631ELECTRONIC DEVICE, POWER CONTROL METHOD AND STORAGE MEDIUM STORING PROGRAM THEREOF - In an electronic device, a first sensor detects a detection target on a first region. A second sensor detects a detection target on a second region. A third sensor detects a detection target on a third region. A power control unit turns on the second sensor in a case where the first sensor detects the detection target on the first region. The power control unit turns on the third sensor and turns off the first sensor in a case where the second sensor detects the detection target on the second region.09-18-2014
20140281632ELECTRONIC APPARATUS THAT MEASURES POWER DURING POWER SAVING STATE, METHOD OF CONTROLLING THE SAME, AND STORAGE MEDIUM - An electronic apparatus capable of accurately measuring an amount of electric power consumed during a power saving state while reducing an amount of electric power necessary for electric power measurement in the power saving state to a minimum. The apparatus is equipped with a power saving function for switching an operation mode between a normal state and a power saving state. A power measurement section measures a power of the image forming apparatus. A controller manages the power of apparatus based on the power measured by the power measurement section. When it is determined that the operation mode is the power saving state, the power measurement section stores the measured power without sending the same to the controller.09-18-2014
20140281633Magnet Key - A device for affecting a sleep mode of an electronic device includes an elongate member and a magnet. The magnet is disposed within the elongate member, and the elongate member and the magnet are shaped and sized to activate a magnetic sleep sensor of the electronic device in response to the magnet being placed in proximity of the magnetic sleep sensor. A system for affecting a sleep mode of an electronic device includes a housing to receive the electronic device, and a device for activating a sleep mode of the electronic device. The housing has an aperture, and the device has a magnet. The device is configured to activate a magnetic sleep sensor on the electronic device.09-18-2014
20140281634CONTROLLING POWER SUPPLY UNIT POWER CONSUMPTION DURING IDLE STATE - Methods and apparatus relating to controlling power consumption by a Power Supply Unit (PSU) during idle state are described. In one embodiment, a power supply unit enters a lower power consumption state (e.g. S9) based on power state information, corresponding to one or more components of the platform, and comparison of a first value (corresponding to a frequency/frequentness of entry into the lower power consumption state) to a first threshold value. Other embodiments are also disclosed and claimed.09-18-2014
20140281635REDUCING POWER CONSUMPTION DURING IDLE STATE - Methods and apparatus relating to power consumption reduction during idle state(s) are described. In one embodiment, logic transfers control of a power state of a device to one or more general purpose input output signals. The logic generates a signal to control the power state of the device via a switch. Also, the logic generates the signal, at least in part, based on the one or more general purpose input output signals and a control enable signal. Other embodiments are also claimed and disclosed.09-18-2014
20140281636MOBILE SYSTEMS WITH SEAMLESS TRANSITION BY ACTIVATING SECOND SUBSYSTEM TO CONTINUE OPERATION OF APPLICATION EXECUTED BY FIRST SUBSYSTEM AS IT ENTERS SLEEP MODE - A computer system includes two or more subsystems. In one example, a first subsystem is executing a multimedia application using data stored in a first storage device. A copy of the data is also stored in a second storage device associated with a second subsystem. The second subsystem may be a dedicated multimedia player controller. When the first subsystem is to enter a sleep state, the second subsystem may continue to process the multimedia data stored in the second storage device. The second subsystem may also use the same audio port that the first subsystem was using before it enters the sleep state. Appropriate transition point may be determined by the second subsystem to ease audio disruption.09-18-2014
20140289546POWER MANAGEMENT USING REWARD-BASED SLEEP STATE SELECTION - Systems and methods may provide for conducting a reward determination for a plurality of sleep states to obtain a plurality of reward determinations with respect to a device. In addition, a sleep state may be selected for the device from the plurality of sleep states based at least in part on the plurality of reward determinations. In one example, false entry and missed opportunity probabilities may be determined for stochastic interrupts, wherein the reward determination is conducted based at least in part on the false entry and missed opportunity probabilities.09-25-2014
20140289547MICROCONTROLLER AND METHOD OF CONTROLLING THE SAME - A microcontroller includes a CPU (Central Processing Unit), a data input unit, and an oscillator that supplies a clock signal in response to operational modes of the microcontroller. The operational modes include a STOP mode, a SNOOZE mode and a RUN mode, in the STOP mode, the oscillator and the CPU are stopped, in the RUN mode, the CPU and the data input unit operate using the clock signal supplied from the oscillator, and in the SNOOZE mode, the oscillator starts and supplies the clock signal to the data input unit when the data input unit receives first data, and the microcontroller switches to the RUN mode after the data input unit receives second data using the clock signal.09-25-2014
20140289548METHOD OF FORMING A DETECTION CIRCUIT AND STRUCTURE THEREFOR - In one embodiment, a power supply controller is configured to use a current to detect two different operating conditions on a single input terminal.09-25-2014
20140298059ELECTRONIC APPARATUS AND ASSOCIATED POWER MANAGEMENT METHOD - An electronic apparatus is provided. The electronic apparatus includes a dynamic random access memory (DRAM), a power integrated circuit (IC), and a central processing unit (CPU). When a standby mode of the electronic apparatus is set to a fast reboot mode, the CPU stops providing a clock signal to the DRAM and controls the power IC to continuously supplying power to the DRAM, so that the DRAM enters a self-refresh mode.10-02-2014
20140298060ASYMMETRIC MULTI-CORE PROCESSOR WITH NATIVE SWITCHING MECHANISM - A processor includes first and second processing cores configured to support first and second respective subsets of features of its instruction set architecture (ISA) feature set. The first subset is less than all the features of the ISA feature set. The first and second subsets are different but their union is all the features of the ISA feature set. The first core detects a thread, while being executed by the first core rather than by the second core, attempted to employ a feature not in the first subset and, in response, to indicate a switch from the first core to the second core to execute the thread. The unsupported feature may be an unsupported instruction or operating mode. A switch may also be made if the lower performance/power core is being over-utilized or the higher performance/power core is being under-utilized.10-02-2014
20140298061POWER CONTROL IN A DISPERSED STORAGE NETWORK - A method begins by a dispersed storage (DS) processing module of a dispersed storage network (DSN) receiving a plurality of data access requests regarding a plurality of data objects. As individual data access requests of the plurality of data access requests are received, the method continues with the DS processing module, for each of the individual data access requests identifying a corresponding one of a plurality of logical storage pools of the DSN and determining power based access status of the corresponding one of the plurality of logical storage pools. When the power based access status is power saving mode, the method continues with the DS processing module queuing the individual data access request. When the power based access status is not in the power saving mode, the method continues with the DS processing module executing the individual data access request.10-02-2014
20140298062ELECTRONIC DEVICE HAVING COVER - An electronic device having a cover includes a cover unit rotated about one side of the electronic device and having a magnet member; a magnetic body mounted within the electronic device and magnetized by the magnet member; a sensor unit provided close to the magnetic body to sense a magnetic flux generated by the magnetic body; and a controller which executes a user experience according to a signal output of the sensor unit.10-02-2014
20140298063BATTERY DEVICE AND ENERGY STORAGE SYSTEM INCLUDING THE SAME - Provided is an energy storage system including: a battery device that is configured from multiple battery unit modules; and a power converting system that is configured to be connected to the battery device and that converts electric power that is applied between an electric power system and the battery device, in which the battery device includes multiple switches, each of which establishes a connection between each of the battery unit modules and the power converting system.10-02-2014
20140298064ELECTRONIC SYSTEM WITH AUTO POWER-OFF FUNCTION AND OPERATING METHOD THEREOF - An electronic system with auto power-off function including a power source, an electronic device, a detection unit, and a processing unit is disclosed. The power source is used to provide the power need to operate the electronic system. The detection unit is used to detect a plurality of raw data on the electronic device. The processing unit is coupled to the detection unit and the power and used to determine whether a raw data changing region on the electronic device is larger than a threshold area according to the plurality of raw data and to selectively shut down the power source.10-02-2014
20140298065MOBILE TERMINAL AND DISPLAY PANEL DRIVER - A display device includes a boosting power supply circuit, a logic circuit and a charge transport path. The boosting power supply circuit generates a boosted power supply voltage by boosting an analog power supply voltage. The logic circuit is responsive to a decrease in a voltage level on at least one of power supply lines to which analog and logic power supply voltages are supplied for controlling a source line drive circuitry and a gate line drive circuitry to discharge charges accumulated in the display panel. The charge transport path is configured to transport charges from a power supply line on which the boosted power supply voltage is generated to a power supply line which supplies an internal logic power supply voltage to the logic circuit in response to the decrease in the voltage level on the at least one of the first and second power supply lines.10-02-2014
20140298066SYSTEM AND METHOD FOR SECURELY WAKING A COMPUTER SYSTEM OVER A NETWORK - System and method for securely waking a computer system over a network. A registration message may be received by a server from a network interface controller (NIC) in a first computer system over a network. The first computer system may be in a sleep mode. The first computer system may be registered by the server: identification information for the first computer system may be stored in a memory. A wakeup message may be received from a second computer system over the network. The wakeup message may include information identifying the first computer system and authentication information. The wakeup message may be sent to the first computer system over the network. The wakeup message may indicate to the NIC to wake up the first computer system. The authentication information may be authenticated by either or both of the server or the NIC prior to waking up the first computer system.10-02-2014
20140304537METHOD AND APPARATUS FOR MITIGATING EFFECTS OF MEMORY SCRUB OPERATIONS ON IDLE TIME POWER SAVINGS MODES - An approach for saving power in a memory subsystem that uses memory access idle timer to enable low power mode and memory scrub operation within computing system has been provided. The computing system determines that a memory subsystem is switched out of low power operation mode due to a memory scrub operation. In addition, the computing system bypasses the low power operation mode of an idle timer of the memory subsystem such that the memory subsystem is returned to the low power operation mode upon completion of the memory scrub operation. The computing system further sets a scrub flag of the memory subsystem to a high state, and clears the scrub flag to a low state to track if the idle timer should be bypassed.10-09-2014
20140304538METHOD AND DEVICE FOR PROLONGING SLEEPING TIME OF CPU - This application discloses a method for prolonging sleeping time of CPU. After CPU enters sleeping state, interrupt controller delays reporting deferrable external interrupts to the CPU, with the delay time set or as default. This application also provides a device corresponding to the method. This application can prolong the sleeping time of CPU in all kinds of intelligent electronic devices, and make the CPU enter a deep sleeping mode, thereby reducing power consumption substantially without affecting system performance.10-09-2014
20140304539INFORMATION PROCESSING APPARATUS AND POWER SUPPLY CONTROL METHOD - In standby mode, memory contents are saved to a hard disk. After AC power has been removed by disconnecting the AC plug, when the AC power is restored the data saved on the hard disk is automatically restored into memory to set the power-saving mode back to the standby mode. When the power is turned on next, quick resumption from standby mode can be accomplished.10-09-2014
20140304540OPERATING SYSTEM INDEPENDENT NETWORK EVENT HANDLING - Methods and apparatuses for re-instantiating a firmware environment that includes one or more firmware functions available at pre-boot time when transitioning the computing device from a wake state to a sleep state. A network event received by the computing device while in a sleep state may be handled by the firmware environment independent of the operating system and without returning the entire computing device to the wake state.10-09-2014
20140310550PCIE DEVICE POWER STATE CONTROL - An apparatus, system, and method, the method including receiving an indication of a idle state capability of a platform connected device; determining, by a chipset, an idle power state compatible with the device; and directing the device to enter the determined idle power state based on a power state of the chipset.10-16-2014
20140310551SYSTEM WAKEUP ON WIRELESS NETWORK MESSAGES - While an information handling device is in a reduced power state, the information handling device transitions from the reduced power state to a higher power state in response to receiving a message over an established wireless network connection that maintains a presence on a wireless network. In turn, the information handling device processes the message accordingly in the higher power state.10-16-2014
20140317428Pre-processing Operation Method and Related Electronic Device - A pre-processing operation method for an electronic device with a touch panel, includes detecting a hovering event according a pre-processing condition of an application service; and entering into a standby mode of the application service and performing a pre-processing process after the hovering event conformed to the pre-processing condition is detected10-23-2014
20140317429ELECTRONIC DEVICE AND METHOD FOR RESUMING FROM HIBERNATION FOR THE SAME - An electronic device and method for resuming from hibernation, the electronic device has a light sensing component and a tilt sensing component. When the electronic device is in the hibernation status, external light of electronic device is detected via the light sensing component for attaining light source data, the tilt angle of the electronic device against the horizontal plane is detected via the tilt sensing component for attaining tilt data. Thus, when it is determined that the light source data and the tilt data satisfy a predetermined resuming condition, the electronic device resumes from the hibernation status. With the present invention, when a user is going to operate electronic device, and the user only needs to hold electronic device and the electronic device resumes from the hibernation status and is ready to use without extra trigger actions required.10-23-2014
20140317430METHOD, APPARATUS, AND SYSTEM FOR ENERGY EFFICIENCY AND ENERGY CONSERVATION INCLUDING OPTIMIZING C-STATE SELECTION UNDER VARIABLE WAKEUP RATES - A processor may include power management techniques to, dynamically, chose an optimal C-state for the processing core. The measurement of real workloads on the OSes exhibit two important observations (1) the bursts of high interrupt rate are interspersed between the low interrupt rate periods and long periods of high activity levels; and (2) the interrupt rate may, suddenly, fall below an interrupt rate (of 1 milli-second, for example) that is typical of the current operating systems (OS). Instead of determining the C-state based on the stale data stored in the counters, the power control logic may determine an optimal C-state by overriding the C-state determined by the OS or any other power monitoring logic. The power control logic may, dynamically, determine an optimal C-state based on the CPU idle residency times and variable rate wakeup events to match the expected wakeup event rate.10-23-2014
20140325249SEMICONDUCTOR DEVICE - To reduce power consumption of a processing device including a processor and a main memory in the processor. The main memory includes not only a volatile memory such as a DRAM but also a nonvolatile memory. The processor monitors access requirements to the main memory. The processor determines on the basis of the monitoring results whether the volatile memory or the nonvolatile memory operates mainly. In the case where the main memory changes from the volatile memory to the nonvolatile memory, part or all of data stored in the volatile memory is backed up to the nonvolatile memory. While the nonvolatile memory operates mainly, supply of power supply voltage to the volatile memory is stopped or power supply voltage to be supplied is lowered.10-30-2014
20140331068SYSTEM AND METHOD FOR MANAGING POWER SUPPLY UNITS - A system for controlling a plurality of power supply units to provide power to a server, includes a priority level definition module and a control module. The priority level definition module defines priority level for each one of the plurality of power supply units. The control module prior power on part of plurality of power supply units, which have high level priority, to provide power to the server.11-06-2014
20140337649Memory Power Savings in Idle Display Case - In an embodiment, a system includes a memory controller that includes a memory cache and a display controller configured to control a display. The system may be configured to detect that the images being displayed are essentially static, and may be configured to cause the display controller to request allocation in the memory cache for source frame buffer data. In some embodiments, the system may also alter power management configuration in the memory cache to prevent the memory cache from shutting down or reducing its effective size during the idle screen case, so that the frame buffer data may remain cached. During times that the display is dynamically changing, the frame buffer data may not be cached in the memory cache and the power management configuration may permit the shutting down/size reduction in the memory cache.11-13-2014
20140337650System and Method for Power Management in a Multiple-Initiator Storage System - The disclosure is directed to a system and method for managing a plurality of storage devices. In an embodiment, at least one enclosure is configured to contain or support a plurality of storage devices accessible by a plurality of initiators. The enclosure further includes or is coupled to a power management controller in communication with the plurality of storage devices. The power management controller is configured to switch one or more storage devices of the plurality of storage devices from a first activity state to a second activity state when the one or more storage devices receive less than a selected number of data transfer requests over a specified time interval, where the one or more storage devices consume less power in the second activity state than in the first activity state.11-13-2014
20140337651Electronic Apparatus - An electronic apparatus including a plurality of sensors, an application processor, and a micro-processor is provided. The plurality of sensors is configured to generate at least one sensing signal. The application processor is configured to execute an application procedure according to a sensing-merged signal. The micro-processor is coupled between the plurality of sensors and the application processor, and is configured to generate the sensing-merged signal according to the at least one sensing signal. By utilizing the electronic apparatus, not only power can be saved, but also the elasticity for choosing sensor chip vendors can be improved.11-13-2014
20140337652ELECTRONIC DEVICE - An electronic device is capable of switching between a standby state and a working state. The electronic device includes a power management module, a key module, a detection module, a processor, and a trigger module. When the electronic device is in the standby state, the power management stops outputting a working voltage to the detection module and the detection module is disabled. When the electronic device switches from the standby state into the working state by operating the key module, the trigger module generates a trigger signal. The processor controls the power management module to output the working voltage to the detection module in response to the trigger signal. The detection module detects the pressed key voltage of the key module and generates a pressed signal for controlling the processor to execute a corresponding function.11-13-2014
20140337653REACTIVE POWER SUPPLY - Embodiments are directed to a reactive power supply circuit comprising: a capacitor configured to provide an output of the power supply circuit based on power received from a power source, a processor configured to control the output based on at least one of: a state of a first switch that selectively couples the capacitor to the power source based on a detected level of the output, and a state of at least one second switch that selectively couples the capacitor to the power source based on a determination of a state of one or more loads coupled to the output.11-13-2014
20140337654Method and Apparatus for Controlling Standby Operation - A method and an apparatus for controlling a standby operation are provided that relate to the field of communications technologies. The method for controlling a standby operation includes: performing user detection within a preset range when it is detected that no operation instruction exists within a first preset time; and determining whether a user can be detected within a second preset time and performing a standby operation according to a determination result. According to the present invention, a corresponding standby operation is performed if a user is not using a device, thereby improving user experience.11-13-2014
20140344599Method and System for Power Management - Embodiments described herein include a method for power management. In an embodiment, the method includes responsive to a determination that an idle time has exceeded a threshold, transitioning a device to an intermediate power state in which a predetermined processing module of the device is powered down, the idle time being a time since a last wakeup event, and determining whether to transition the device from the intermediate power state to a substantially powered down state.11-20-2014
20140344600Multiphase Voltage Regulator Using Coupled Inductors - In response to a condition not being met, asserting a control input of a driver to close a low side switch in a phase leg of a multiphase voltage regulator using coupled inductors, de-asserting the control input in response to a signal to close a high side switch of the phase leg, and asserting the control input in response to a signal to open the high side switch; and in response to the condition not being met, de-asserting the control input, asserting the control input in response to a signal to close a high side switch of another phase leg, and de-asserting the control input in response to a signal to open the high side switch of the other phase leg and to the current in the phase leg being less than a threshold.11-20-2014
20140344601RECTIFYING-AND-MODULATING CIRCUIT AND WIRELESS POWER RECEIVER INCORPORATING THE SAME - A wireless power receiver includes a power receiving circuit wirelessly receiving power transmitted from a wireless power transmitter so as to generate an induced current, and a rectifying-and-modulating circuit including first to eighth switches and a control unit. The control unit is operable to control operation of each of the first to eighth switches between conduction and non-conduction. Accordingly, the first to fourth switches cooperatively constitute a full-bridge rectifier for rectifying the induced current generated by the power receiving circuit, and each of the fifth to eighth switches is operable to switch synchronously with a respective one of the first to fourth switches or to became non-conducting, thereby changing an amplitude of the induced current.11-20-2014
20140344602APPARATUS AND METHOD MANAGING POWER BASED ON DATA - A processing apparatus for managing power based on data is provided. The processing apparatus may obtain, in response to an access request from a processor for particular data stored in a memory, existing power information having a predefined correspondence to the particular data, and control a power mode of the processor based on the existing power information.11-20-2014
20140344603REMOTELY AWAKENING AN ELECTRONIC DEVICE - Remotely awakening an electronic device, in which an apparatus includes a power supply to supply external power; a receiver for an electromagnetic signal; a capacitor connected to the receiver; a switching circuit connected to the capacitor; a controller, being part of the electronic device, connected to receive power from the switching circuit and to provide a keep awake signal to the switching circuit. The switching circuit to connect the controller with the capacitor when the capacitor is loaded with a predefined amount of power via the receiver, and responsive to the keep awake signal to disconnect the controller from the capacitor and to connect the controller with the power supply afterwards. The controller performs an initialization phase in response to receiving power and enables the keep awake signal after completing the initialization phase.11-20-2014
20140344604SYSTEMS AND METHODS FOR WAKING WIRELESS LAN DEVICES - A system and method for wireless waking computing devices over a computer network is provided. A signal is broadcast over the network that includes one or more device specific wake-up data sequences. Each device specific wake-up data sequence includes multiple iterations of the hardware address of the wireless network card associated with that device. While in a reduced power or “sleep mode”, the wireless network card monitors wireless channels for packets containing a wake-up data sequence. If a wake-up data sequence is received, the sequence is matched against the hardware address information for that network card. If a match is determined, the network card sends a signal to the computing device causing full system power to be restored. A signal is sent to the network confirming that the device has been successfully woken from the sleep mode.11-20-2014
20140351617Method and Electronic Device for Bringing a Primary Processor Out of Sleep Mode - A method performed by an adjunct processor of a device for bringing a primary processor of the device out of a sleep mode includes monitoring a touchscreen of the device for a first continuous gesture. The method also includes sending, by the adjunct processor to the primary processor upon detecting the first continuous gesture, an initial awake command signal to awaken the primary processor from the sleep mode to initiate a primary processor awake sequence. Further, the method includes monitoring the touchscreen for completion of a second continuous gesture to initiate the sending, by the adjunct processor to the primary processor, of a primary awake command signal to indicate to the primary processor to complete the primary processor awake sequence.11-27-2014
20140351618Method and Electronic Device for Bringing a Primary Processor Out of Sleep Mode - A method is performed by an adjunct processor of a device for bringing a primary processor of the device out of a sleep mode. The method includes: monitoring for a set of inputs that indicates a likelihood of the primary processor being provided a primary awake command signal to awaken the primary processor from a sleep mode. The method further includes sending, by the adjunct processor to the primary processor upon receiving the set of inputs, an initial awake command signal to awaken the primary processor from the sleep mode.11-27-2014
20140351619SUSPENSION AND/OR THROTTLING OF PROCESSES FOR CONNECTED STANDBY - One or more techniques and/or systems are provided for assigning power management classifications to a process, transitioning a computing environment into a connected standby state based upon power management classifications assigned to processes, and transitioning the computing environment from the connected standby state to an execution state. That is, power management classifications, such as exempt, throttle, and/or suspend, may be assigned to processes based upon various factors, such as whether a process provides desired functionality and/or whether the process provides functionality relied upon for basic operation of the computing environment. In this way, the computing environment may be transitioned into a low power connected standby state that may continue executing desired functionality, while reducing power consumption by suspending and/or throttling other functionality. Because some functionality may still execute, the computing environment may transition into the execution state in a responsive manner to quickly provide a user with up-to-date information.11-27-2014
20140359330REDUCED POWER MODE OF A CACHE UNIT - In an embodiment, a processor includes a plurality of cores and a cache unit reserved for a first core of the plurality of cores. The cache unit may include a first cache slice, a second cache slice, and power logic to switch operation of the cache unit between a first operating mode and a second operating mode. The first operating mode may include use of both the first cache slice and the second cache slice. The second operating mode may include use of the first cache slice and disabling the second cache slice. Other embodiments are described and claimed.12-04-2014
20140359331RACK AND POWER CONTROLLING METHOD THEREOF - The disclosure provides a rack, including nodes and power supplies, and a power controlling method thereof, including the following steps. Power information of each node is collected to calculate a total power consumption value of the nodes. A power supply enabling demand is calculated according to the total power consumption value and a maximum power supply value of the power supply. State information of each of the power supplies is read to obtain a first sequence of the power supplies. According to the power supply enabling demand and the first sequence, two of the power supplies are enabled and set as a master power supply and a secondary power supply, and the rest of the power supplies are disabled. While the master power supply provides a work voltage to the nodes, the secondary power supply does not supply the work voltage to the nodes.12-04-2014
20140359332POWER MANAGEMENT CIRCUIT FOR A SELF-POWERED SENSOR - A power management circuit including, between a first terminal intended to be connected to an electric power generation source and a second terminal intended to be connected to a load to be powered, a linear regulator and a circuit capable of activating the linear regulator when the power supplied by said source is greater than a first threshold.12-04-2014
20140359333VOLTAGE REGULATION FOR A COMPUTER SYSTEM - A computer system has a controller and a voltage regulator. The controller generates a power consumption state signal for one or more components of the computer system to the voltage regulator. The voltage regulator supplies a first voltage level for the one or more components when the one or more components are at a first power consumption state. The voltage regulator increases to a second voltage level for the one or more components when the one or more components enter a second power consumption state.12-04-2014
20140365798L2 FLUSH AND MEMORY FABRIC TEARDOWN - A system and a method which include one or more processors, a memory coupled to at least one of the processors, a communication link coupled to the memory, and a power management unit. The power management unit may be configured to detect an inactive state of at least one of the processors. The power management unit may be configured to disable the communication link at a time after the processor enters the inactive state, and disable the memory at another time after the processor enters the inactive state.12-11-2014
20140365799REDUCING POWER CONSUMPTION AND WAKEUP LATENCY IN SSD CONTROLLERS BY NOT RESETTING FLASH DEVICES - An apparatus comprising a memory and a controller. The memory processes a plurality of read/write operations. The controller (i) operates in a first power domain to control power savings operations, and (ii) processes the read/write operations in a second power domain. The first power domain is isolated from the second domain.12-11-2014
20140365800ELECTRONIC APPARATUS, POWER MANAGEMENT SYSTEM, AND NON-TRANSITORY COMPUTER READABLE MEDIUM STORING PROGRAM - Provided is an electronic apparatus including a changing unit that changes control modes of the electronic apparatus including a first mode and a second mode, a first notification unit that notifies a management unit of circumstances of the electronic apparatus at a notification timing which is determined by the electronic apparatus or an external device when the control mode is the first mode, a recording unit that estimates the circumstances at a predicted notification timing and records the circumstances in a storage medium in advance before the changing unit changes the control mode to the second mode, and a second notification unit that notifies the management unit of the circumstances recorded by the recording unit at the notification timing when the control mode is the second mode.12-11-2014
20140365801MANAGEMENT DEVICE AND MANAGEMENT SYSTEM - A hop count between a management device and a management target device is calculated, and a notification destination range of an unspecified notification pertaining to a power supply mode is obtained from the management target device. On the basis of the obtained results, it is determined whether or not an unspecified notification pertaining to the power supply mode can be received from the management target device. Furthermore, on the basis of the result of the determination, the management device requests the management target device to register the management device as an individual notification destination.12-11-2014
20140365802INFORMATION PROCESSING APPARATUS, NON-TRANSITORY COMPUTER-READABLE MEDIUM, AND POWER MANAGEMENT METHOD - An information processing apparatus includes: a communication unit that performs communication with a power supply controller controlling supply and shutoff of power supply of a device; an operation receiving unit that receives input of user operation; an instructing unit that instructs the power supply controller via the communication unit to supply or shut off power supply in response to user operation concerning supply or shutoff of power supply of the device; a setting unit that sets power supply specified to be not permitted to shut off in response to user operation to specify the power supply to be not permitted to shut off; and an instruction disabling unit that disables an instruction to shut off power supply by the instructing unit when the power supply set to be not permitted to shut off is a target of the instruction to shut off power supply by the instructing unit.12-11-2014
20140372779CONTROL SYSTEM AND METHOD FOR POWER SHUTDOWN OF ELECTRONIC DEVICE - A control system for power shutdown of an electronic device is provided. The electronic device includes a sound monitor. The sound monitor monitors a decibel level of sound generated by surrounding environment. The control system includes a sound acquiring module, a first determining module, and a control module. The sound acquiring module acquires the decibel level of the sound from the sound monitor. The first determining module determines whether or not the acquired decibel level of the sound is within a predetermined range. The control module controls the electronic device to enter a shutdown state when the acquired decibel level of the sound is within the predetermined range. An electronic device and a method for power shutdown are also provided.12-18-2014
20140372780POWER SUPPLY DEVICE - In a power supply device, the bridge circuit is configured by connecting, in parallel, a plurality of series circuits of an inverse-parallel connection circuit of a semiconductor switch and a diode. A control unit controls switching of a semiconductor switch so that a voltage v between AC terminals becomes zero voltage in equal periods α before and after a center point shifted from one zero crossing point in one cycle of the input current by a compensation period (angle) β calculated from a voltage applied to a resonance circuit constituted by the power receiving coil and a resonance capacitor C12-18-2014
20140372781ENERGY-EFFICIENT MEDIA EQUIPMENT DEVICE - Method and systems for operating a media equipment device having at least a full-power mode and an off-power mode are provided. A voltage supply to the media equipment device may be controlled by the media equipment device itself or by an external power management unit to automatically power-off the media equipment device, and power the device back on a future time. Prior to powering off the media equipment device, a portion of program schedule information stored in the volatile memory of the media equipment device may be mirrored to nonvolatile memory and may be restored on a priority basis after the media equipment device is powered back up. An external power management unit for controlling the media equipment device may also automatically detect and identify devices in the home based on a power signature of the device and manage the operation of the device based on the identification.12-18-2014
20140380075Selective Blocking of Background Activity - Controlling background activity in a computing device or system during a low-power mode is described. In some example techniques, when a computing device or system is in a low power mode, a determination is made whether to block one or more trigger events from causing an activation of one or more respective background task operations. Based at least in part on the determination, at least one trigger event may be allowed to cause an activation of a respective background task operation during the low power mode.12-25-2014
20140380076Mapping A Performance Request To An Operating Frequency In A Processor - In an embodiment, a processor includes multiple cores each to independently execute instructions and a power control unit (PCU) coupled to the plurality of cores to control power consumption of the processor. The PCU may include a mapping logic to receive a performance scale value from an operating system (OS) and to calculate a dynamic performance-frequency mapping based at least in part on the performance scale value. Other embodiments are described and claimed.12-25-2014
20140380077INFORMATION PROCESSING APPARATUS AND CONTROL METHOD OF INFORMATION PROCESSING APPARATUS - It is an object to enable a request received from an outside to be automatically processed immediately before shifting to a power saving state such as a suspend state. On the way of a shift from a normal state to the suspend state and in a state where the shift cannot be interrupted, when a predetermined request such as a print job is received from an external interface, an MFP reserves a return to the normal state by turning on a wake-up reservation flag and continues a suspend process. When shifting to the suspend state, if the wake-up reservation flag is ON, a power supply control unit performs a return (resume) to the normal state even if no wake-up factor occurs.12-25-2014
20150012769INFORMATION PROCESSING APPARATUS CAPABLE OF REDUCING POWER CONSUMPTION, AND CONTROL METHOD AND STORAGE MEDIUM THEREFOR - An information processing apparatus capable of changing a state of power supply to respective parts of the apparatus with less user operation. When a sleep recovery button is depressed in a power saving mode, a button depression time is measured, and whether a length of the measure depression time exceeds a threshold value is determined. If the depression time exceeds the threshold value, a normal power mode is selected as power mode after transition. If the depression time does not exceed the threshold value, another power saving mode is selected as power mode after transition. According to the selected power mode, a state of power supply to respective parts of the apparatus is changed.01-08-2015
20150019892LOW-POWER NEAR-FIELD COMMUNICATION AUTHENTICATION - This document describes techniques (01-15-2015
20150019893STANDBY POWER INTERCEPTION APPARATUS FOR COMPUTER AND COMPUTER PERIPHERAL DEVICE - The present invention relates to a computer and a method for controlling same, in which power being supplied to a computer peripheral device is completely intercepted in a standby mode (power saving mode) or a monitor power-off mode during use of the computer, and power being supplied to the computer and to the computer peripheral device is completely intercepted when the power of the computer is turned ‘off’. Conventional computers have disadvantages that monitor screens are turned off but power is continuously supplied to monitors and computer peripheral devices that consume power in a standby mode (power saving mode) or a monitor power-off mode during use of the computer, and standby power of the computer is wasted when the power of the computer is turned ‘off’, and standby power of computer peripheral devices (monitor, printer, speaker, etc.) is continuously wasted. Such an unnecessary power waste may cause energy waste and generation of CO01-15-2015
20150019894Power Supply Control Device, Power Supply System and Electronic Device - An electronic device includes a power supply system and a load circuit connected to the power supply system. The load circuit mutually switches between the first mode and the second mode. In the first mode, the load circuit operates with electric power supplied from the power supply system. On the other hand, in the second mode, the load circuit is brought into the state where the electric power does not need to be supplied from the power supply system. In response to the fact that the mode of the load circuit is switched from the first mode to the second mode, a power supply control device causes an AC/DC converter to stop.01-15-2015
20150019895INFORMATION PROCESSING APPARATUS AND JUDGING METHOD - According to one embodiment, an information processing apparatus includes a processor, a non-volatile storage unit, a receiving unit, a judging unit, and a transmitting unit. The receiving unit receives from the processor an inquiry about accessibility of the storage unit. The judging unit judges, upon receipt of the inquiry, whether the storage unit is accessible on the basis of a start-up time period between starting power supply to the storage unit and activation of the storage unit. The transmitting unit transmits a judgment result obtained by the judging unit to the processor.01-15-2015
20150026495SYSTEM AND METHOD FOR IDLE STATE OPTIMIZATION IN A MULTI-PROCESSOR SYSTEM ON A CHIP - Various embodiments of methods and systems for idle state optimization in a portable computing device (“PCD”) are disclosed. An exemplary method includes comparing an aggregate power consumption level for all processing cores in the PCD to a power budget and, if there is available headroom in the power budget, transitioning cores operating in a first idle state to a different idle state. In doing so, the latency value associated with bringing the transitioned cores out of an idle state and into an active state, should the need arise, may be reduced. The result is that user experience and QoS may be improved as an otherwise idle core in an idle state with a long latency time may be better positioned to quickly transition to an active state and process a workload.01-22-2015
20150026496POWERED DEVICE, POWER SUPPLY SYSTEM, AND OPERATION MODE SELECTION METHOD - A powered device, a power supply system and an operation mode selection method are provided. The power supply system includes a power sourcing equipment and the powered device. The powered device is electrically connected to the power sourcing equipment through an internet cable. The powered device includes a sensing module and a controlling module. The sensing module receives an internet signal from the power sourcing equipment through the internet cable, and outputs a switching signal according to the internet signal. The controlling module is used for selecting an operation mode of the powered device according to the switching signal.01-22-2015
20150026497Electronic Device That Executes Hibernation - An electronic device includes a main processor and a first memory. The main processor is configured to: determine whether or not specific data is stored in a first IO memory area of the first memory; generate a first piece of image data based on an OS memory area of the first memory; store the first piece of image data to a nonvolatile storage device; generate a second piece of image data based on the OS memory area of the first memory and the first IO memory area of the first memory; store the second piece of image data of the nonvolatile storage device; restore the software program to the OS memory area from the first piece of image data; and restore the software program to the OS memory area and the specific data to the first IO memory area from the second piece of image data.01-22-2015
20150026498POWER MANAGEMENT IN A DATA-CAPABLE STRAPBAND - Embodiments of the invention relates generally to electrical and electronic hardware, computer software, wired and wireless network communications, and computing devices, and more specifically to structures and techniques for managing power generation, power consumption, and other power-related functions in a data-capable strapband. Embodiments relate to a band including sensors, a controller coupled to the sensors, an energy storage device, a connector configured to receive power and control signals, and a power manager. The power manager includes at least a transitory power manager configured to manage power consumption of the band during a first power mode and a second mode. The band can be configured as a wearable communications device and sensor platform.01-22-2015
20150033052Wakeup Receiver Circuit, Electronic System and Method to Wake up a Device - A device is operated in a low power mode of operation. The device receives a differential signal that includes a first polarity signal and a second polarity signal. A slope of a first direction is detected in the differential signal and a slope of a second direction is detected in the differential signal. A wakeup of the device is caused in response to the detection of the first slope of the differential signal and the second slope of the differential signal.01-29-2015
20150033053METHOD AND APPARATUS FOR CONTROLLING POWER CONSUMPTION OF A PORTABLE TERMINAL - A method for controlling a power consumption in a portable terminal and a portable terminal supporting the method are provided. The method includes receiving first data from at least one device, by a main processor; transmitting second data based on the received first data to a sub processor, by the main processor; receiving the second data from the main processor, and determining whether the main processor is in a sleep state, by the sub processor; and when it is determined that the main processor is in a sleep state, maintaining the sleep state of the main processor, receiving the first data from the at least one device, and controlling the at least one device based on the received first data and second data, by the sub processor.01-29-2015
20150033054METHOD FOR OPERATING AT LEAST TWO DATA PROCESSING UNITS WITH HIGH AVAILABILITY, IN PARTICULAR IN A VEHICLE, AND DEVICE FOR OPERATING A MACHINE - A method for operating at least two data processing units with high availability, in particular in a vehicle, is provided. A first data processing unit and a second data processing unit can each provide the same function to an extent of at least 60 percent or at least 90 percent. The second data processing unit removes automatically at least one entry for a process to be executed from a memory unit or automatically places itself into a standby mode.01-29-2015
20150033055Techniques for Managing Power and Performance of Multi-Socket Processors - Examples are disclosed for managing power and performance of multi-socket processors. In some examples, a utilization rate of a first processor circuitry in a first processor socket may be determined. An active memory ratio of a cache for the first processor circuitry may be compared to a threshold ratio or a data traffic rate between the first processor circuitry and a second processor circuitry in a second processor socket may be compared to a threshold rate. According to some examples, a first power state of the first processor circuitry may be changed based on the determined utilization rate. The first power state may also be changed based on the comparison of the active memory ratio to the threshold ratio or the comparison of the data traffic rate to the threshold rate.01-29-2015
20150033056Reducing power consumption of sensor by overriding instructions to measure - Systems and methods for reducing power consumption of a device utilized to measure affective response to content by overriding selections of a mode-selector. The mode-selector receives tags corresponding to segments of content. The mode-selector selects, based on the tags, modes for operating the device to measure affective response to the segments. A threshold module receives measurements of the user's state, taken by a sensor, and indicates whether a predefined threshold is reached by the measurements. If reached, the device is operated according to a first mode to measure the affective response. Otherwise, the device is operated according to a second mode to measure the affective response. The power consumption of the device when operating in the second mode is significantly lower than the power consumption of the device when operating in the first mode.01-29-2015
20150039922DYNAMIC LOW POWER STATES CHARACTERIZATION - An optimal idle state of a processor is selected using dynamically derived parameters. For example, the idle state is selected from a group of possible idle power states. A current detector is arranged to perform power measurements of the processor and to report a total power consumption of the processor for each time value of a range of discrete values for each possible idle power state. A calibration unit is arranged to communicate with the current detector and the processor, and to automatically activate a calibration sequence that is used to produce data from which idle power state is optimal for the processor for an estimated idle period.02-05-2015
20150039923METHOD OF CONTROLLING SDIO DEVICE AND RELATED SDIO SYSTEM AND SDIO DEVICE - Described in embodiments herein are techniques for placing a secure digital input output (SDIO) device in a sleep mode and waking up the SDIO device from the sleep mode. In accordance with an embodiment, a method of controlling the SDIO device comprising: writing a control value into a register of the SDIO device; allowing the SDIO device to switch to a first operation mode based on the control value written into the register; sending a first signal to the SDIO device through a first data terminal of the SDIO device; and allowing the SDIO device to switch to a second operation mode based on the first signal.02-05-2015
20150039924Field device - A field device with a microprocessor and a display and/or operating module, which can be disconnected from the field device, with the microprocessor showing an energy saving module, in which the display and/or operating module are switched off, with the waking circuit being provided, which generates a waking signal when the display and/or operating module are disconnected from the field device or connected thereto.02-05-2015
20150039925COMPUTING DEVICE AND METHOD FOR ADJUSTING AN OPERATING STATUS OF A COMPUTING DEVICE - The computing device calculates a battery level of a battery of the computing device when the computing device is powered by direct current (DC) electricity of the battery. The computing device adjusts an operating system (OS) of the computing device to a sleep mode when the battery is in a warming state. The computing device activates a watchdog (WDT) of a basic input output system (BIOS) to count a predetermined time and saves data into the storage device before the predetermined time ends.02-05-2015
20150046732SYSTEM AND METHOD FOR MEMORY CHANNEL INTERLEAVING WITH SELECTIVE POWER OR PERFORMANCE OPTIMIZATION - Systems and methods are disclosed for providing memory channel interleaving with selective power or performance optimization. One such method involves configuring a memory address map for two or more memory devices accessed via two or more respective memory channels with an interleaved region and a linear region. The interleaved region comprises an interleaved address space for relatively higher performance use cases. The linear region comprises a linear address space for relatively lower power use cases. Memory requests are received from one or more clients. The memory requests comprise a preference for power savings or performance. Received memory requests are assigned to the linear region or the interleaved region according to the preference for power savings or performance.02-12-2015
20150046733VOLTAGE CONTROL CIRCUIT - A voltage control circuit includes a processing unit, a power control circuit, a first impedance circuit, a first switch, and a current source. The power control circuit is used for outputting a core voltage to the processing unit. The first switch and the first impedance circuit are connected in parallel between the processing unit and the power control circuit, and they feedback a feedback voltage to the power control circuit. The current source is used for providing or extracting an operating current via the first impedance circuit or the first switch. The first switch is turned on and the processing unit receives a first core voltage when the processing unit operates at a normal mode. The first switch is turned off and the processing unit receives a second core voltage when the processing unit operates at an overvoltage mode.02-12-2015
20150046734ELECTRONIC APPARATUS AND CONTROL METHOD THEREFOR - An electronic apparatus and a control method are provided that are capable of reducing power consumption. The electronic apparatus having a normal mode in which first electric power is consumed and a power-saving mode in which second electric power lower than the first electric power is consumed includes a first sensor and a second sensor whose power consumption is lower than that of the first sensor. In the power-saving mode, supply of power to the first sensor is restricted, the second sensor is set to the power-saving mode, a trigger for restoring the power-saving mode to the normal mode is detected by using the second sensor set to the power-saving mode, and the power-saving mode is restored to the normal mode based on the detected trigger.02-12-2015
20150052374DATA STORAGE DEVICE AND DATA PROCESSING SYSTEM INCLUDING THE SAME - A data processing system includes a host device; and a data storage device including an interface unit which is configured to interface with the host device, and configured to store data provided from the host device or provide data to the host device, in response to a request from the host device, wherein the data storage device is configured to interrupt power supply to the interface unit while the host device operates in a power saving mode.02-19-2015
20150052375INFORMATION PROCESSING METHOD AND ELECTRONIC DEVICE - The present invention discloses information processing methods, apparatuses and electronic devices. The method comprises: determining sensor units that will normally operate in the low power consumption state based on the i-th usage mode; generating, in response to obtaining an input operation for generating a wake-up instruction via the sensor units, the wake-up instruction; and switching the electronic device from the low power consumption state to the normal operation state in response to the wake-up instruction. With the present invention, sensor units that will normally operate in the low power consumption state are determined based on the i-th usage mode of the electronic device. A wake-up instruction is generated in response to obtaining an input operation via the sensor units. The electronic device is switched from the low power consumption state to the normal operation state in response to the wake-up instruction. The present invention solves the problem that it is difficult to operate an power-on/off button of an electronic device in complicated scenarios and achieves the effect of determining, based on the usage mode of the electronic device, a switching trigger scheme most suitable for the usage mode.02-19-2015
20150052376SYSTEM AND METHOD FOR CONSERVING POWER IN A MEDICAL DEVICE - A system and method for conservation of battery power in a portable medical device is provided. In one example, a processor arrangement that includes a plurality of processors is implemented. At least one of these processors is configured to execute the critical functions of the medical device, while one or more other processors assume a reduced service level, thereby drawing significantly less power. According to this arrangement, the medical device conserves energy by drawing the additional electrical power needed to activate the additional processing power only when needed.02-19-2015
20150058647METHOD OF CONTROLLING PROCESSOR, INFORMATION PROCESSING APPARATUS, AND NON-TRANSITORY COMPUTER-READABLE STORAGE MEDIUM - A method of controlling a processor includes determining an operational mode of the processor in a time period and an operational frequency of the processor in second data processing based on a completion deadline of the second data processing and an amount of data processing in the second data processing which is performed by the processor after first data processing is completed by the processor, the time period being from completion of the first data processing to start of the second data processing, controlling the processor in the determined operational mode after the first data processing is completed by the processor, and performing the second data processing using the processor that operates with the determined operational frequency after the controlling of the processor in the operational mode.02-26-2015
20150058648APPARATUS AND METHOD FOR SAVING POWER IN A COMPUTER SYSTEM - An apparatus for power saving in a computer system is configured to register a power saving policy for the computer system, control the power to at least one auxiliary device of the computer system based on the computer system's power consumption information and the power saving policy, and collect and transmit the computer system's status information to a power and status display mounted at a remote location so as to display the computer system's power consumption information and status information.02-26-2015
20150058649MODE SWITCHING METHOD AND APPARATUS OF TERMINAL - A mode switching method and apparatus of a terminal including a camera for acquiring motion information of a user and a plurality of operation modes for power management is provided. The mode switching method includes transitioning, when a motion of a first pattern is detected by the camera, the terminal from an active mode to a standby mode, transitioning, when a motion of a second pattern is detected by the camera, the terminal from the standby mode to an inactive mode, and transitioning, when a first mode switching input is detected in the inactive mode, from the inactive mode to the active mode, wherein the camera acquires the motion information of the user in at least one of the active mode and the standby mode.02-26-2015
20150067369MULTI-CORE SYNCHRONIZATION MECHANISM - A microprocessor includes a control unit configured to selectively control a respective clock signal to each of a plurality of processing cores. Each of the processing cores is configured to separately write a value to the control unit. For each core of the plurality of processing cores, the control unit is configured to turn off the respective clock signal to the core in response to the core writing a value to the control unit. The control unit is configured to detect a condition has occurred when all of the processing cores have written a value to the control unit and the control unit has turned off the respective clock signal to all of the processing cores. The control unit is configured to simultaneously turn on the respective clock signal to all of the processing cores in response to detecting the condition has occurred.03-05-2015
20150067370POWER SAVING METHOD AND CONTROL CIRCUITRY AND POWER SUPPLY - The present disclosure provides a control circuitry used in a computing system, for enabling or disabling a standby module of a power supply. The control circuitry is electrically coupled to two nodes of the standby module, and comprises a determination circuit, a transistor, and an optical coupler. The present disclosure further provides a power saving method used in a computing system is illustrated. Whether the computing system is turned off is determined. If the computing system is turned off, a setting that whether the turned off computing system requires the standby voltage is judged. If the turned off computing system does not require the standby voltage, a standby module of a power supply is disabled.03-05-2015
20150067371COMMUNICATION DEVICE, METHOD FOR CUSTOMIZING THE SAME, AND COMPUTER-READABLE STORAGE MEDIUM FOR COMPUTER PROGRAM - A communication device is provided which includes a first controller functioning as a main controller and a second controller which responds to received data based on a proxy program independently of the first controller. The communication device includes a storage portion configured to store, every time data is received, a combination of attributes of the data and details of a response to the data made by the first controller; a generating portion configured to determine at least one pattern with which a response independently of the first controller is possible based on a plurality of the combinations stored in the storage portion to generate the proxy program based on the pattern determined; and an applying portion configured to apply the proxy program generated by the generating portion to the second controller.03-05-2015
20150067372CONTROL DEVICE FOR A VEHICLE NETWORK AND METHOD FOR OPERATING A VEHICLE NETWORK - A control device for a vehicle network, having a microprocessor and a transceiver. The control device can be switched off or switched to a sleep mode during a previously defined state or event during the operation of the motor vehicle and/or the control device can be awakened from the sleep mode during a previously defined state or event during the operation. The disclosed embodiments also relate to a method for operating a vehicle network.03-05-2015
20150067373BIOS CONTROLLED PERIPHERAL DEVICE PORT POWER - A BIOS controlled peripheral device port power device is described. The device includes a processor and at least one peripheral device port. The processor powers up a host controller in preparation for the processor to enter a sleep state. The processor also places the processor in the sleep state after the host controller is powered up. The processor also selectively powers the at least one peripheral device port by the host controller when the processor is in the sleep state according to at least one setting stored in the processor.03-05-2015
20150074438ADAPTIVE USB CHARGING METHOD AND SYSTEM - An adaptive universal serial bus (USB) charging method and system are disclosed. In a low-power state, a USB device is charged with a non-USB charging mode. The non-USB charging mode is retained when no variation of a data signal coupled to the USB device is detected. When the data signal possesses variation for a first period, it is switched to a third proprietary charging mode.03-12-2015
20150074439SYSTEM POWER SUPPLY MANAGEMENT APPARATUS AND METHOD, AND SYSTEM WITH POWER SUPPLY ENERGY SAVING MANAGEMENT FUNCTION - A system power supply management apparatus and method, and a system with a power supply energy saving management function, where the apparatus includes: a board energy saving management module, a system energy saving management module, and a power supply energy saving management module, where, the board energy saving management module perform a board energy saving action, generate a board power variation corresponding to the board energy saving action, and send the board power variation to the system energy saving management module; and the system energy saving management module calculate, according to the board power variation, an expected power variation of the system, and control, according to the expected power variation of the system, the power supply energy saving management module to adjust an output power of a power module in the system.03-12-2015
20150082061Information Handling System Docking with Cable Based Power and Video Management - A docking station connects through a docking port and docking cable with an information handling system to support communication between the information handling system and docking station peripherals. The docking cable includes an integrated input device, such as a mechanical button or fingerprint scanner, which accepts an end user input to command a power state transition at the information handling system, such as a transition between an on state and a reduced power state. The power state transition is sent directly from the integrated input device to the information handling system or, alternatively, from the integrated input device to the docking station and then from the docking station to the information handling system.03-19-2015
20150082062HETEROGENOUS MEMORY ACCESS - A memory controller operable for selective memory access to areas of memory exhibiting different attributes leverages different memory capabilities that vary access speed, retention time and power consumption, among others. Different areas of memory have different attributes while remaining available to applications as a single contiguous range of addressable memory. The memory controller employs an operating mode that identifies operational priorities for a computing device, such as speed, power conservation, or efficiency. The memory controller identifies an area of memory based on an expected usage of the data stored in the area, for example an access frequency indicating future retrieval. The memory controller therefore selects areas of memory based on the operating mode and the expected usage of data to be stored in the area according to a heuristic that favors areas of memory based on those exhibiting attributes having a high correspondence to the expected usage of the data.03-19-2015
20150082063BASEBOARD MANAGEMENT CONTROLLER STATE TRANSITIONS - An apparatus can include a circuit board; a processor mounted to the circuit board; memory accessible by the processor; a storage subsystem accessible by the processor; a network interface that includes network states; a controller mounted to the circuit board and operatively coupled to the network interface where the controller includes power states; and transition circuitry that transitions the controller from one of the power states to another one of the power states responsive to a transition of the network interface from one of the network states to another one of the network states. Various other apparatuses, systems, methods, etc., are also disclosed.03-19-2015
20150082064Power Management in a Configurable Bus - A system includes a bus and a component interconnected via the bus. The component may enter a sleep mode during a period of no data transmission involving the component.03-19-2015
20150082065ACCELERATING MICROPROCESSOR CORE WAKE UP VIA CHARGE FROM CAPACITANCE TANK WITHOUT INTRODUCING NOISE ON POWER GRID OF RUNNING MICROPROCESSOR CORES - A mechanism is provided for an integrated circuit with power gating. A power switch is configured to connect and disconnect circuits to a common voltage source. A capacitor tank is configured to supply wakeup charge to a given circuit. A controllable element is connected to the given circuit and to the capacitor tank. The controllable element is configured to controllably connect and disconnect the capacitor tank to the given circuit in order to supply the wakeup charge to the given circuit. The controllable element is configured to, responsive to the power switch disconnecting the given circuit from the common voltage source and to the given circuit being turned on to wakeup, supply the wakeup charge to the given circuit being turned on by transferring the wakeup charge from the capacitor tank to the given circuit. This reduces the electrical charge transferred from the circuits connected to the common voltage source.03-19-2015
20150082066ACCELERATING THE MICROPROCESSOR CORE WAKEUP BY PREDICTIVELY EXECUTING A SUBSET OF THE POWER-UP SEQUENCE - A mechanism is provided for an integrated circuit with power gating. A power header switch is configured to connect and disconnect any one of multiple circuits to a common voltage source, where a powered off circuit is disconnected from the common voltage source. A power-up sequencer includes an initial stages power-up component and a final stages power-up component. The final stages power-up component is configured to execute final stages of a power-up process for the powered off circuit, and the initial stages power-up component is configured to execute initial stages of the power-up process for the powered off circuit. The initial stages power-up component is activated in response to a predictive power-up request.03-19-2015
20150082067INFORMATION PROCESSING APPARATUS AND POWER-OFF CONTROL METHOD OF INFORMATION PROCESSING APPARAUS - Provided are an information processing apparatus and a power-off control method of an information processing apparatus. An information processing apparatus includes a nonvolatile memory; a cache memory for cashing data to be written into the nonvolatile memory; a power switch; a spatial change detecting section configured to detect a change in state of a space around the power switch; a notification section configured to send a user a notification; and a control section. The control section is configured to determine an action of a user likely to turn the power switch off, based on a detection signal outputted by the spatial change detecting section; operate the notification section to send a user a notification that the action is being performed, in response to recognizing the action; and write data stored in the cache memory into the nonvolatile memory after operating the notification section to send the user the notification.03-19-2015
20150082068DUAL-MODE, DUAL-DISPLAY SHARED RESOURCE COMPUTING - A dual-mode, dual-display shared resource computing (SRC) device is usable to stream SRC content from a host SRC device while in an on-line mode and maintain functionality with the content during an off-line mode. Such remote SRC devices can be used to maintain multiple user-specific caches and to back-up cached content for multi-device systems.03-19-2015
20150089262HEURISTICS BASED ON BACKOFF FOR POWER MODES - According to one general aspect, a method may include monitoring, by a computing device, a user's current behavior in regards to the computing device. The method may also include determining whether to place the computing device in a reduced power mode based upon the user's monitored current behavior and based on a history of user habits in regards to one or more computing devices. In various implementations, the method may further include, if it is determined to place the computing device in the reduced power mode, placing the computing device in the reduced power mode.03-26-2015
20150089263SYSTEM-WIDE POWER CONSERVATION USING MEMORY CACHE - A method, system, and computer program product for system-wide power conservation using memory cache are provided. A memory access request is received at a location in a memory architecture where processing the memory access request has to use a last level of cache before reaching a memory device holding a requested data. Using a memory controller, the memory access request is caused to wait, omitting adding the memory access request to a queue of existing memory access requests accepted for processing using the last level of cache. All the existing memory access requests in the queue are processed using the last level of cache. The last level of cache is purged to the memory device. The memory access request is processed using an alternative path to the memory device that avoids the last level of cache. A cache device used as the last level of cache is powered down.03-26-2015
20150089264PROCESSING APPARATUS AND PROCESSING METHOD - There is provided a processing apparatus, including: first and second processors; a first storage which data read or data write is done by one or more fixed-size blocks, data in each block having a sequential address; and a controller to control operation of at least one of the first and the second processors. One of the processors writes, to the first storage, first to N-th data pieces so that an ending address of an X-th data piece and a starting address of an X+1-th data piece are sequential. The controller sets the other processor in a low power consumption mode during at least a part of a period in which the one processor performs writing to the storage, and after that, the controller sets the other processor in a normal or active operating mode in which the other processor is capable of reading the data pieces from the first storage.03-26-2015
20150089265SEMICONDUCTOR INTEGRATED CIRCUIT AND CIRCUIT OPERATION METHOD - The power consumption of a data sampling unit that selects a phase of a clock signal appropriate for sampling payload data is reduced at an input interface. A semiconductor integrated circuit includes an input interface and internal core circuits. The input interface includes a hysteresis circuit and a data sampling unit. The hysteresis circuit detects an input signal between first and second input thresholds as a sleep command. The data sampling unit selects an appropriate phase of a sampling clock signal in accordance with a synchronizing signal and samples payload data. When a sleep command is detected, a sleep signal is also supplied to the internal core circuits and the data sampling unit and they are controlled into a low-power consumption state.03-26-2015
20150095676TECHNIQUES FOR ENTERING A LOW POWER STATE - Various embodiments are generally directed to an apparatus, method and other techniques for initiating a transition into a lower power state, determining that a device process prevents a platform processing device from completing the transition to the lower power state and interrupting a processing component from an intermediate power state in order to process the process prior to execution of a defined event.04-02-2015
20150095677TECHNIQUES FOR PUTTING PLATFORM SUBSYSTEMS INTO A LOWER POWER STATE IN PARALLEL - Various embodiments are generally directed to an apparatus, method and other techniques for determining a sleep configuration state for each of a plurality of subsystems having an associated subsystem sleep control register for entry into a lower power state, configuring each of the associated subsystem sleep control registers with the sleep configuration state for each of the subsystems and enabling the sleep configuration state for each of the subsystems in parallel when transitioning to the lower power state.04-02-2015
20150095678MOVEMENT-BASED STATE MODIFICATION - Techniques for modifying a power state of a device are described herein. The techniques include receiving data from a sensor indicating movement of the device, and determining whether the device movement is associated with a predetermined device movement. Based on the determination, the techniques include modifying a power state of the device from either a first power state to a second power state, or from the second power state to the first power state, wherein the device consumes more power in the first power state than in the second power state.04-02-2015
20150095679Transitioning A Networked Playback Device Between Operating Modes - Embodiments are described herein that allow a playback device to transition between operating modes to, among other things, conserve power. In one aspect, a method is provided. The method involves determining that a playback device is operating in one of a powered mode and a battery mode. The powered mode comprises the playback device receiving power from an external source. The battery mode comprises the playback device receiving power from at least one battery. The method further involves causing the playback device to serve as a network bridge when the playback device is in the powered mode. The method further involves causing the playback device to serve as a client device and not serve as a network bridge when the playback device is in the battery mode.04-02-2015
20150095680Media Playback System Using Standby Mode in a Mesh Network - Embodiments described herein involve mechanisms to wake-up a media playback device that is interconnected with other media playback devices to form a networked media system from a standby mode using a network message. In one embodiment, a media playback device receives a first wake-up packet associated with a MAC address; and in response, exits a standby mode and enters an active mode, and broadcasts a second wake-up packet associated with the MAC address. In another embodiment, a media playback device receives a first wake-up packet associated with a MAC address; and in response, determines whether the first MAC address is included in a list of MAC addresses maintained by the first media playback device; and when the first MAC address is included in the list of MAC addresses, exits a standby mode and enters an active mode, and broadcasts a second wake-up packet associated with the MAC address.04-02-2015
20150095681CONFIGURING POWER DOMAINS OF A MICROCONTROLLER SYSTEM - A microcontroller system is organized into power domains. A power manager of the microcontroller system can change the power configuration of a power domain based on whether the microcontroller system has asserted a power trigger for any module in the power domain or if any module in the power domain has asserted a power keeper.04-02-2015
20150095682TECHNIQUES FOR TRACING WAKELOCK USAGE - Various embodiments are generally directed to generating logs recording events related to wakelocks at application and kernel levels, and then temporally aligning graphs of those events in a visual presentation to enable debugging of wakelocks. An apparatus to debug wakelocks includes a processor component; a capture component to intercept calls associated with application level wakelocks, the intercepted calls received by an application power manager of an operating system from application routines; and a relaying component to cooperate with the application power manager to provide indications of the intercepted calls to a system log generator of the operating system coupled to the application power manager, the system log generator to generate system log data comprising indications of events associated with execution of the operating system by the processor component and the indications of the intercepted calls. Other embodiments are described and claimed.04-02-2015
20150095683DEVICE CAPABLE OF PRESENTING STARTUP UI, METHOD OF PRESENTING THE SAME, AND NON-TRANSITORY COMPUTER READABLE MEDIUM STORING PRESENTATION PROGRAM - To provide a startup UI presentation portable device capable of automatically presenting a preset UI highly likely to be used next in the current posture when returned from a sleep mode, the portable device (04-02-2015
20150095684DATA PROCESSING SYSTEM, MICROCONTROLLER AND SEMICONDUCTOR DEVICE - In order to perform easily power cutoff of a device configuring a data processing system and to improve the power reduction effect at standby, the data processing system is configured with a microcontroller, a memory IC including a nonvolatile RAM array, and a power supply unit capable of controlling the power supply to the microcontroller and the memory IC, separately. When a control signal to control read and write of data to the nonvolatile RAM array is at a high level, the memory IC is enabled read and write of data to the nonvolatile RAM array. When the control signal is at a low level, the memory IC is disenabled read and write of data to the nonvolatile RAM array. The microcontroller sets the control signal at a low level, when the memory IC is shifted to a standby state by the power supply unit.04-02-2015
20150095685DETACHABLE COMPUTER WITH VARIABLE PERFORMANCE COMPUTING ENVIRONMENT - Computing devices are often designed in view of a particular usage scenario, but may be unsuitable for usage in other computing scenarios. For example, a notebook computer with a large display, an integrated keyboard, and a high-performance processor suitable for many computing tasks may be heavy, large, and power-inefficient; and a tablet lacking a keyboard and incorporating a low-powered processor may improve portability but may present inadequate performance for many tasks. Presented herein is a configuration of a computing device featuring a display unit with a resource-conserving processor that may be used independently (e.g., as a tablet), but that may be connected to a base unit featuring a resource-intensive processor. The operating system of the device may accordingly transition between a resource-intensive computing environment and a resource-conserving computing environment based on the connection with the base unit, thereby satisfying the dual roles of workstation and portable tablet device.04-02-2015
20150100808WAKEUP METHOD AND SYSTEM FOR TOUCH TERMINAL AND TOUCH TERMINAL - The present invention, relating to a technical field of touch control, provides a wakeup method and system for touch terminal and touch terminal. The method comprises the following steps: step a: scanning a touch screen, and detecting a touch action in a standby state; and step b: waking up the touch screen if a touch action which is consistent with a preset screen wakeup password is detected. The present invention also provides a system for screen wakeup of a touch terminal and a touch terminal. In the present invention, the screen wakeup of the touch terminal can be realized without the need of setting a mechanical key in the touch terminal, thus the lifetime of the touch terminal would not be impacted and the appearance of the touch terminal is also more attractive.04-09-2015
20150100809DYNAMIC CORE SWAPPING - An embodiment of the present invention is a technique to dynamically swap processor cores. A first core has a first instruction set. The first core executes a program at a first performance level. The first core stops executing the program when a triggering event occurs. A second core has a second instruction set compatible with the first instruction set and has a second performance level different than the first performance level. The second core is in a power down state when the first core is executing the program. A circuit powers up the second core after the first core stops executing the program such that the second core continues executing the program at the second performance level.04-09-2015
20150106638REDUCED ENERGY CONSUMPTION IN A COMPUTER SYSTEM THROUGH SOFTWARE AND HARDWARE COORDINATED CONTROL OF MULTIPLE POWER SUPPLIES - The embodiments discussed herein relate to systems, methods, and apparatus for controlling power consumption of a computing device in a standby or sleep mode. During the standby or sleep mode an external device can be plugged into the computing device. The external device can be provided power from a standby power supply until a determination is made as to whether a main power supply is operating. The determination can be based on comparing the output of the main power supply to an output of the standby power supply. If the main power supply is operating, a switch in the computing device can close to allow the main power supply to provide power to the external device. Moreover, in some embodiments, the switch can close based exclusively on a current demand of the external device from the standby power supply.04-16-2015
20150106639PLATFORM AND PROCESSOR POWER MANAGEMENT - The present invention relates to platform power management.04-16-2015
20150113306ELECTRIC APPARATUS - Provided is an electric apparatus capable of preventing a waste of power by performing return depending on a return instruction when any one of return instruction reception units receives a return instruction. A multi-function peripheral having operation states of a power conserving state in which power required for performing functions of the electric apparatus is limited and a normal state in which the power is not limited includes an LAN-Cnt and a home key which receive a return instruction indicating a return to the normal state, and is configured to output a control instruction relating to the return depending on that which one of the LAN-Cnt and the home key receives the return instruction.04-23-2015
20150113307LINK POWER MANAGEMENT IN AN I/O INTERCONNECT - Described are embodiments of methods, apparatuses, and systems for link power management in an I/O interconnect. An apparatus for link power management in an I/O interconnect of a computer apparatus may include a switching fabric having a first switch and a second switch, configured to simultaneously transport first data packets over a first path of a link between a port of the first switch and a port of the second switch and second data packets over a second path of the link. The apparatus may include a power management unit configured to modify a power state of the port of the first switch based at least in part on relative power states of the first path and the second path. Other embodiments may be described and claimed.04-23-2015
20150113308TECHNIQUES TO TRANSMIT COMMANDS TO A TARGET DEVICE - Techniques are described to transmit commands to a display device. The commands can be transmitted in header byte fields of secondary data packets. The commands can be used to cause a target device to capture a frame, enter or exit self refresh mode, or reduce power use of a connection. In addition, a request to exit main link standby mode can cause the target enter training mode without explicit command to exit main link standby mode.04-23-2015
20150121106Dynamic and Adaptive Sleep State Management - An approach is described herein that includes a method for power management of a device. In one example, the method includes sampling duration characteristics for a plurality of past idle events for a predetermined interval of time and determining whether to transition a device to a powered-down state based on the sampled duration characteristics. In another example, the method includes determining whether an average idle time for a plurality of past idle events exceeds an energy break-even point threshold. If the average idle time for the plurality of past idle events exceeds the energy break-even point threshold, a device is immediately transitioned to a powered-down state upon receipt of a next idle event. If the average idle time for the plurality of past idle events does not exceed the energy break-even point threshold, transition of the device to the powered-down state is delayed.04-30-2015
20150121107Cooperative Reduced Power Mode Suspension For High Input/Output ('I/O') Workloads - Method of cooperative reduced power mode suspension for high input/output (‘I/O’) workloads, including: determining, by a transfer monitoring module, a size of a file to be transferred to a recipient, wherein the recipient includes a central processing unit (CPU) operating in a reduced power mode; determining, by the transfer monitoring module, a desired transfer rate for transferring the file to the recipient; calculating, by the transfer monitoring module, an expected transfer completion time in dependence upon the size of the file and the desired transfer rate; and sending, by the transfer monitoring module, a message to the recipient requesting that the CPU suspend the reduced power mode in dependence upon the expected transfer completion time.04-30-2015
20150121108SENSOR-BASED NEAR-FIELD COMMUNICATION AUTHENTICATION - This document describes techniques (04-30-2015
20150121109VOLTAGE REGULATOR AND SEMICONDUCTOR MEMORY DEVICE INCLUDING THE SAME - one example embodiment, a voltage regulator includes a regulating unit configured to generate a cell array operating voltage based on a power supply voltage and a reference voltage, a power switch control unit configured to generate a power switch control signal based on a sensing enable signal, and a power switch unit configured to compensate for a drop in the cell array operating voltage based on the power supply voltage and the power switch control signal, the cell array operating voltage dropping when the sensing enable signal is activated.04-30-2015
20150121110ELECTRONIC CIRCUIT WITH A SLEEP MODE - The present invention concerns an electronic circuit comprising a control signal processing circuit with a control signal input and a control signal output circuit, the processing circuit being arranged to process a control signal applied to the control signal input, and to operate in at least one of a first power mode and a second power mode, the second power mode having a lower power consumption than the first power mode. The electronic circuit further comprises a control signal processing circuit bypass means for providing, when the processing circuit is in the second power mode, a bypass connection for conveying the control signal from the control signal input to the control signal output circuit, thereby bypassing the processing circuit.04-30-2015
20150127965METHOD OF CONTROLLING POWER SUPPLY FOR FINGERPRINT SENSOR, FINGERPRINT PROCESSING DEVICE, AND ELECTRONIC DEVICE PERFORMING THE SAME - A method of controlling power supply for a fingerprint sensor is provided. The method includes receiving sensor data obtained from the fingerprint sensor; determining whether the received sensor data is a fingerprint form of data; if the received sensor data is the fingerprint form of data, determining an amount of change in sensor data received over a predetermined time is greater than or equal to a predetermined value; and if the received sensor data is not the fingerprint form of data or if the received sensor data is the fingerprint form of data but an amount of change in the received sensor data is less than the predetermined value, allowing the fingerprint sensor to enter a power saving mode.05-07-2015
20150127966HSIC COMMUNICATION SYSTEM AND METHOD - A High Speed Inter Chip (HSIC) system and method for minimizing power consumption by controlling the state of the HSIC module through a control line are provided. The method between a host and a slave includes transitioning, when no communication request exists for a first reference time in an active state where all functions of the HSIC modules are enabled, to a suspend state where least functions used for maintaining a communication link of the HSIC modules and transitioning, when no communication request exists for a second reference time in the suspend state, to a power-off state where the HSIC modules turn off. The HSIC communication method and apparatus are advantageous to minimize the electric current consumption of the HSIC consumption system.05-07-2015
20150127967ADAPTIVE POWER CONSERVATION IN STORAGE CLUSTERS - Each node and volume in a storage cluster makes a decision whether to reduce power consumption based on lack of requests from client applications and nodes over a time period. Node configuration parameters determine how long to wait until idling a node or volume, and how long to wait while idle before performing integrity checks. A bid value is calculated by each node and reflects how much it will cost for that node to write a file, read a file, or keep a copy. A node with the lowest bid wins, and nodes that are idle have a premium added to each bid to ensure that idle nodes are kept idle. In an archive mode, writes bids are reversed, nodes with less capacity submit lower bids, fuller nodes fill up faster and are then idled, while empty or near empty nodes may remain idle before winning a write bid.05-07-2015
20150134989SYSTEM AND METHOD FOR REDUCING MEMORY I/O POWER VIA DATA MASKING - Systems and methods are disclosed for reducing memory I/O power. One embodiment is a system comprising a system on chip (SoC), a DRAM memory device, and a data masking power reduction module. The SoC comprises a memory controller. The DRAM memory device is coupled to the memory controller via a plurality of DQ pins. The data masking power reduction module comprises logic configured to drive the DQ pins to a power saving state during a data masking operation.05-14-2015
20150134990INFORMATION PROCESSING APPARATUS, INFORMATION PROCESSING METHOD, AND PROGRAM - Provided is an information processing apparatus including a processor configured to control a system of the information processing apparatus, a power source controller configured to perform control of power supply to the system and to turn off a power source of the power source controller in standby mode in which a power source of the processor is turned off, a memory configured to store information in standby mode, and a power supply unit configured to perform power supply to the memory in standby mode.05-14-2015
20150134991NEGOTIATING A TRANSMIT WAKE TIME - Includes receiving, from a link partner, a message specifying a link partner receive wake time and resolving to the lesser of the received link partner receive wake time and a local transmit wake time.05-14-2015
20150134992POWER-SAVING CIRCUIT FOR COMPUTER - A power-saving circuit for a computer that includes a host and a monitor, the power-saving circuit includes a sensing module and a control module. The sensing module is configured to detect whether an external object in vicinity of the monitor is absent from the vicinity of the monitor, and output a corresponding detecting signal in response to detecting that the external object is absent. The control module includes a controller electronically coupled to the sensing module, the host and the monitor. The controller is configured to calculate a time period of the absence of the external object according to the detecting signal, and control the host and monitor to proceed into different power modes according to the time period.05-14-2015
20150134993System and Method for Providing Power-Save Operation in an In-home Communication Network - A first device of a multimedia over coax alliance (MoCA) network may grant a second device of the MoCA network permission to enter a power-saving state. While the second device is in the power-saving mode, the first device may grant bandwidth to the second device during one or more predetermined timeslots. The bandwidth may be granted without a corresponding reservation request from the second device. While the second device is in the power-saving state, it may track time utilizing a clock that is synchronized to the system time of the MoCA network, and transmit during one or more of the predetermined timeslots without first transmitting a corresponding reservation request. The second device may utilize a first modulation profile when not operating in the power-saving state, and utilize a second modulation profile when operating in the power-saving state.05-14-2015
20150143150DC-Powered System Side Control Of AC-DC Adapter Primary Side Switching Circuitry - Systems and methods are provided for enabling control of adapter primary side switching circuitry of an AC-DC adapter by a DC-powered information handling system that is connected to the AC-DC adapter for receiving DC power from the AC-DC adapter.05-21-2015
20150143151METHOD FOR OPERATING MULTIPLE STANDBY STATES AND BROADCAST RECEIVING APPARATUS USING THE SAME - A method for operating multiple standby states and a broadcast receiving apparatus using the same are provided. The method for operating multiple standby states includes releasing, by a framework, a full wake lock for an electronic device, and acquiring, by the framework, a partial wake lock for the electronic device and entering a first standby state. Accordingly, a broadcast receiving apparatus based on an Android framework can operate multiple standby states which are designated by an application.05-21-2015
20150143152METHOD AND APPARATUS FOR A POWER-EFFICIENT FRAMEWORK TO MAINTAIN DATA SYNCHRONIZATION OF A MOBILE PERSONAL COMPUTER TO SIMULATE A CONNECTED SCENARIO - An apparatus and method for a power-efficient framework to maintain data synchronization of a mobile personal computer (MPC) are described. In one embodiment, the method includes the detection of a data synchronization wakeup event while the MPC is operating according to a sleep state. Subsequent to wakeup event, at least one system resource is disabled to provide a minimum number of system resources required to re-establish a network connection. In one embodiment, user data from a network server is synchronized on the MPC without user intervention; the mobile platform system resumes operation according to the sleep state. In one embodiment, a wakeup alarm is programmed according to a user history profile regarding received e-mails. In a further embodiment, data synchronizing involves disabling a display, and throttling the system processor to operate at a reduced frequency. Other embodiments are described and claimed.05-21-2015
20150149801COMPLEX WAKEUP GESTURE FRAMEWORK - A processing system for sensing includes a sensor module including sensor circuitry coupled to sensor electrodes, the sensor module configured to generate sensing signals received with the sensor electrodes. The processing system further includes a determination module that is configured to determine, from the sensing signals, a positional information for a gesture while a host device is in low power mode, determine, based on the positional information and while the host device is in the low power mode, that the gesture is deliberate input, send, in response to determining that the gesture is deliberate input, a wake signal to the host device to switch the host device out of the low power mode, and send the positional information to the host device after the host device receives the wake signal.05-28-2015
20150149802POWER-SAVE MODE IN ELECTRONIC APPARATUS - This document discloses a solution for employing a power-save mode in an electronic device providing, in a display unit, a plurality of home screens and a mechanism to switch from one home screen to another home screen in response to a user input received through user input means of the electronic device. At least one of the home screens is a home screen for a power-save mode of the electronic apparatus and, upon detecting a user input causing a switch to the home screen for the power-save mode, the electronic device switches on at least some of the power-save features of the electronic device.05-28-2015
20150149803ELECTRONIC DEVICE, CONTROL METHOD, AND COMPUTER-READABLE STORAGE MEDIUM - In an embodiment, an electronic device includes a power supply module, a processor, a mode setting module and a clock module. The processor is configured to operate with power from the power supply module, and to be able to enter a power-saving operation state. The mode setting module turns on or off a mode in which the processor is inhibited from exiting the power-saving operation state due to an interrupt. The clock module is configured to generate the interrupt, if the mode is off, when predetermined time is reached while the processor is in the power-saving operation state, and not to generate the interrupt, if the mode is on, even when the time is reached while the processor is in the power-saving operation state.05-28-2015
20150149804RESPONDING DEVICE AND RESPONDING METHOD - A responding device has operating modes including a first mode and a second mode. The responding device includes a first responding unit and a second responding unit. The first responding unit operates during the first mode and outputs, when receiving a request, a response including information in accordance with the type of the request. The second responding unit outputs the response in place of the first responding unit during the second mode. The second responding unit includes a storage section, an information accumulation section, and a mode transition control section. The information accumulation section stores in the storage section the information included in the response output from the first responding unit during the first mode. The mode transition control section causes the responding device to transition to the second mode when a first condition and a second condition in terms of the information stored in the storage section are satisfied.05-28-2015
20150293575SYSTEM AND METHOD FOR DEFERRING POWER CONSUMPTION BY POST-PROCESSING SENSOR DATA - Systems and methods for determining a battery-level of an electronic device and conserving the battery charge of the electronic device are disclosed. The battery consumption of an electronic device may be reduced when the user or the device learns via user input or determines via prediction that the battery will be depleted before the next possible charge cycle. Reducing battery consumption could be accomplished by accessing an application requiring less power consumption and/or delaying post-processing of sensor data related to that application, for example a camera application. Prediction of battery life could include determining the time to the next expected battery charge and delaying the processing of sensor data until the electronic device is plugged in and charging or has reached a predetermined charge level.10-15-2015
20150293576POWER CONSUMPTION SUPPRESSING DEVICE FOR ELECTRONIC KEY TERMINAL AND POWER CONSUMPTION SUPPRESSING METHOD FOR ELECTRONIC KEY TERMINAL - Provided is a power consumption suppressing device for electronic key terminals capable of effectively suppressing electronic key terminal power consumption. An electronic key terminal comprises a charge state detection unit to specify a charging point where a storage battery can be charged. Moreover, the electronic key terminal comprises a power consumption suppression unit to suppress power consumption by the electronic key terminal on the condition of the specified charging point not being contained in an arrival point and a destination of a vehicle.10-15-2015
20150293579COGNITIVE ENERGY SAVING METHOD AND APPARATUS - Systems and methods for reducing the amount of power consumed by an electronic or electrical device by using collected knowledge of the operation of the device to determine when to place the device in an ultra-low power consumption mode.10-15-2015
20150293580DEVICE POWER SAVING DURING EXERCISE - A method includes: supporting a normal operation mode during which functionalities of a portable apparatus are available through an operating system of the apparatus, wherein the operating system includes a plurality of layers including a kernel and library functions-layer; supporting a limited operation mode during which the apparatus is configured to execute a physical activity algorithm based on physical activity data corresponding to a physical activity session performed by a user of the apparatus, wherein the physical activity algorithm applies a direct low-level hardware access bypassing at least the layers above the kernel and the library functions-layer; and switching between the normal operation mode and the limited operation mode.10-15-2015
20150293581ELECTRONIC DEVICE AND CONTROL METHOD - An electronic device and a control method thereof are described. The control method is adopted by an electronic device which includes a touch panel, a processing unit and an IO port. The control method includes: executing an application, and outputting an output signal from the IO port to an external device according to the application; receiving sense energies, by a plurality of sense units of the touch panel; setting the sense unit with the sense energy exceeding a predetermined energy as a touched sense unit; and when a first condition is met, stopping or suspending, by the processing unit, the application; wherein the first condition includes a touch trail formed by the touched sense units stretching from the first side to the second side and lasting for a predetermined period.10-15-2015
20150293582Energy Efficient Blade Server and Method for Reducing the Power Consumption of a Data Center Using the Energy Efficient Blade Server - An energy efficient blade server and a method for reducing the power consumption of data centers using said energy efficient blade server. The energy efficient blade server receives a process through a front-end processing unit and determines a specific processing resource tier from a plurality of processing resource tiers according to a green energy efficiency value, wherein the green energy efficiency value the most efficient processing resource tier for the process. The front-end processing tier notifies a power management control tier to power on the specific processing resource tier through a tier power control device, wherein the process is then transferred from the front-end processing tier to the specific processing resource tier. The green energy efficiency value is determined according to a number of parameters monitored by a plurality of monitoring components of the power management control tier.10-15-2015
20150301573LATENCY-BASED POWER MODE UNITS FOR CONTROLLING POWER MODES OF PROCESSOR CORES, AND RELATED METHODS AND SYSTEMS - Latency-based power mode units for controlling power modes of processor cores, and related methods and systems are disclosed. In one aspect, the power mode units are configured to reduce power provided to the processor core when the processor core has one or more threads in pending status and no threads in active status. An operand of an instruction being processed by a thread may be data in memory located outside processor core. If the processor core does not require as much power to operate while a thread waits for a request from outside the processor core, the power consumed by the processor core can be reduced during these waiting periods. Power can be conserved in the processor core even when threads are being processed if the only threads being processed are in pending status, and can reduce the overall power consumption in the processor core and its corresponding CPU.10-22-2015
20150301584POWER SAVING BY REUSING RESULTS OF IDENTICAL MICRO-OPERATIONS - A data processing apparatus has control circuitry for detecting whether a current micro-operation to be processed by a processing pipeline would give the same result as an earlier micro-operation. If so, then the current micro-operation is passed through the processing pipeline, with at least one pipeline stage passed by the current micro-operation being placed in a power saving state during a processing cycle in which the current micro-operation is at that pipeline stage. The result of the earlier micro-operation is then output as a result of said current micro-operation. This allows power consumption to be reduced by not repeating the same computation.10-22-2015
20150301587APPARATUS AND METHOD FOR CONTROLLING POWER OF ELECTRONIC DEVICE - A power control method of an electronic device is provided. The electronic device transmits power change information containing a power control value of an application to another electronic device, and receives and stores power control information about the application transmitted from the another electronic device. If a power level of the electronic device is lower than a predetermined power change level when the application is executed, the electronic device executes the application with power control data of the application in the power control information stored in the electronic device.10-22-2015
20150301588METHOD FOR CONTROLLING A SLEEP MODE AND ELECTRONIC DEVICE THEREOF - A method and an apparatus for controlling a sleep mode in an electronic device are provided. The method for controlling the sleep mode in the electronic device includes determining whether a sleep mode entry occurs, identifying an application running when the sleep mode entry occurs, and selectively restricting the sleep mode entry based on the identified application.10-22-2015
20150309558DATA INTERFACE POWER CONSUMPTION CONTROL - Apparatus and techniques relating to data interface power consumption control are disclosed. Components of a data transfer module may be selectively moved between their normal operating states and reduced power states at times when the data transfer module is not to be used for transferring data. Decisions as to particular components that are to be moved to their reduced power states may be based on respective timing characteristics of the components and/or respective power consumption characteristics of the components, for example. In some embodiments, an action may be performed to reduce a powering up time of the data transfer module when normal operation of the data transfer module is to resume. In the case of a multiple-connection interface having respective data transfer modules for each connection, the interface may be partially shut down by moving a subset of the data transfer modules into reduced power states.10-29-2015
20150316971METHOD AND APPARATUS TO REDUCE POWER CONSUMPTION OF MOBILE AND PORTABLE DEVICES WITH NON-VOLATILE MEMORIES - An unified power management scheme for all the idle subsystems during normal mode of operation and power save mode of operation reduces significant power and time during saving and restoring context of System on a chip (SoC). Power management schemes based on subset of manufacturing tests and high speed non-volatile memory provides transparency and shortest latency of entering and exiting power save mode and as a result providing significant power savings and extending battery life. Due to the shortest logic delays in some phases of logic scan, memory BIST and analog BIST, entry procedure and exit procedures from power save mode consume least amount of time with little overhead due to clock switching and power gating procedures. Any part of SoC that can be tested during manufacture using standard procedures of logic scan, memory BIST, analog BIST and boundary scan will be able to enter and exit power save mode and still retain the state. By enabling power to the functional units only while they are performing a function prolongs the duration of normal operation with a single charging of the battery for mobile and portable devices.11-05-2015
20150316977DYNAMIC BIASING CIRCUIT FOR TRANSCEIVER - Embodiments include apparatuses, methods, and systems for providing a dynamic bias voltage to one or more transistors of a transceiver. In embodiments, a transceiver may include receive circuitry and transmit circuitry coupled to a same input/output (I/O) pad. A dynamic biasing circuit may detect a voltage level of a data signal on the I/O pad, and may generate a dynamic bias voltage having a value based on the detected voltage level. In some embodiments, the dynamic bias voltage may be a selected one of a first bias voltage or a second bias voltage, The dynamic biasing circuit may provide the dynamic bias voltage to one or more transistors of the transceiver to protect the transistors from electrical overstress.11-05-2015
20150323976Memory refresh rate throttling for saving idle power - A computer system maintains a threshold value, wherein the threshold value indicates a period of time. The computer system determines that a processor is in any one of a plurality of low power consumption states. Responsive to a determination that the processor is in any one of the plurality of low power consumption states, the computer system increments a counter. The counter indicates the period of time the processor has been in any one of the plurality of low power consumption state. The computing system determines that the counter value is equal to or greater than the threshold value. Responsive to a determination that the counter value is equal to or greater than the threshold value, the computer system sends a first indication to a memory module indicating to the memory module to reduce the memory module refresh rate.11-12-2015
20150323978POWER SUPPLY MANAGEMENT DEVICE, ELECTRONIC APPARATUS, AND POWER SUPPLY MANAGEMENT METHOD - A power supply management device includes: a signal-output-unit for outputting, for each of a plurality of power-supply-lines for which voltage supply is started in a predetermined order, a signal indicating that a voltage of the power-supply-line has reached a given level; and a voltage-shut-off-circuit for short-circuiting one of the power-supply-lines for which voltage supply is started second or later, to a portion at a voltage level lower than a level of a voltage supplied to each of the power-supply-lines, at or before a time point of start of voltage supply to one of the power-supply-lines for which voltage supply is started first, and releasing the one power-supply-line from being short-circuited in accordance with the signal indicating that a voltage of one of the power-supply-lines for which voltage supply is started immediately before voltage supply to the one power-supply-line is started has reached a given level.11-12-2015
20150323979USB POWER PORT CONTROL - A system, method and device for providing over-current protection to USB ports comprised in a USB hub, whereby a single switch is used to control the power supply to one or more groups of USB ports based on determinations whether the current drawn by a group of USB ports has exceeded a predefined current limit. Embodiments provide the cost savings associated with gang mode power port switching and the reduced stress on USB components and individualized protection of USB ports associated with individual mode power switching. Embodiments utilize a plurality of current measurement units to measure the current drawn by each group of USB ports supported by a switch and further utilize a power port control logic unit to control the switch based on whether the current measurements have exceeded a current limit.11-12-2015
20150323981COORDINATED MULTI-DEVICE POWER MANAGEMENT - Techniques related to coordinating power management for multiple devices are discussed. Such techniques may include establishing communications between devices, inventorying the components of each device, and implementing a power management plan to eliminate any redundancy in the components and reduce the total power consumed by the devices.11-12-2015
20150323983Implementing a Power Off State in a Computing Device - Example embodiments disclosed herein relate to implementing a power down state in a computing device. A sleep command is issued to place a computing device in a sleep state in response to receipt of a power off command at the computing device. Content of memory of the computing device is written to non-volatile storage of the computing device and the computing device is placed in a power off state.11-12-2015
20150323984ELECTRONIC DEVICE FOR EXTENDING BATTERY LIFE IN MOBILE APPLICATIONS - In one embodiment, an electronic device comprises an oscillator configured to generate an oscillator signal, and a timing circuit configured to generate a count value based on the oscillator signal, to compare the count value with a first compare value, to determine a first expiry event upon the count value matching the first compare value, and to generate a first wakeup signal in response to the first expiry event. The electronic device also comprises a battery pass circuit configured to receive the first wakeup signal, and to couple a power source to a main device in response to the first wakeup signal to power on the main device. The electronic device further comprises a state sequencing circuit configured to store a state of the main device, and an interface circuit configured to communicate the stored state to the main device.11-12-2015
20150323985INFORMATION PROCESSING APPARATUS, NON-TRANSITORY COMPUTER READABLE MEDIUM, AND INFORMATION PROCESSING METHOD - An information processing apparatus includes a first controller, a second controller, and a shared memory. The first controller outputs a transition signal that indicates a transition to a power-saving mode in which power consumption is reduced compared to a normal mode. The second controller outputs instruction information that indicates an instruction provided from the first controller before the transition signal is output on the basis of the transition signal output from the first controller. The shared memory is connected to the first controller and the second controller to be shared, and stores the instruction information output from the second controller even after a transition is made to the power-saving mode.11-12-2015
20150323986Reducing power consumption of a wearable device utilizing eye tracking - Systems and methods that enable a reduction of the power consumption involved in measuring a user's affective response to content with a sensor belonging to a wearable device. The reduction in power consumption is achieved by utilizing eye tracking to determine when a user is paying attention to the content, and accordingly setting a mode of operation of the wearable device to measure the user. Thus, by using different modes of operation, which are characterized by different energy consumption rates, the total power consumption of the wearable device may be reduced, essentially without loss of relevant measurements.11-12-2015
20150331468Soft Switching Control After Power Interruption - In some implementations, power provided to a computing system, such as a rack system, may be interrupted. This interruption is detected, and in response, power from a battery backup system is directed to the computing system to replace the interrupted power source, which in some implementations may be a main AC power grid source. A signal is received indicating that a backup power source, for example a backup generator system, is online and prepared to deliver power to the computing system. For an initial period of time, this backup power source is prevented from providing power to the computing system. For example, the backup generator system may be providing power to a power supply unit of the computing system, but the power is not allowed to flow to the computing system. After the initial period of time passes, the power provided to the computing system by the battery backup unit is reduced at a particular rate of change while the power from the backup generator system is allowed to flow to the computing system through the power supply unit.11-19-2015
20150331469POWER SUPPLY MANAGEMENT INTEGRATED CIRCUIT - A power management integrated circuit comprises a plurality of power source circuits power received at a power supply input terminal to supply power to a plurality of power supply output terminals. A plurality of power source circuits is coupled between the power supply input terminal and the respective power supply output terminals. The power management integrated circuit comprises an active configuration memory and a communication interface with at least one terminal for uploading configuration data from outside the power management integrated circuit into the configuration memory. A control circuit controls operating parameters of respective ones of the power source circuits dependent on the configuration data from the active configuration memory. Thus, the power management integrated circuit is able to switch between different power supply states in a dynamically configurable way, without requiring external control over the configuration during switching.11-19-2015
20150331472DUAL POWER SUPPLY SYSTEM AND ELECTRICALLY DRIVEN VEHICLE - A dual power supply system includes a first power storage battery, a second power storage battery, and a power controller. The first power storage battery is to supply power to a load and has a first internal resistance. The second power storage battery is to supply power to the load and has a second internal resistance higher than the first internal resistance. The power controller is configured to control the second power storage battery to be charged and discharged. The power controller is configured to prohibit the second power storage battery from being charged while the load is in operation.11-19-2015
20150331475MANAGING APPARATUS, MANAGING METHOD, AND STORAGE MEDIUM STORING PROGRAM - A managing apparatus controls the power status of an image forming apparatus in accordance with a notification of event occurrence from the image forming apparatus. Upon receiving the notification, it is determined whether the first power status of the image forming apparatus according to a schedule and the second power status of the image forming apparatus controlled based on the notification match each other. Upon determining that the power statuses do not match, the first power status or the second power status is selected based on priority information. When the first power status is selected, the managing apparatus prohibits control of the power status of the image forming apparatus. When the second power status is selected, the managing apparatus invalidates control of the power status of the image forming apparatus according to the schedule.11-19-2015
20150331478CONTROL DEVICE FOR A VEHICLE NETWORK AND METHOD FOR OPERATING A VEHICLE NETWORK - A control device for a vehicle network, having a microprocessor and a transceiver. The control device can be switched off or switched to a sleep mode during a previously defined state or event during the operation of the motor vehicle and/or the control device can be awakened from the sleep mode during a previously defined state or event during the operation. The disclosed embodiments also relate to a method for operating a vehicle network.11-19-2015
20150331479CARD AND HOST APPARATUS - A host apparatus, into which a card having a nonvolatile semiconductor memory is inserted, issues a check command to the card. The check command instructs to send information on whether the card supports a termination process in which the card shifts into a state ready for a stop of power supply from the host apparatus.11-19-2015
20150338892METHODS AND APPARATUSES FOR OPERATING A DATA PROCESSING SYSTEM - Methods and apparatuses to manage working states of a data processing system. At least one embodiment of the present invention includes a data processing system with one or more sensors (e.g., physical sensors such as tachometer and thermistors, and logical sensors such as CPU load) for fine grain control of one or more components (e.g., processor, fan, hard drive, optical drive) of the system for working conditions that balance various goals (e.g., user preferences, performance, power consumption, thermal constraints, acoustic noise). In one example, the clock frequency and core voltage for a processor are actively managed to balance performance and power consumption (heat generation) without a significant latency. In one example, the speed of a cooling fan is actively managed to balance cooling effort and noise (and/or power consumption).11-26-2015
20150338898INFORMATION PROCESSING APPARATUS, INFORMATION PROCESSING METHOD, AND RECORDING MEDIUM - An information processing apparatus includes a processor configured to shift to an energy saving mode in which predetermined functions are inactivated. The processor includes a detection unit that detects a shutdown operation in the energy saving mode of the information processing apparatus, an operation reception unit that displays, when the shutdown operation is detected, a screen in which a user is prompted to determine whether performance of a shutdown process is to be continued, the operation reception unit receiving an operation through the screen, and a shutdown unit that performs the shutdown process when an operation to continue performance of the shutdown process is received through the screen.11-26-2015
20150338903DISPLAY APPARATUS, DISPLAY METHOD, AND PROGRAM - Upon completion of rewriting of a page of a document, a display apparatus having a display unit with memory switches to a low power consumption mode without rewriting the display on a display unit, and stops power supply to the display unit. When a page updating operation is performed in the low power consumption mode, the display apparatus supplies power to the display unit, and rewrites the display screen with the screen of the next page.11-26-2015
20150338906INFORMATION PROCESSING APPARATUS, CONTROL METHOD FOR INFORMATION PROCESSING APPARATUS, AND NON-TRANSITORY COMPUTER-READABLE MEDIUM - An information processing apparatus comprises: a power control unit that, when the information processing apparatus operates in a first power mode, controls to supply power to both a first control unit and a second control unit, and when the information processing apparatus operates in a second power mode in which a power consumption is smaller than that in the first power mode, controls to supply power to the second control unit, wherein when the information processing apparatus operates in the second power mode, the second control unit can respond to a status request of a first type in which specific identification information is designated and a status request of a second type in which specific identification information is designated while maintaining the second power mode.11-26-2015
20150338909POWER MANAGEMENT OF INFINIBAND SWITCHES - Methods for performing power management of InfiniBand (IB) switches and apparatus and software configured to implement the methods. Power management datagrams (MADs) are used to inform IB switches that host servers connected to the IB switch's ports are to transition to a reduced-power or offline state or have returned to a normal operating state. A subnet management agent (SMA) on the IB switch receives the power MADs from the host servers and tracks each server's operating state. In response to power down MADs, the SMA coordinates power reduction of the switch's ports and other switch circuitry. For switches with multi-port IB interfaces, a multi-port interface is caused to enter a reduced-power state when all of its ports are connected to host servers that are idle or offline. Additionally, when all of a switch's ports are connected to idle or offline servers the SMA may put the switch's core switch logic into a reduced-power state. Power MADs are also used to inform upstream IB switches when a switch is to transition to a reduced power state or has returned to a normal operating state.11-26-2015
20150338911ELECTRONIC DEVICE AND METHOD FOR CONTROLLING ELECTRONIC DEVICE - There are provided n (n is an integer greater than or equal to 2) physical layer control units which communicate with another apparatus connected to a device itself and control a physical layer, a logical layer control unit which controls a logical layer in communication with the other apparatus, and a control unit which controls the logical layer control unit from a power-supply ON state to a standby state in accordance with a standby instruction for the device itself, wherein one physical layer control unit of the n physical layer control units generates a clock using a connected resonator and the other physical layer control units receive the clock generated by the physical layer control unit to which the resonator is connected.11-26-2015
20150346800THERMALLY ADAPTIVE QUALITY-OF-SERVICE - A method and apparatus of a device that manages a thermal profile of a device by selectively throttling central processing unit operations of the device is described. The device manages a thermal profile of the device by adjusting a throttling a central processing unit execution of a historically high energy consuming task. In this embodiment, the device monitors thermal level of the thermal profile of the device, the device is executing a plurality of tasks that utilize a plurality of processing cores of the device. If the thermal level of the device exceeds a thermal threshold, the device identifies one of the plurality tasks as a historically high energy consuming task, and throttles this historically high energy consuming task by setting a force idle execution time for the historically high energy consuming task. The device further executes the plurality of tasks.12-03-2015
20150346807ADAPTIVE CONTROL OF RF LOW POWER MODES IN A MULTI-RATE WIRELESS SYSTEM USING MCS VALUE - Methods, systems, and devices are described for power conservation in a wireless communications system. In embodiments, power conservation may be achieved by adaptively controlling power modes of a wireless communication device, using a modulation and coding scheme (MCS) value as a factor for guidance. According to one aspect, the device may be in a reception mode. While in a first power mode, the device may receive control information for incoming data that is being transmitted via a transmission frame. The control information may be located in a first portion of the frame with the data following in a second portion of the frame. The control information may include or otherwise indicate an MCS value corresponding to the MCS applied to the incoming data. Based on the MCS value, the device may be adaptively switched to a second power mode for receiving the incoming data.12-03-2015
20150346809THERMAL MITIGATION USING SELECTIVE TASK MODULATION - A method and apparatus of a device that manages a thermal profile of a device by selectively throttling central processing unit operations of the device is described. The device monitors the thermal profile of the device, where the device executes a plurality of tasks that utilizes a central processing unit of the device. In addition, the plurality of tasks includes a high QoS task and a low QoS process. If the thermal profile of the device exceeds a thermal threshold, the device increases a first CPU throttling for the low QoS task and maintains a second CPU throttling for the high QoS task. The device further executes the low QoS task using the first CPU utilization with the first processing core of the CPU by selectively forcing an idle of the low QoS task during an execution window. In addition, the device executes the high QoS task using the second CPU throttling with a second processing core of the CPU.12-03-2015
20150355700SYSTEMS AND METHODS OF MANAGING PROCESSOR DEVICE POWER CONSUMPTION - The aspects include systems and methods of managing processor device power consumption. A processor may determine a thread execution metric for each of a plurality of threads scheduled for execution in a processor comprising a plurality of processing cores. The processor may allocate to a selected processing core or cores those threads whose thread execution metric satisfies a threshold. The processor may reduce a frequency of the selected processing core or cores to reduce the power consumption.12-10-2015
20150355704METHOD FOR REDUCING POWER CONSUMPTION IN SOLID-STATE STORAGE DEVICE - Apparatus and methods of reducing power consumption in solid-state storage devices such as solid-state disks (SSDs) that can reduce idle power levels in an SSD, while maintaining low resume latency upon exiting a reduced power state. By arranging a storage controller and at least one NAND flash package of the SSD in separate power islands, storing context information for the SSD in at least one page buffer of NAND flash memory within the NAND flash package on one power island upon entering the reduced power state, and, once the context information is stored in the page buffer, allowing the NAND flash memory to enter a standby mode, placing the storage controller on the other power island in a predefined low power mode, and removing power from any unneeded components on the same power island as the storage controller, a scalable approach to reducing idle power levels in the SSD can be achieved.12-10-2015
20150362982SERVER SYSTEM AND CLUSTER SYSTEM USING THE SAME - A server system and cluster system using the same. The server system includes power supply module for providing first operation power, an energy-storing module for providing a stored power, power management module coupled to power supply module and energy-storing module for receiving first operation power and providing a second operation power, or for receiving the stored power and providing a third operation power, at least one motherboard having internal memory module for receiving second operation power or third operation power, and an external memory module coupled to the at least one motherboard. The present invention retains the data in the memory and the operating messages while a power failure occurs suddenly in the server so that server system is capable of restoring the data and the operating messages before the power failure to simplify the system and reduce the cost.12-17-2015
20150362985SYSTEMS AND METHODS FOR FACILITATING DEVICE CONTROL, DEVICE PROTECTION, AND POWER SAVINGS - Systems, methods, and apparatus to facilitate wireless device monitoring and control are provided. A first device controller may be adapted to be disposed within a power connector, in series with conductors of the power connector. The power connector may be adapted to provide power from a power source to a device. The first device controller may include two terminals to electrically couple the first device controller with the conductors of the power connector. The first device controller may further include a power component to power the first device controller. The first device controller may be configured to monitor one or more conditions of the device, control one or more functions of the device, and wirelessly communicate with a system controller that is remote from the power connector and the device. The power connector may correspond to a power plug and/or a terminal block.12-17-2015
20150370307MANAGING POWER CONSUMPTION STATE OF ELECTRONIC DEVICES RESPONSIVE TO PREDICTING FUTURE DEMAND - A system and process that incorporates teachings of the subject disclosure may include, for example, transitioning a processor from a high-power consumption state to a low-power consumption state, wherefrom return to the high-power consumption state includes a boot process. Future demand for operation of the processor in the high-power consumption state is predicted, while the processor is in the low-power consumption state. The processor is transitioned from the low-power consumption state to the high-power consumption state in response to predicting future demand for operation of the processor in the high-power consumption state. Such transition of the processor from the low-power consumption state to the high-power consumption state sufficiently precedes actual demand for operation of the processor in the high-power consumption state so as to avoid perceptible user delay. Other embodiments are disclosed.12-24-2015
20150370309PERIPHERAL DEVICE ASSISTANCE IN REDUCING CPU POWER CONSUMPTION - A method for processing data includes receiving in a peripheral device, which is connected by a bus to a host processor having multiple host resources, information regarding respective power states of the host resources. The data are selectively directed from the peripheral device to the host resources responsively to the respective power states.12-24-2015
20150370310ELECTRONIC DEVICE - The electronic device, which is to be carried by the user, has a first inertial force sensor, a second inertial force sensor, an action-mode determiner, and a controller. The action-mode determiner determines an action mode of the user based on at least one of a first inertial force signal fed from the first inertial force sensor and a second inertial force signal fed from the second inertial force sensor. When the action-mode determiner determines that the user starts a first action based on the first inertial force signal or on both of the first inertial force signal and the second inertial force signal, the controller reduces power to be supplied to the first inertial force sensor.12-24-2015
20150370311DECOUPLED ENTRY AND EXIT PREDICTION FOR POWER GATING - Power gating logic detects a transition of a component of a processing device into an idle state. In response to detecting the transition, the entry/exit power gating logic selectively implements one or more entry prediction techniques for power gating the component based on estimates of reliability of the entry prediction techniques. The entry/exit power gating logic also selectively implements one or more exit prediction techniques for exiting the power gated state based on estimates of reliability of the exit prediction techniques.12-24-2015
20150370312ELECTRONIC MONITORING DEVICE HAVING WAKE-UP FOR DAISY CHAIN - A monitoring device has an event monitor, an uplink interface to a chain controller device, and a downlink interface to a further monitoring device, and a daisy controller for coupling the uplink to the chain downlink. The event monitor, in response to detecting an event in sleep mode, generates a wake-up signal. The daisy controller sets the electronic monitoring device to a wake-up request mode and disables the bidirectional data communication via the downlink interface, and subsequently transmits a wake-up request to the chain controller device via the uplink interface. In response to receiving a wake-up command, the daisy controller re-enables the bidirectional data communication via the downlink interface and sets the electronic monitoring device to the operational mode. Thereby a wake-up sequence is performed while the wake-up request mode avoids bus conflicts.12-24-2015
20150370313SEMICONDUCTOR DEVICE - Power consumption is reduced. A semiconductor device includes an arithmetic processing circuit, a power supply circuit, a power management unit (PMU), and a power switch. The arithmetic processing circuit includes a storage circuit for retaining generated data. The storage circuit includes a backup circuit including a transistor and a capacitor. When a control signal for transition to a resting state is input from the arithmetic processing circuit to the PMU, the PMU performs voltage scaling operation for lowering the power supply potential of the arithmetic processing circuit. When the time of the voltage scaling operation is longer than the time of the resting state, the PMU performs power gating operation for stopping supply of power to the arithmetic processing circuit. The storage circuit performs data backup operation before the PMU performs the voltage scaling operation.12-24-2015
20150370315SYSTEM AND METHOD FOR STANDBY POWER REDUCTION IN A SERIAL COMMUNICATION SYSTEM - In a serial communication system having a device including a receiver detection module, this specification is directed to systems and methods for selectively reducing the power consumed by the receiver detection module, preferably when the device is operating in a low power mode. In some embodiments, a signal detection module is configured to receive a control signal from the transmitter of a device at the other end of the communications link to control the operation of the receiver detection module. The control signal may be in-band or may be transmitted on a sideband of the serial link.12-24-2015
20150378423POWER TRACE PORT FOR TRACING STATES OF POWER DOMAINS - A power trace port included in a system (e.g., a microcontroller system) having multiple power domains includes a power trace port that outputs digital signals indicating the states of the power domains. If each power domain is independent of other power domains in the system, each power domain can have its own set of power trace pins in the power trace port that are at least partially external to the system. If a power domain has multiple states, multiple pins can be used to indicate the multiple states. In some implementations, the power trace port can include performance level pins for providing performance level signals. The power trace port can be coupled to power trace probes of a power analyzer that is external to the system for generating power traces.12-31-2015
20150378425LOW LATENCY COMPUTER SYSTEM POWER REDUCTION - Technology for handling overcurrent conditions on electrical circuits that power multiple computing modules is disclosed. Aspects of the technology include a power system adapted to provide notifications of overcurrent conditions, and computing modules adapted to reduce an operating speed thereof in response to notification of an overcurrent condition.12-31-2015
20150378428MULTIPLE LINK POWER ALLOCATION SYSTEM - A multiple link power allocation system includes a powered IHS coupled to a powering IHS by a plurality of networking cables that transmit data and power to provide a plurality of data/power links. The powering IHS may detect that the data/power links are connected to the powered IHS, determine a total power amount needed by the powered IHS, and provide the total power amount to the powered IHS using the data/power links. The powered IHS may detect the connection of an initial data/power link, enable a first powered IHS function mode and, in response, draw a first power amount through the initial data/power link. The powered IHS may also detect the connection of subsequent data/power link(s), enable a second powered IHS function mode and, in response, draw a second power amount through the initial data/power link and the subsequent data/power link(s) that is greater than the first power amount.12-31-2015
20160004295DATA PROCESSING APPARATUS, INFORMATION PROCESSING METHOD, AND STORAGE MEDIUM - A data processing apparatus includes first and second information processing apparatuses. The first information processing apparatus has a first power mode and a second power mode in which electric power consumption is smaller than in the first power mode. The second information processing apparatus is capable of communicating with the first information processing apparatus and an external apparatus via a network. Definition information including identification information identifying a packet received via the network and process information indicating a process to be performed on the packet is received at least from one of the first information processing apparatus and the external apparatus. If a packet is received from the external apparatus in the second power mode, the packet is analyzed to identify definition information corresponding to the packet based on the identification information. A process is performed according to the process information included in the definition information.01-07-2016
20160004296AUTONOMOUS C-STATE ALGORITHM AND COMPUTATIONAL ENGINE ALIGNMENT FOR IMPROVED PROCESSOR POWER EFFICIENCY - Methods and apparatus relating to autonomous C state mechanism and computational engine alignment for improved processor power efficiency are described. An embodiment determines whether a semiconductor package should enter a package C state based on energy consumption values for entry into and exit from the package C state, an amount of time the semiconductor package stayed in the package C state previously, and one or more breakeven time points between the various package C states. Another embodiment detects a delay by an imaging computational unit of a processor to enter a low power consumption state relative to one or more other computational units of the processor. The logic causes the imaging computational unit to enter the low power consumption state in response to detection of the delay. Other embodiments are also disclosed and claimed.01-07-2016
20160011640FAST RESUME FROM HIBERNATE01-14-2016
20160011648METHOD AND NETWORK UNITS FOR HANDLING STATES01-14-2016
20160011649ELECTRONIC APPARATUS, POWER SUPPLY CONTROL METHOD, AND PROGRAM01-14-2016
20160011650POWER SUPPLY SYSTEM AND CONTROL METHOD THEREOF01-14-2016
20160011651DISCRETE POWER CONTROL OF COMPONENTS WITHIN A COMPUTER SYSTEM01-14-2016
20160011652METHOD AND APPARATIS FOR PROCESSOR STANDBY01-14-2016
20160011653Method for controlling energy consumption in a wrist wearable device, and a device using same01-14-2016
20160018873Low-Power Communication Apparatus with Wakeup Detection and Associated Methods - An apparatus includes a communication circuit coupled to a communication link, a wakeup detector, and a power control circuit. The communication circuit has a first state and a second state. The power consumption of the communication circuit is lower in the second state than in the first state. The wakeup detector is coupled to the communication link. The wakeup detector generates a wakeup signal to cause the communication circuit to make a transition from the second state to the first state in response to an occurrence of an event on the communication link. The power control circuit selectively supplies power to the communication circuit in response to the wakeup signal.01-21-2016
20160018876SWITCHED MODE POWER SUPPLY, A CONTROLLER THEREFOR, A LIGHTING CIRCUIT AND A CONTROL METHOD - A switched mode power supply for converting an input voltage and comprising: a series arrangement of an inductor, a power switch and a control switch for controlling the power switch; a controller configured to control the control switch and having a supply terminal and a ground terminal; a capacitor coupled between the controller ground terminal and supply terminal and for providing power to the controller; a first current path arranged to provide charge to the capacitor only when the control switch is open and the power switch is closed; a connectable second current path arranged to draw current from the control terminal of the power switch thereby opening the power switch, and a connection switch configured to connect the second current path in response to a voltage across the capacitor exceeding a threshold voltage. A controller, lighting circuit and corresponding method are also disclosed.01-21-2016
20160018877REDUCING POWER CONSUMPTION IN A SERVER CLUSTER - A method of reducing power consumption of a server cluster of host systems with virtual machines executing on the host systems is provided. The method includes recommending host system power-on when there is a host system whose utilization is above a target utilization, and recommending host system power-off when there is a host system whose utilization is below the target utilization. Recommending host system power-on includes calculating impact of powering on a standby host system with respect to reducing the number of highly-utilized host systems in the server cluster. Recommending host system power-off includes calculating impact of powering off a host system with respect to decreasing the number of less-utilized host systems in the server cluster.01-21-2016
20160018880METHOD AND APPARATUS FOR POWER MANAGEMENT - An apparatus is provided comprising a system including one or more electronic components; a power input unit arranged to supply power to the system; and a power management module configured to: detect whether the system is in an abnormal state, and in response to detecting that the system is in the abnormal state, adjust at least one of (i) power supplied from a battery to the system, (ii) the power supplied from the power input unit to the system, and (iii) power supplied from the power input unit to the battery.01-21-2016
20160018882OPERATING POINT MANAGEMENT IN MULTl-CORE ARCHITECTURES - For one disclosed embodiment, a processor comprises a plurality of processor cores to operate at variable performance levels. One of the plurality of processor cores may operate at one time at a performance level different than a performance level at which another one of the plurality of processor cores may operate at the one time. The plurality of processor cores are in a same package. Logic of the processor is to set one or more operating parameters for one or more of the plurality of processor cores. Logic of the processor is to monitor activity of one or more of the plurality of processor cores. Logic of the processor is to constrain power of one or more of the plurality of processor cores based at least in part on the monitored activity. The logic to constrain power is to limit a frequency at which one or more of the plurality of processor cores may be set. Other embodiments are also disclosed.01-21-2016
20160018883DYNAMIC POWER LIMIT SHARING IN A PLATFORM - A method and apparatus for dynamic power limit sharing among the modules in the platform. In one embodiment of the invention, the platform comprises a processor and memory modules. By expanding the power domain to include the processor and the memory modules, dynamic sharing of the power budget of the platform between the processor and the memory modules is enabled. For low-bandwidth workloads, the dynamic sharing of the power budget offers significant opportunity for the processor to increase its frequency by using the headroom in the memory power and vice versa. This enables higher peak performance for the same total platform power budget in one embodiment of the invention.01-21-2016
20160026232INFORMATION PROCESSING APPARATUS, CONTROL METHOD FOR INFORMATION PROCESSING APPARATUS, AND STORAGE MEDIUM - An information processing apparatus according to the present embodiment is capable of transiting to a power saving state and retains a status of a resume requesting device before the information processing apparatus transits to the power saving state. When resuming from the power saving state, the information processing apparatus acquires a status of the resume requesting device. The information processing apparatus further determines a device to which power is supplied based on a result of comparison between the status of the resume requesting device retained and the status of the resume requesting device acquired.01-28-2016
20160026234Interfacing Dynamic Hardware Power Managed Blocks and Software Power Managed Blocks - A method and apparatus for interfacing dynamic hardware power managed blocks and software power managed blocks is disclosed. In one embodiment, and integrated circuit (IC) may include a number of power manageable functional units. The functional units maybe power managed through hardware, software, or both. Each of the functional units may be coupled to at least one other functional unit through a direct communications link. A link state machine may monitor each of the communications links between functional units, and may broadcast indications of link availability to the functional units coupled to the link. Responsive to a software request to shut down a given link, or a hardware initiated shutdown of one of the functional units coupled to the link, the link state machine may broadcast and indication that the link is unavailable.01-28-2016
20160026235METHOD FOR CONTROLLING SENSOR - A method for controlling a sensor includes steps of: sensing an object for determining whether the object is within a sensing range of the sensor; if it is determined that the object is not within the sensing range, the sensor is turned into a non-active mode for a predicted non-active time, wherein the predicted non-active time is calculated based on a predetermined or a historical non-active time; sensing the object for determining whether the object is within the sensing range during the predicted non-active time; and if it is determined that the object is not within the sensing range during the predicted non-active time, the sensor is turned into a sleep mode for an predicted sleep time, wherein the predicted sleep time is calculated based on the predetermined non-active time and a predetermined sleep time, or is calculated based on the historical non-active time and a historical sleep time.01-28-2016
20160026236METHOD FOR DISPLAYING ITEMS IN AN ELECTRONIC DEVICE WHEN THE DISPLAY SCREEN IS OFF - A method for controlling display of at least one item in an electronic device is provided. The method includes, while a display screen of the electronic device is in an off state, detecting a gesture performed on a portion of the display screen using a low power controller and controlling, by the low power controller, to display the one or more items on the display screen in response to the detecting of the gesture. The one or more items are displayed in part of the portion of the display screen of the electronic device.01-28-2016
20160026550ELECTRONIC APPARATUS AND DEVICE DETECTION METHOD - An apparatus includes a memory, a first processor, and a second processor, wherein the first processor is configured to retain first order data that indicate a first order of the plurality of devices, generate second order data that indicate a second order which is a portion of a first order, and decrease power consumption of the first processor after generate the second order data, the memory is configured to retain the second order data, the second processor is configured to detect a plurality of devices, determine whether or not a detection order of the plurality of devices corresponds with the second order, and output a correspondence signal in a case where the detection order corresponds with the second order, and the first processor is configured to increase the power consumption of the first processor based on the correspondence signal.01-28-2016
20160034018Operating System Management of Network Interface Devices - Operating system management of network interface devices is described. In one or more implementations, a determination is made by an operating system that network traffic associated with one or more applications of the computing device has completed. Responsive to the determination, a network interface device is caused to transition to a mode to reduce power consumption of the network interface device by the operating system.02-04-2016
20160034020STAGED POWER DISTRIBUTION CONTROL - Various embodiments are directed to restrictions in portable computing device electric power to accommodate reductions in the voltage level of a power source. An apparatus comprises a controller caused to receive configuration data from a main processor circuit specifying a voltage level threshold and selected action to take to reduce electric power to a first component in response to the voltage level falling below the first voltage level threshold, recurringly monitor the voltage level; based on the voltage level falling below the first voltage level threshold, take the first selected action and transmit a signal to the main processor circuit indicating that the voltage level has fallen below the first voltage level threshold and that the first selected action has been taken; transmit the voltage level to the main processor circuit; receive a signal from the main processor circuit to undo the first selected action; and so undo.02-04-2016
20160034024Performance of Services Based On Power Consumption - Technology for performing services based on power consumption is disclosed. A plurality of power consumption levels can be identified at a service area. The plurality of power consumption levels can be characterized into current power consumption behavior. The current power consumption behavior can be compared to at least one power consumption behavioral model, the power consumption behavioral model including historical power consumption behaviors for the service area. A service can be performed when the current power consumption behavior diverges from the power consumption behavioral model outside of a predefined threshold.02-04-2016
20160034026READING APPARATUS HAVING INTERFACE AND INTERFACE CONTROL DEVICE - In a reading apparatus, a control device determines whether a predetermined state is detected based on a signal outputted from a sensor device. The control device sets a first-interface control device into a normal state when a transition condition is satisfied while the first-interface control device is being in a power saving state. The transition condition includes a condition that the predetermined state is detected. The control device maintains the first-interface control device in the power saving state when the transition condition is not satisfied while the first-interface control device is being in the power saving state, regardless of whether a receiving device receives first input.02-04-2016
20160041593Method and Apparatus for Adjusting a Sleep Mode Display Mechanism of an Electronic Device - An electronic device performs a method for adjusting a sleep mode display mechanism. The method includes determining, responsive to a trigger, whether a trusted device is proximate to the electronic device. The method further includes adjusting a sleep mode display mechanism of the electronic device when the trusted device is determined to be proximate to the electronic device.02-11-2016
20160041597REDUCED-SIZE USER INTERFACES FOR BATTERY MANAGEMENT - A device with a touch-sensitive display and a battery can determine a battery level of the battery, and in accordance with a determination that the battery level is at or below a first threshold value, cause a haptic output and/or enter a low-power mode. While in low-power mode, the device may produce different outputs in response to user inputs than while in a normal power mode. In some embodiments, while in the low-power mode, the device may display only the time and an indication that the device is in a low-power mode.02-11-2016
20160041602POWER SUPPLY CONTROL DEVICE AND POWER SUPPLY DEVICE - A power supply control device for a system having two or more power supply devices, configured to control a first power supply device that includes a compensation device configured to perform power compensation at a time of power failure, the power supply control device includes a controller configured to limit the power compensation of the compensation device in a normal state, and release the limitation on the power compensation of the compensation device if detecting power failure of the first power supply device and detecting power failure of a second power supply device provided redundantly in addition to the first power supply device.02-11-2016
20160041603Power Management Apparatus, Systems, and Methods for Increased Power Loads - The present invention relates to powering electronic peripheral devices. Specifically, the present invention relates to switching, combining, and/or partially using two or more power sources to efficiently and fully power peripheral devices, especially devices with peak power demands higher than the power available from a common power source. More specifically, the present invention relates to drawing power from an interface, such as USB, Thunderbolt™, FireWire, partially storing power in a location, and utilizing the stored power to reach peak power demands higher than the power available from the interface.02-11-2016
20160041607SYSTEM MANAGEMENT CONTROLLER - For system management applied to a computer system, a power supply of the computer system starts to power a motherboard and a CPU thereon. A reset holding module in a system management controller holds the CPU in a Power-on Reset (PoR) state. The system management controller executes an operation requested by a user. The reset holding module releases the CPU from the PoR state in response to the system management controller completing the operation.02-11-2016
20160041852Directed Event Signaling For Multiprocessor Systems - Multi-processor computing device methods manage resource accesses by a signaling event manager signaling processor elements requesting access to a resource to wake up to access the resource when the resource is available or wait for an event when the resource is busy. Processor elements may enter a sleep state while awaiting access to the requested resource. When multiple elements are waiting for the resource, the processor element with a highest assigned priority is signaled to wake up when the resource is available without waking other elements. Priorities may be assigned to processor elements waiting for the resource based on a heuristic or parameter that may depend on a state of the computing device or the processor elements. A sleep duration may be estimated for a processor element waiting for a resource and the processor element may be removed from a scheduling queue or assigned another thread during the sleep duration.02-11-2016
20160048188Voltage Regulator Control for Improved Computing Power Efficiency - A controller for voltage regulators providing power to computer processors may control the number of active phases of each voltage regulator according to a determined electrical current demand from the processor. By relying on electrical current demand rather than a P-state, the latter generally indicating a power conservation status, improved regulator efficiencies may be had, in particular responding to situations where low current demand occurs under heavy processor demand because of C-state variations.02-18-2016
20160048194ENERGY STORAGE DEVICE AND ENERGY STORAGE SYSTEM INCLUDING THE SAME - Discussed are an energy storage device and an energy storage system including the energy storage device. The energy storage device includes at least one battery pack, a power conversion unit to convert, into DC power, AC power from a first phase from among three phases of an internal power network in a charging mode of the energy storage device, and to convert the DC power stored in the at least one battery pack into the AC power in a discharging mode of the energy storage device, a communication module to exchange data with an external energy storage device, and a controller to control the power conversion unit.02-18-2016
20160048195ELECTRIC POWER SUPPLY SYSTEM - A master device supplies a power supply voltage through a power transmission line to a slave device that generates an operation signal indicating the contents of an operation received by an operation unit. The slave device includes an operation execution switch that is turned on to apply a reference voltage to the power transmission line, upon reception of the operation by the operation unit. The master device determines whether or not a current accompanying a pilot signal is flowing through the power transmission line, while intermittently sending the pilot signal to the power transmission line in the state of stopping the supplying of the power supply voltage to the slave device. If it is determined that the current is flowing, the master device supplies the power supply voltage to the slave device.02-18-2016
20160048196MECHANISM FOR FACILITATING POWER EXTENSION SERVICE AT COMPUTING DEVICES - A mechanism is described for facilitating power extension service at computing devices according to one embodiment of the invention. A method of embodiments of the invention includes calculating potential power saving by one or more of a plurality of power-saving techniques supported by a computing device. The calculating includes identifying the one or more of the plurality of power-saving techniques that are available for selection and an expected amount of power to be saved with the one or more of the plurality of power saving techniques. The method may further include generating a list identifying the one or more of the plurality of power-saving techniques and relevant information resulting from the calculation, and displaying the list.02-18-2016
20160048197INSTRUMENTING SWITCH MODE POWER SUPPLY TO MEASURE CIRCUIT POWER CONSUMPTION - A circuit includes a pulse generator coupled to a switch mode power supply. The switch mode power supply includes a switching component configured for transferring a charge to an energy storage component in response to pulses provided by the pulse generator. A pulse counter is coupled to the pulse generator or the switching component and configured to count pulses over a time period and thereby generate a pulse count. A converter coupled to the pulse counter is configured to generate a power measurement for the time period based on the pulse count. If the switch mode power supply has different modes of operation, a different counter may be used for each mode.02-18-2016
20160048198STATE CHANGING DEVICE - There is provided a state changing device. For example, in some examples, there is a portable computing device including a first digital image sensor facing out from a first side of the portable computing device, a second digital image sensor facing out from a second side of the portable computing device, and state change circuitry coupled to the first digital image sensor and the second digital image sensor, the state change detection circuitry designed to receive a first image from the first digital image sensor, receive a second image from the second digital image sensor, and change a state of the portable computing device or an application running on it if the first image is a blank image and the second image is not a blank image.02-18-2016
20160048199ELECTRONIC DEVICE - An electronic device whose power consumption can be reduced appropriately depending on the condition of use by a user is provided. The electronic device having a power saving mode includes a processor and a plurality of memories configured to be able to become a stopped state individually, and available to the processor. The processor causes a predetermined number of memories among the plurality of memories to become the stopped state, based on a processing load of the processor, to thereby make a shift to the power saving mode. In the power saving mode, the processor has a standby state of restricting the operation of a part of the electronic device and an active state of normally controlling the operation of the electronic device, and maintains the stopped state of the predetermined number of memories regardless of whether the processor is in the standby state or the active state.02-18-2016
20160054778METHOD AND ELECTRONIC DEVICE FOR REDUCING CURRENT CONSUMPTION BY THE ELECTRONIC DEVICE - An electronic device and a method for reducing current consumption in the electronic device are provided. The electronic device includes a communication processor configured to collect an event associated with operation of an application while an application processor is in a sleep state, and a communication interface configured to transmit a message corresponding to the collected event to an external electronic device.02-25-2016
20160054785POWER CONTROL APPARATUS OF ENERGY STORAGE SYSTEM - A power control apparatus of an energy storage system and method of controlling the power control apparatus are provided. The power control apparatus includes a battery manager to monitor a charge state of at least one battery module and to manage charge and discharge of the at least one battery module, a power converter to convert power of the at least one battery module from alternating current (AC) to direct current (DC) or from DC to AC, a controller configured to control the battery manager and the power converter, and a standby power supplier configured to supply constant power to the battery manager, the power converter, and the controller when the battery manager is not driven.02-25-2016
20160054788Parameter-Based Sensor Selection - A method and apparatus for parameter-based sensor selection is disclosed. In one embodiment, a system includes an integrated circuit (IC) having a first power management circuit, and a second power management circuit external to the IC. The IC includes various functional units implemented in various power domains, while the second power management circuit (which may be implemented on an IC) includes a number of voltage regulators for providing power to the power domains. The second power management circuit also includes sensors that provide data about a system parameter, with the data being provided at telemetry to the first power management circuit. When the system parameter is less than a first threshold, the telemetry data may be based on a first sensor. When the system parameter is greater than the first threshold, the telemetry data may be based on a second sensor.02-25-2016
20160054789MANAGING APPLICATIONS FOR POWER CONSERVATION - Embodiments of the present application relate to a method, apparatus, and system for waking up an app. The method includes adding an application (app) to a wake-up alarm group comprising a plurality of apps, adjusting a plurality of alarm wake-up times corresponding to the plurality of apps, wherein the plurality of alarm wake-up times corresponding to the plurality of apps are adjusted to be consistent, and waking up the plurality of apps belonging to the wake-up alarm group according to the adjusted alarm wake-up times corresponding to the plurality of apps belonging to the wake-up alarm group.02-25-2016
20160062440STANDBY POWER CONTROLLER WITH EXTERNAL INFORMATION CAPABILITY - An energy saving device monitors computer activity to determine if the computer is in active use, and if not in active use, it commands the computer to power down to a low energy use power state (and cut power to computer peripherals) unless a user indicates otherwise. The device also communicates the computer's energy usage (as well as energy usage of peripherals) to a remote management center associated with the electricity supply network supplying the computer. When electricity usage needs to be reduced in the electricity supply network, the remote management center sends a demand response event request to the computer, with the request commanding the computer to enter a low energy power use state unless its user indicates otherwise. If the user signifies that the low energy power use state should not be entered, the computer instead enters an intermediate reduced energy use power use state.03-03-2016
20160062449COMPUTING PLATFORM POWER CONSUMPTION LEVEL ADJUSTMENT - Example implementations relate to power consumption level adjustment of a computing device. For example, an implementation includes a computing cell and a power subsystem housed in a chassis. A power manager of the power subsystem is to assert an emergency brake signal to a power controller to the computing cell in response to a detection of a power output reduction, to transmit power consumption information to the power controller, and to assert a power restore signal to the power controller. The power controller is to transition a power consumption level of the computing cell from a first level to a second level based on the emergency brake signal, to transition the power consumption level from the second level to a third level based on the power consumption information, and to transition the power consumption level from the third level to the first level based on the power restore signal.03-03-2016
20160062451DYNAMIC CONTROL OF REDUCED VOLTAGE STATE OF GRAPHICS CONTROLLER COMPONENT OF MEMORY CONTROLLER - A method includes detecting a trigger condition, and in response to detecting the trigger condition, reducing a voltage applied to a graphics controller component of a memory controller. The reduction in voltage may cause the voltage to be reduced below a voltage level required to maintain context information in the graphics controller component.03-03-2016
20160065701SYSTEMS, METHODS, AND APPARATUS FOR CONTROLLING POWER SEMICONDUCTOR DEVICES - Systems, methods, and apparatus for controlling power semiconductor devices are described. According to one embodiment of the disclosure, there is disclosed a system. The system may include a serial communication link between a controller and a power electronics module, where a continuous bit stream passes through the serial communications link.03-03-2016
20160070331Playback Device Operations Based on Battery Level - Embodiments are described herein that allow a playback device to transition between operating modes to, among other things, conserve power. An example implementation involves a playback device receiving data from a first network device and forwarding by the playback device, the data to a second network device while a battery level of a playback device is above a predefined threshold. The example implementation also involves the playback device detecting that the battery level of the playback device is below the predefined threshold after receiving at least a portion of the data from the first network device. The example implementation further involves the playback device transmitting, to at least the first network device, a message indicating that the playback device will stop forwarding data from the first network data to the second network device based detecting that the battery level of the playback device is below the predefined threshold.03-10-2016
20160070333CONTROL DEVICE, SYSTEM, AND COMPUTER PROGRAM PRODUCT - According to an embodiment, a control device includes a calculator and a setting unit. The calculator is configured to calculate a system processing time indicating a time required for processing executed after a system, the system including a plurality of elements, power to each element being individually controlled, resumes from a sleep state in which the number of elements supplied with power is limited to a predetermined number and an operation of the system is stopped. The setting unit is configured to set a mode indicating an operation state of the system according to the system processing time calculated by the calculator when a resume factor indicating a factor for resuming the system from the sleep state occurs.03-10-2016
20160070334Measuring affective response to content in a manner that conserves power - Systems and methods that enable a reduction in the power consumption involved in measuring a user's affective response to content. The reduction in the power consumption is achieved by utilizing tags that indicate a mode of operation in which to operate a sensor when measuring affective response of the user to certain segments of the content. By using at different times, different modes of operation for operating the sensor, which are characterized by different energy consumption rates, the total power consumption of the sensor may be reduced.03-10-2016
20160070335Configurable Digital Interface for Switching Voltage Regulators - A high-speed, low-latency configurable digital interface for a voltage regulator includes a first hardwired unit, a second hardwired unit and a programmable microcontroller interfaced between the first and second hardwired units. The first hardwired unit is operable to deserialize incoming frames received over the configurable digital interface into commands and data associated with operation of a switching voltage regulator, and serialize outgoing data into new frames for transmission over the configurable digital interface. The second hardwired unit is operable to process the commands included in the incoming frames deserialized by the first hardwired unit, and provide the outgoing data to be serialized into new frames by the first hardwired unit. The programmable microcontroller is operable to change one or more of the commands and data flowing between the first and second hardwired units.03-10-2016
20160077561MEMORY SYSTEM - According to one embodiment, a memory system includes a volatile memory, a power supply circuit, and a controller. The power supply circuit includes a first power supply path in which power supplied from a host device is supplied to the volatile memory, a second, power supply path in which the power is supplied from the internal power supply to the volatile memory, and a switching device that switches between the first power supply path and the second power supply path. In response to an instruction for a transition to a low power consumption mode received from the host device, the controller outputs, to the switching device, an instruction to switch the power supply circuit from the first power supply path to the second power supply path.03-17-2016
20160077575INTERFACE TO EXPOSE INTERRUPT TIMES TO HARDWARE - A power management controller is used to control power management states of a processing device. A register stores a timer tick value accessible to the power management controller. The timer tick value indicates when an interrupt is to occur in the processing device. The power management controller may use the exposed timer tick value to decide whether to transition between power management states such as an active state, an idle state, and a power-gated state. The timer tick value stored in the register may be modified by an operating system, an application, or software implemented on the processing device.03-17-2016
20160077576TECHNOLOGIES FOR COLLABORATIVE HARDWARE AND SOFTWARE SCENARIO-BASED POWER MANAGEMENT - Technologies for collaborative hardware-software power management include a computing device having a processor that supports a low-power idle state. The low-power idle state may be connected standby or a low-power audio playback state. The computing device detects a present usage scenario and determines whether the usage scenario qualifies for a power boost. Qualifying usage scenarios may include low-power audio playback, screen-on interactive use, and I/O-bound workloads. For qualifying usage scenarios, the computing device applies a boosted power management policy that increases power consumption and performance compared to a default power management policy. The default power management policy may base performance and power consumption on recent processor utilization. The computing device may generate one or more hardware hints to increase performance and power consumption, such as increasing the processor p-state or setting the value of an energy performance bias register. Other embodiments are described and claimed.03-17-2016
20160077578METHOD FOR CONTROLLING AN ELECTRONIC DEVICE WITH AID OF THERMAL DETECTION, AND ASSOCIATED APPARATUS AND ASSOCIATED COMPUTER PROGRAM PRODUCT - A method for controlling an electronic device with aid of thermal detection, and an associated apparatus and an associated computer program product are provided, where the method includes the steps of: performing thermal detection for the electronic device, to generate at least one thermal detection result; and based on the at least one thermal detection result, selectively waking up the electronic device, not waking up the electronic device, controlling a screen of the electronic device to remain on, or turning off the screen of the electronic device. The apparatus includes: at least one thermal sensor capable of performing thermal detection for the electronic device, to generate the at least one thermal detection result; and a processing circuit, coupled to the at least one thermal sensor. The computer program product has program instructions for instructing a processing circuit of the electronic device to perform one or more operations of the method.03-17-2016
20160077579METHOD FOR PREPARING A SYSTEM FOR A POWER LOSS - In an embodiment, a system includes a power management unit (PMU), a non-volatile memory, a volatile memory, and a processor. The PMU may be configured to generate a power supply voltage, change a state of a status signal responsive to an event, and reduce a voltage level of the power supply voltage responsive to a predetermined period of time elapsing from detecting the event. The system may be configured to transition from a first to a second operating mode responsive to the change of the state of the status signal, and cancel pending commands to the non-volatile memory responsive to the transition to the second operating mode. The non-volatile memory may be configured to complete active commands prior the predetermined period of time elapsing.03-17-2016
20160085562WAKE-UP JUDGMENT APPARATUS FOR GENERATOR - A wake-up judgment apparatus for a generator includes a current sensing element and an electric charge processing element. A first terminal of the current sensing element is electrically connected to a power conversion circuit, and a second terminal thereof is electrically connected to an auxiliary micro power. The power conversion circuit provides power conversion and power transmission between the generator and a load, and the power conversion circuit is electrically connected to a main supply power. A first terminal of the electric charge processing element is electrically connected to a third terminal of the current sensing element, and a second terminal thereof is electrically connected to the auxiliary micro power, and a third terminal thereof is electrically connected to a microprocessor to output a wake-up signal to the microprocessor.03-24-2016
20160091952Wearable Equipment and Mode Switching Method Using the Same - A mode switching method of wearable equipment and the wearable equipment are disclosed. The method comprising: confirming a current mode being a sleep mode, detecting an accumulated time of a motion state, judging whether the accumulated time is greater than a first predetermined time period and switching to a fake sleep mode if it is; and detecting an accumulated footsteps and a sleep state, which by judging whether the accumulated footsteps in a second predetermined time period is greater than a threshold footsteps and switching to the motion state if it is; and judging whether the second predetermined time period is experienced in the sleep state and switching to the sleep mode if it is. The present invention can improve the accuracy, while reducing power consumption.03-31-2016
20160091953Adjusting Mobile Device State Based On User Intentions And/Or Identity - In one embodiment, while a mobile electronic device is in a first operation state, it receives sensor data from one or more sensors of the mobile electronic device. The mobile electronic device analyzes the sensor data to estimate a current intention of a user with respect to the mobile electronic device; and transition from the first operation state to a second operation state based on the current intention of the user with respect to the mobile electronic device.03-31-2016
20160091957POWER MANAGEMENT FOR MEMORY ACCESSES IN A SYSTEM-ON-CHIP - Techniques and mechanisms to manage power states for a system-on-chip (SOC). Multiple modules of the SOC include a first module to perform a task including one or more accesses to a memory. In an embodiment, the SOC is transitioned to one of a path-to-memory-available (PMA) power state and a path-to-memory-not-available (PMNA) power state, where the transition is in response to an indication that, of the multiple modules, only the first module is to access the memory during the task. The PMA power state enables data communication between the memory and the first module and prevents data communication between the memory and any other module of the multiple modules. In another embodiment, the PMNA power state prevents data communication between the memory and any of the multiple modules, but allows a low latency transition from the PMNA power state to the PMA power state.03-31-2016
20160091958METHOD AND APPARATUS FOR A ZERO VOLTAGE PROCESSOR SLEEP STATE - Embodiments of the invention relate to a method and apparatus for a zero voltage processor sleep state. A processor may include a dedicated cache memory. A voltage regulator may be coupled to the processor to provide an operating voltage to the processor. During a transition to a zero voltage power management state for the processor, the operational voltage applied to the processor by the voltage regulator may be reduced to approximately zero and the state variables associated with the processor may be saved to the dedicated cache memory.03-31-2016
20160098071ELECTRONIC CONTROL UNIT - An electronic control unit includes a microcomputer and a power circuit that supplies an electric power to the microcomputer. The microcomputer and the power circuit respectively operate in a normal operation mode and in a low power operation mode that consumes less power than the normal operation mode. The electronic control unit further includes a mode switcher for switching the operation modes of the microcomputer and the power circuit according to an input signal from an external device. When a mode switch signal for switching the operation mode of both devices to the normal operation mode, the mode switcher first switches the power circuit to the normal operation mode and subsequently switches the microcomputer to the normal operation mode, which prevents a reset operation of the microcomputer without using a large capacity capacitor.04-07-2016
20160098075Method And Apparatus For A Zero Voltage Processor Sleep State - Embodiments of the invention relate to a method and apparatus for a zero voltage processor sleep state. A processor may include a dedicated cache memory. A voltage regulator may be coupled to the processor to provide an operating voltage to the processor. During a transition to a zero voltage power management state for the processor, the operational voltage applied to the processor by the voltage regulator may be reduced to approximately zero and the state variables associated with the processor may be saved to the dedicated cache memory.04-07-2016
20160098076INTELLIGENT DEVICE WAKEUP - In one general aspect, a method can include determining that a computing device is in a stationary position for a predetermined time, placing the computing device in a first power mode, detecting input from at least one sensor included in the computing device, identifying at least one application to launch on the computing device based on the detected input and on a heuristic-based usage pattern for the computing device, and transitioning the computing device from the first power mode to a second power mode based on the detected input. The transitioning can include automatically launching the at least one application on the computing device. The at least one application can provide content for display on a display device included in the computing device.04-07-2016
20160103477DISPLAY APPARATUS AND CONTROLLING METHOD THEREOF - A display apparatus and a controlling method thereof are provided. The controlling method of a display apparatus according to an exemplary embodiment includes, in response to a power-off command being input, storing information regarding an application which is executed at the time when the power-off command is input and entering a standby mode, and in response to a user interaction being detected while the display apparatus maintains a standby mode, entering a pre-power on mode where power is applied to an element included in the display apparatus by performing kernel-level booting.04-14-2016
20160103478MEMORY SYSTEM AND MEMORY CONTROLLER - According to embodiments, a second control unit creates parity from information loaded into a volatile second memory. When shifting from a normal mode to a sleep mode, the second control unit stores the created parity and the information loaded in the second memory into a buffer of a non-volatile first memory, and issues a power supply shutdown request. A power supply circuit shuts down power supply to the second memory and the second control unit in response to the issued power supply shutdown request.04-14-2016
20160103479POWER SUPPLY - A power supply for a radio frequency (RF) power amplifier that amplifies an RF input signal into an RF output signal and a method of operation in the power supply. The power supply comprises a first power converter to convert an input voltage to the power supply into a first supply voltage of the RF power amplifier. The power supply comprises a second power converter to receive the input voltage and the first supply voltage and to selectively convert either the input voltage or the first supply voltage into at least a portion of a second supply voltage of the RF power amplifier.04-14-2016
20160103481Adaptive Idle Timeout for Storage Devices - In embodiments of adaptive idle timeout for storage devices, a computing device includes a storage device that stores data for read and write access on a rotating media. An operating system of the computing device maintains a device cycle number as an accounting of each time the storage device is powered on-off. The computing device implements a storage device driver that is implemented to obtain the device cycle number of the storage device from the operating system, and determine a projected cycle number over a duration of operational time of the storage device based on the device cycle number. The storage device driver can then determine whether the projected cycle number exceeds a maximum of power on-off cycles within a warranty period of the storage device, and control a frequency of the storage device being powered-off if the projected cycle number exceeds the maximum of power on-off cycles.04-14-2016
20160103482SYSTEM AND METHOD FOR CONSERVING POWER IN A MEDICAL DEVICE - A system and method for conservation of battery power in a portable medical device is provided. In one example, a processor arrangement that includes a plurality of processors is implemented. At least one of these processors is configured to execute the critical functions of the medical device, while one or more other processors assume a reduced service level, thereby drawing significantly less power. According to this arrangement, the medical device conserves energy by drawing the additional electrical power needed to activate the additional processing power only when needed.04-14-2016
20160109932SYSTEM-ON-CHIP INCLUDING A POWER PATH CONTROLLER AND ELECTRONIC DEVICE - A system on chip (SoC) includes a plurality of function circuits including a plurality of logic circuits and a plurality of function circuits each of which includes a logic circuit and a memory, and a plurality of power path controllers respectively coupled to a plurality of first power sources at first input terminals, commonly coupled to a second power source at second input terminals, and respectively coupled to the memories at output terminals. The logic circuits are respectively coupled to the first power sources, and configured to be supplied with a plurality of first power supply voltages from the first power sources, respectively. Each of the memories is configured to be selectively supplied, by a corresponding one of the power path controllers, with one of a corresponding one of the first power supply voltages from a corresponding one of the first power sources and a second power supply voltage from the second power source.04-21-2016
20160109933System and Method for a Power Sequencing Circuit - An embodiment is a circuit including a main power supply coupled to a first node, a charge reservoir coupled between a second node and ground, an isolation circuit coupled between the first node and the second node, and a plurality of secondary power supplies coupled to the second node, the plurality of secondary power supplies configured to receive power from the main power supply. The circuit further includes a detector circuit coupled to the first node, the detector circuit configured to detect the presence and absence of a first supply voltage at the first node, and a timing circuit coupled between the detector circuit and the plurality of secondary power supplies, the timing circuit configured to enable and disable the plurality of secondary power supplies in predetermined sequences based on the detection of the first supply voltage by the detector circuit.04-21-2016
20160116960POWER MANAGEMENT USING EXTERNAL SENSORS AND DATA - A method of managing power state transitions for a computing system based on the proximity of a user may include determining a location of a mobile device, determining a distance between the location of the mobile device and a location of a computing system to determine whether the mobile device is located within a first threshold distance from the computing system, and in response to determining that the mobile device is located within the first threshold distance from the computing system, transitioning the computing system from a first power state to a second power state.04-28-2016
20160116967Firmware Update Method and Power System Thereof - A firmware update method is provided for use in an information processing system powered by a power system. The power system is powered by an external power source and the power system includes a rechargeable battery and a controller. The method includes checking a power status of the rechargeable battery through the controller in response to a firmware update procedure. A power status of the rechargeable battery is determined to be sufficient to perform the firmware update procedure, and an insufficiency of the external power source to power the power system is determined. Power for the firmware update procedure is limited, through the controller, to the rechargeable battery.04-28-2016
20160116970POWER MANAGEMENT - Processor power may be managed by executing state storage and power gating instructions after receiving an idle indication. The idle indication may be received while the processor is executing instructions in a first mode, and the processor may execute the state storage and power gating instructions in a second mode. The state storage and power gating instructions may be inaccessible to the processor when operating in the first mode.04-28-2016
20160116971ACCESS BASED RESOURCES DRIVEN LOW POWER CONTROL AND MANAGEMENT FOR MULTI-CORE SYSTEM ON A CHIP - Function resources/memory resources and an associated resource controller configured to assign a first portion of the function resources/memory resources to at least one processing element in response to an access request from the processing element. The resource controller changes a power mode of the first portion of the function resources/memory resources as a function of the first portion assignment, and leaves an unassigned portion of the function resources/memory resources in a power down mode in a self-governing nature. The resource controller enables the processing element to access the first portion of the function resources/memory resources in response to the access request received from the processing element. The function resources/memory resources, resource controllers and one or more processing elements may comprise a system on a chip (SoC).04-28-2016
20160124488CONTROLLING POWER STATES OF A DEVICE - Methods, systems, and apparatus, including computer programs encoded on computer storage media, for controlling power states of a device. In one aspect, a circuit is configured to perform operations comprising: receiving, on a data pin of an SPI control interface, a command to enter a reduced power mode; determining that a select signal on a select pin of the SPI control interface has been released; in response to receiving the command to enter the reduced power mode and determining that the select signal has been released, causing the circuit to enter the reduced power mode; determining that the select signal on the select pin of the SPI control interface has been asserted; and in response to determining that the select signal on the select pin has been asserted, causing the circuit to exit the reduced power mode.05-05-2016
20160124489DISPLAY APPARATUS AND DISPLAY METHOD - A display apparatus is provided, which includes a receiver configured to receive video data, a signal processor configured to process the received video data, an outputter configured to output the processed video data, a generator configured to generate power using heat that is discharged from the display apparatus, a charger configured to store the generated power, and a controller configured to control supplying of the stored power to constituent elements of the display apparatus in the case where or when the display apparatus is in a standby power mode.05-05-2016
20160124491DISPLAY APPARATUS AND METHOD OF DRIVING THE SAME - A display apparatus includes a display panel and a power supply. The display panel displays an image at a first driving frequency in a normal mode and displays an image at a second driving frequency in a low power mode. The second driving frequency is lower than the first driving frequency. The power supply outputs a first initialization voltage at a first level to the display panel during an active period of the low power mode. The power supply outputs a second initialization voltage at a second level to the display panel during at least a portion of a blank period of the low power mode. The second level is higher than the first level.05-05-2016
20160124492Throttling Of Application Access To Resources - A method and apparatus of a device that modifies an application sleep state of a running application is described. In an exemplary embodiment, the device detects an event associated with the running application. In addition, the device evaluates one or more run-time characteristics of the running application. The device further determines if the running application is a candidate to have the application sleep state of this running application modified based on the monitored one or more run-time characteristics of the running application and the detected event. If the running application is a candidate to have the application sleep state of this running application modified, the device sends a message to the operating system of the device indicating how the application sleep state of the running application is to be modified, where the device includes a virtual memory subsystem that pages data of the running application between volatile storage to non-volatile storage.05-05-2016
20160124495Electronic Device and Method of Controlling Power of Electronic Device - An electronic device is disclosed. The electronic device may include a data provider that stores at least one piece of data for calculating a current consumption value according to each application type; and a processor that changes a data collection period according to a power state of the electronic device, collect data from the data provider, calculates the current consumption value according to each application type based on the power state of the electronic device based on the collected data, and displays the calculated current consumption value according to each application type on a display.05-05-2016
20160124496INFORMATION PROCESSING APPARATUS, CONTROL METHOD FOR THE SAME, AND STORAGE MEDIUM - An information processing apparatus obtains a measurement value D of the distance between the object and information processing apparatus using a human detection sensor, and detects the object as a user (user detection state ST05-05-2016
20160124671CONVERSION METHOD FOR REDUCING POWER CONSUMPTION AND COMPUTING APPARATUS USING THE SAME - A conversion method for reducing power consumption and computing apparatus using the same are introduced. The computing apparatus includes a conversion unit, a data storage unit and an instruction processing unit. The conversion unit receives a first instruction sequence. In a power saving mode, the conversion unit combines a second instruction sequence having the same function as the first instruction sequence with at least one specific instruction to obtain and output a third instruction sequence. The at least one specific instruction does not belong to an instruction set each instruction included in the first instruction sequence belongs to. The data storage unit includes a volatile part and a non-volatile part. The instruction processing unit is coupled to the conversion unit and the data storage unit, processes the third instruction sequence and manages a storage state of the data storage unit according to the at least one specific instruction.05-05-2016
20160127591IMAGE FORMING APPARATUS CONTROL METHOD OF IMAGE FORMING APPARATUS AND PROGRAM - An information processing apparatus includes, a reception unit configured to receive an instruction to transfer the information processing apparatus to the second power state, an analysis unit configured, when the reception unit receives the instruction, to analyze a factor limiting the transfer of the information processing apparatus to the second power state, and a control unit configured, when the analysis unit analyzes the factor and as a result the factor is a first factor, to control the information processing apparatus to transfer to the third power state without waiting until the factor is resolved, and configured, when the factor is a second factor, to control the information processing apparatus to wait until the factor is resolved, and to transfer to the second power state.05-05-2016
20160132091DIGITAL SENSOR SYSTEM - A digital sensor system includes a sensor element, an analog-to-digital converter coupled to the sensor element, and a wake-up circuit configured to activate the sensor element and the analog-to-digital converter in response to a predefined event.05-12-2016
20160132094INFORMATION PROCESSING APPARATUS, CONTROL METHOD THEREFOR, AND STORAGE MEDIUM - An information processing apparatus to which an external device is attachable includes an initialization unit configured to, when the information processing apparatus is activated from a power-off state, execute initialization of the external device, and not to, when the information processing apparatus is returned from a power-saving state, execute the initialization of the external device.05-12-2016
20160132095METHOD AND APPARATUS FOR CONTROLLING HARD DRIVE POWER CONSUMPTION AND CONTROLLING A MANAGEMENT SERVICE OF HARD DRIVE POWER CONSUMPTION - A method and apparatus for controlling hard drive power consumption and controlling a hard drive power consumption management service are provided. The method includes detecting whether a fault exists in a hard drive in a node hard drive group. The method further includes, if there is no fault detected in the hard drive in the node hard drive group, controlling the hard drive in the node hard drive group to convert the hard drive between preset modes of power consumption by a hard drive power consumption management service.05-12-2016
20160132096SYSTEM AND METHOD FOR CONTROLLING IDLE STATE EXITS TO MANAGE DI/DT ISSUES - A method for managing a processor, the processor comprising a common supply rail and processor cores being connected to the common supply rail, wherein each processor core comprises a core unit, wherein the method comprises detecting idle state exits indicated by the core units; and delaying a command execution of at least one of the core units indicating an idle state exit when the number of idle state exits exceeds a predetermined threshold idle state exit number may reduce voltage droops due to several processor cores leaving the idle state at the same time.05-12-2016
20160132097INDEPENDENT ASYNCHRONOUS FRAMEWORK FOR EMBEDDED SUBSYSTEMS - An integrated circuit is provided with an independent power framework for a first subsystem and another independent power framework for a processor subsystem that receives messages from the first subsystem.05-12-2016
20160132098ELECTRONIC APPARATUS AND WAKE-UP METHOD THEREOF - An electronic apparatus having an input unit and a network card and a wake-up method thereof are provided. In the method, an input event triggered by the input unit is received. Next, whether the electronic apparatus is in a partial wake-up mode entered after being woken up by the network card is determined. Then, the electronic apparatus is woken up to a normal operation mode if the electronic apparatus is in the partial wake-up mode.05-12-2016
20160132099Security Sensor Power Management - By way of example, a low-power processing device is disclosed. The low-power processing device includes a battery power supply, a processor, and a micro-controller. The processor sleeps by reducing voltage to a non-transitory memory of the processor and storing system settings in a non-transitory memory of the microcontroller, which is coupled to the processor via a communications bus. The processor is further coupled to the battery power supply to receive power. The microcontroller is coupled to the processor via the communications bus to send and receive data. The microcontroller sends an interrupt (A) to the processor and the processor receives A and wakes up by polling the microprocessor for an event associated with A and loads the system settings via the communications bus from the non-transitory memory of the microcontroller into the non-transitory memory of the processor.05-12-2016
20160132100DATA PROCESSING DEVICE AND DATA PROCESSING SYSTEM - A data processing device includes a first power-on reset circuit, a second power-on reset circuit with a higher power consumption and a higher reset voltage accuracy than said first power-on reset circuit, a low voltage detect circuit, a storage unit storing information for determining whether to keep said second power-on reset circuit and said low voltage detect circuit in an active state or an inactive state, a central processing unit initialized in a response to respective outputs of said first and second power-on reset circuits and setting said information in said storage unit, and a power supply node providing a power to the data processing device.05-12-2016
20160132101NON MAIN CPU/OS BASED OPERATIONAL ENVIRONMENT - A computing system is described that includes a main system bus that remains active while said computing system operates within a non main CPU/OS based operational state. The computing system also includes a controller that operates functional tasks while the computing system is within the non main CPU/OS based operational state. The computing system also includes an I/O unit coupled to the main system bus that remains active while the computing system operates within the non main CPU/OS based operational state.05-12-2016
20160132341WAKE UP SYSTEM FOR ELECTRONIC DEVICE - A wake up system for electronic device includes a detecting circuit, an amplifier circuit, a switch circuit, and a south bridge chip. The detecting circuit detects an ambient temperature change as a result of the physical proximity of a user, converts the temperature change to a weak voltage signal, and amplifies the voltage signal for the first time. The amplifier circuit receives the amplified voltage signal and amplifies the voltage signal for the second time. The switch circuit receives the voltage signal that is amplified for the second time, and outputs a wake up signal when the voltage signal amplified for the second time is greater than a turn-on voltage. The south bridge chip receives the wake up signal, and wakes up the electronic device accordingly.05-12-2016
20160139650POWER TRANSFER ESTIMATION - A power conversion system includes a power transfer estimator that is operable to provide a determination of the cumulative amount of power transferred through the power supply, without additional sensing elements and at extremely low power levels, and to provide such determinations periodically over potentially long periods of time commensurate with the lifetime of a limited power source such as a battery. In a power conversion system operating in a discontinuous conduction mode (DCM), the power transfer estimator determines the charge transferred during each switching cycle, and the total number of switching cycles, to calculate the cumulative amount of power transferred. The power transfer estimator is optionally operable to calculate a value for the inductance to be used in the determination of the cumulative amount of power transferred through the power supply.05-19-2016
20160139651SYSTEM AND METHOD FOR FULL RANGE CONTROL OF DUAL ACTIVE BRIDGE - A power converter includes primary and secondary bridges, a transformer, and a controller configured to generate a switching mode map that correlates each of a plurality of switching modes to a respective set of value ranges of system parameters of the power converter. The sets of system parameter value ranges are contiguous and non-overlapping across the switching mode map, each of the plurality of switching modes includes gate trigger voltage timings for commuting at least one of the primary and secondary bridges. The controller is configured to obtain a plurality of measured system parameter values, select from the switching mode map one of the plurality of switching modes that correlates to the set of system parameter values containing the plurality of measured system parameter values, and adjust gate trigger voltage timings of at least one of the primary and secondary bridges, according to the selected switching mode.05-19-2016
20160139652MOTHERBOARD SUPPLY CIRCUIT - A motherboard supply circuit includes a motherboard and a control circuit coupled to the motherboard. The motherboard is configured to couple to a power supply. The power supply is configured to supply power to a notebook computer and charge a battery. The power supply is also configured to supply power to the motherboard via the control circuit. The motherboard is configured to switch off the control circuit when detecting the notebook computer is in stand-by, thereby enabling the power supply not to supply power to the motherboard. The motherboard is also configured to switch on the control circuit upon detecting that the battery needs to be charged, thereby stopping the power supply from supplying power to the motherboard.05-19-2016
20160139653COMPUTER SYSTEM AND MATCHING CIRCUIT THEREOF - A computer system includes a power supply unit (PSU), a matching circuit, and a chip. The matching circuit includes a voltage conversion unit, a voltage-dividing unit, a first resistor, a comparator, a diode, and a delay unit. The comparator includes a non-inverting terminal electrically coupled to the PSU to receive a power-good signal, an inverting terminal electrically coupled to the voltage-dividing unit to receive a reference voltage, and an output terminal. The delay unit is electrically coupled to a power supply and a power-good signal pin of the chip. When a voltage of the power-good signal is greater than the reference voltage, the output terminal of the comparator outputs a high level signal, the diode is turned off and the power supply is delayed by the delay unit and then output to the power-good signal pin of the chip.05-19-2016
20160139656METHOD AND CIRCUIT FOR REDUCING CURRENT SURGE - Systems and methods are provided for reducing surge current in power gated designs. In one aspect, a storage capacitor supplies a portion of the current used to power up a circuit. The storage capacitor may be charged from a power supply or other source. When the circuit is to be powered up, the circuit is connected to the power supply and the storage capacitor. As a result, current is supplied to the circuit from the power supply and the storage capacitor to power up the circuit. Because a portion of the current used to power up the circuit is supplied from the storage capacitor, the amount of current needed from the power supply to power up the circuit can be reduced, thereby reducing current surge through the power supply. The storage capacitor may be shared by multiple circuits.05-19-2016
20160139657COMPUTING DEVICE POWER STATES - In one example in accordance with the present disclosure, a computing device is provided. The computing device includes an interface module and a power management module. The interface module is to provide a user interface that facilitates enabling and disabling of an always on feature, and further facilitates configuration of a permissible advanced configuration and power interface (ACPI) S3 state period. The power management module to receive a request to enter an ACPI S3 state, determine whether the always on feature is enabled, and in response to determining that the always on feature is enabled, determine whether the computing device is within a user-defined permissible ACPI S3 state period. In response to determining that the computing device is within the user-defined permissible ACPI S3 state period, the power management module is to set a wake event and cause the computing device to enter the ACPI S3 state.05-19-2016
20160139658SUPPORTING RUNTIME D3 AND BUFFER FLUSH AND FILL FOR A PERIPHERAL COMPONENT INTERCONNECT DEVICE - Particular embodiments described herein provide for an apparatus that includes a means for determining a power state for a device connected to a system, a means for determining that the device should change power states, and means for sending a signal to the device to put the device in a D3-cold state while the system is a G0/S0 state. In an embodiment, the device is a peripheral component interconnect (PCI) device. Also, the particular example implementation can include means for sending a PCIRST# signal from the device to a controller to cause the device to exit the D3-cold state, wherein the PCIRST# signal is received at a pin on the controller that is different than a designated PCIRST# signal pin.05-19-2016
20160147278USER TERMINAL AND METHOD FOR CONTROLLING DISPLAY APPARATUS - A user terminal and method for controlling a display apparatus are provided. A user terminal includes a detector configured to detect a user or user interaction, and a controller configured to change a mode of the user terminal from a first sleep mode to a second sleep mode in response to an occurrence of a first event in which a user is detected by the detector while the user terminal is in the first sleep mode, and to change the mode of the user terminal from the second sleep mode to a standby mode in response to an occurrence of a second event in which a user manipulation intention is detected by the detector while the user terminal is in the second sleep mode.05-26-2016
20160147279MOBILE DEVICE - A mobile terminal according to the present invention comprises a motion sensor sensing a motion of the user while maintaining an activation state even when the main processor is in a sleep state; a grip sensor being deactivated in case the main processor is in the sleep state and sensing the user's grip; and a sub-processor, where the sub-processor, in case a predetermined motion of the user is detected while the main processor is in the sleep state, determines the user's grip by activating the grip sensor and wakes up the main processor in the event of sensing the user's grip but keeps the main processor in the sleep state otherwise.05-26-2016
20160147289Processor Including Multiple Dissimilar Processor Cores - In an embodiment, an integrated circuit may include one or more processors. Each processor may include multiple processor cores, and each core has a different design/implementation and performance level. For example, a core may be implemented for high performance, but may have higher minimum voltage at which it operates correctly. Another core may be implemented at a lower maximum performance, but may be optimized for efficiency and may operate correctly at a lower minimum voltage. The processor may support multiple processor states (PStates). Each PState may specify an operating point and may be mapped to one of the processor cores. During operation, one of the cores is active: the core to which the current PState is mapped. If a new PState is selected and is mapped to a different core, the processor may automatically context switch the processor state to the newly-selected core and may begin execution on that core.05-26-2016
20160147290Processor Including Multiple Dissimilar Processor Cores that Implement Different Portions of Instruction Set Architecture - In an embodiment, an integrated circuit may include one or more processors. Each processor may include multiple processor cores, and each core has a different design/implementation and performance level. For example, a core may be implemented for high performance, and another core may be implemented at a lower maximum performance, but may be optimized for efficiency. Additionally, in some embodiments, some features of the instruction set architecture implemented by the processor may be implemented in only one of the cores that make up the processor. If such a feature is invoked by a code sequence while a different core is active, the processor may swap cores to the core the implements the feature. Alternatively, an exception may be taken and an exception handler may be executed to identify the feature and activate the corresponding core.05-26-2016
20160147292Power Control Method and Apparatus for Reducing Power Consumption - Provided are a method and apparatus for controlling at least part of one or more functions of an electronic device so as to reduce power consumption. According to various embodiments, the electronic device may perform operations of identifying the number of one or more displays functionally connected with the electronic device, executing at least part of one or more functions of the one or more displays in a first power consumption mode if the number of one or more displays is singular, and executing at least part of one or more functions of the one or more displays in a second power consumption mode if the number of one or more displays is plural.05-26-2016
20160148670SYSTEMS AND METHODS FOR REDUCING VOLATILE MEMORY STANDBY POWER IN A PORTABLE COMPUTING DEVICE - Various embodiments of systems and methods are disclosed for reducing volatile memory standby power in a portable computing device. One such method involves receiving a request for a volatile memory device to enter a standby power mode. One or more compression parameters are determined for compressing content stored in a plurality of banks of the volatile memory device. The stored content is compressed based on the one or more compression parameters to free-up at least one of the plurality of banks. The method disables self-refresh of at least a portion of one or more of the plurality of banks freed-up by the compression during the standby power mode.05-26-2016
20160154450INFORMATION PROCESSING APPARATUS, NON-TRANSITORY COMPUTER READABLE MEDIUM, AND INFORMATION PROCESSING METHOD06-02-2016
20160154452SYSTEM AND METHOD FOR CONTROLLING THE POWER MODE OF OPERATION OF A MEMORY DEVICE06-02-2016
20160154453POWER-SUPPLY CONTROL APPARATUS, POWER-SUPPLY CONTROL METHOD, SERVER, POWER-SUPPLY CONTROL SYSTEM, AND STORAGE MEDIUM06-02-2016
20160154454STORAGE APPARATUS, STORAGE SYSTEM, STORAGE APPARATUS CONTROLLING METHOD06-02-2016
20160154456DYNAMIC LOW POWER STATES CHARACTERIZATION06-02-2016
20160154675METHOD FOR CONTROLLING EMBEDDED SYSTEM AND DEVICE WITH EMBEDDED SYSTEM06-02-2016
20160162002PORTABLE ELECTRONIC DEVICE AND POWER CONTROL METHOD THEREFOR - A portable electronic device includes a power management unit, a sense module, a receiver module and an application processor. The sense module powered by a first operating voltage from the power management unit provides a first control signal in response to a sense event when the portable electronic device is in a sleep mode. The receiver module is powered by a second operating voltage from the power management unit in response to the first control signal. When the receiver module is powered by the second operating voltage, the receiver module obtains and recognizes an input event and provides a second control signal when the input event is recognized. The application processor is powered by a third operating voltage from the power management unit in response to the second control signal.06-09-2016
20160162005SYSTEM AND METHOD FOR REDUNDANT OBJECT STORAGE - Systems and methods for redundant object storage are disclosed. A method may include storing at least two copies of each of a plurality of objects among a plurality of nodes communicatively coupled to one another in order to provide redundancy of each of the plurality of objects in the event of a fault of one of the plurality of nodes. The method may also include monitoring access to each object to determine a frequency of access for each object. The method may additionally include redistributing one or more of the copies of the objects such that at least one particular node of the plurality of nodes includes copies of only objects accessed at a frequency below a predetermined frequency threshold based on the determined frequency of access for each object. The method may further include placing the at least one particular node in a reduced-power mode.06-09-2016
20160162007POWER SAVING CONTROL METHOD AND ELECTRONIC DEVICE FOR PROVIDING SAME - A power saving control method and an electronic device for providing the same are provided. The power saving control method for an electronic device includes comparing a measurement value with a designated threshold value, and determining whether the electronic device is worn by a user, and inactivating a function for displaying information for a notification, when it is determined that the electronic device is not worn by the user.06-09-2016
20160162013APPARATUS AND METHOD FOR POWER MANAGEMENT OF A COMPUTING SYSTEM - A computing system employing a multi-GPU graphics processing and display subsystem supporting single-GPU non-parallel (i.e. multi-tasking) and multi-GPU parallel application-division modes of graphics processing operations, in order to execute graphic commands and process graphics data (GCAD) render pixel-composited images containing graphics for display on a display device during the run-time of the multiple graphics-based applications, while managing and conserving electrical power and graphics processing resources. An automatic mode control module (AMCM) analyzes the application profiles assigned to graphics applications running on the computing system, and automatically controls the mode of operation of the multi-GPU graphics processing and display subsystem during the run-time of the multiple graphics-based applications.06-09-2016
20160162014PREVENTING DARK CURRENT IN BATTERY MANAGEMENT SYSTEM - A method and apparatus for preventing dark current in a battery management system (BMS) are provided. A battery control apparatus may include a voltage regulator configured to regulate a voltage of a driving power supplied from a power supply to a processor, a first switch, located between the power supply and the voltage regulator, configured to switch on and off a connection between the power supply and the voltage regulator, and a second switch configured to control the first switch based on an input of a driving signal to operate the processor.06-09-2016
20160162016METHOD AND APPARATUS FOR CONTROLLING MULTIPLE PROCESSORS TO REDUCE CURRENT CONSUMPTION - A method and apparatus are provided for controlling multiple processors in order to reduce current consumption in electronic device. An electronic device includes an application processor (AP) configured to control a plurality of functions; a communication processor (CP) electronically connected to the AP; and a sensor module or a communication module electronically connected to the CP. When the AP enters a sleep state, the CP is configured to control at least one function among the plurality of functions based on information collected from the sensor module or the communication module according to a discontinuous reception (DRX) operating period.06-09-2016
20160162018Systems And Methods For Power Supply Configuration And Control - Systems and methods are disclosed that may be used for controlling information handling system power supply based on current system power policy such as current system load power need and/or based on current system load power capping information. The disclosed systems and methods may be so implemented to improve power use efficiency for information handling system applications in which a power supply unit (PSU) has a power delivery capability that is overprovisioned relative to the power-consuming system load component/s of an information handling system.06-09-2016
20160170466POWER SAVING MULTI-WIDTH PROCESSOR CORE06-16-2016
20160170475DISTRIBUTED COMPUTING06-16-2016
20160170477TRANSITIONING BETWEEN TWO POWER STATES BASED ON USER RELATED SIGNALS06-16-2016
20160179166PROCESSOR CORE POWER EVENT TRACING06-23-2016
20160179174CONTROL SYSTEM FOR POWER CONTROL06-23-2016
20160179175METHOD AND SYSTEMS FOR ENERGY EFFICIENCY AND ENERGY CONSERVATION INCLUDING ON-OFF KEYING FOR POWER CONTROL06-23-2016
20160179178Energy-Saving Method06-23-2016
20160179180THERMAL MITIGATION IN DEVICES WITH MULTIPLE PROCESSING UNITS06-23-2016
20160179181HYBRID PARALLEL REGULATOR AND POWER SUPPLY COMBINATION FOR IMPROVED EFFICIENCY AND DROOP RESPONSE WITH DIRECT CURRENT DRIVEN OUTPUT STAGE ATTACHED DIRECTLY TO THE LOAD06-23-2016
20160179182SYSTEM06-23-2016
20160179187I/O Interface-Based Signal Output Method and Apparatus06-23-2016
20160179436DATA PROCESSING METHOD AND APPARATUS06-23-2016
20160187957ELECTRONIC DEVICE AND METHOD FOR SAVING POWER IN THE ELECTRONIC DEVICE - A method for saving power of an electronic device includes establishing relationship between operational states and operations carried out by the electronic device. According to the relationship, an operation to the electronic device is executed after acquiring current operational states of the electronic device periodically. And the operational states of the electronic device is refreshed after executing the operation to the electronic device.06-30-2016
20160187960BATTERY POWERED DEVICE - A battery powered device providing battery power to a processing device via a positive terminal and a negative terminal and including a first switch, a second switch, a battery unit and a control unit is provided. The first switch is coupled to the positive terminal. The second switch is coupled to the first switch. The battery unit is coupled between the second switch and the negative terminal. The control unit operates in an off mode when the control unit receives the turn-off command. The control unit operates in a power-saving mode when the control unit receives the save command. When the control unit operates in the off mode, the control unit turns off the first and second switches. When the control unit operates in the power-saving mode, the control unit turns off the first switch and turns on the second switch.06-30-2016
20160187961LOW-POWER CONTEXT-AWARE CONTROL FOR ANALOG FRONTEND - An analog frontend (AFE) interface is dynamically programmable based on a determined operating state. The AFE includes hardware to interface with multiple different sensors. The AFE includes analog processing hardware that can select input data from one of the multiple sensors. The analog processing hardware is coupled to a processor that computes features from the sensor, where the features represent selected operating condition information of the AFE for the sensor. The processor is to determine one of multiple discrete operating states of the AFE for the sensor based on the computed features and dynamically adjust operation of the AFE to interface with the sensor based on the determined operating state. Dynamically adjusting the operation of the AFE includes controlling a configuration of the AFE that controls how the AFE receives the input sensor data from the sensor.06-30-2016
20160187964ELECTRICAL DEVICE AND A POWER SAVING METHOD THEREOF - The present invention provides an electrical device having a power saving mode, a sleep mode, and a run mode of an OS system. A modality switch controls an on-off of the battery module and the OS system for achieving to switch between the power saving mode and other modes. The power saving method mentioned above can apply to the shipment and the storage of the electrical device to reduce the power consumption of the battery.06-30-2016
20160195919POWER MANAGEMENT WITH HARDWARE VIRTUALIZATION07-07-2016
20160202748COMPUTER STICK DOCKING SYSTEM AND POWER MANAGEMENT METHOD THEREOF07-14-2016
20160202750SELECTIVE BLOCKING OF BACKGROUND ACTIVITY07-14-2016
20160202751POWER SUPPLY CIRCUIT OF UNIVERSAL SERIAL BUS AND ELECTRONIC DEVICE HAVING THE CIRCUIT07-14-2016
20160202752Network Proxy for High-Performance, Low-Power Data Center Interconnect Fabric07-14-2016
20160252941SYSTEM AND METHOD FOR OPERATING POWER CONVERTERS09-01-2016
20160252948INFORMATION PROCESSOR, IMAGE GENERATION METHOD, AND PROGRAM09-01-2016
20160252949Power Consumption Measurement Arrangement and Method09-01-2016
20160252950Power Supply Mode Switching Method and Apparatus09-01-2016
20160252951PRIORITY BASED APPLICATION EVENT CONTROL (PAEC) TO REDUCE POWER CONSUMPTION09-01-2016
20160252952Programmable Power Management Agent09-01-2016
20160252953SAME LINKING09-01-2016
20160378160HARDWARE APPARATUSES AND METHODS TO PERFORM TRANSACTIONAL POWER MANAGEMENT - Methods and apparatuses relating to transactional power management are described. In one embodiment, a hardware apparatus includes a hardware processor having a core, a plurality of power domains to transition to one of a plurality of power states in response to a power management command for each power domain, and a power transaction unit to assign a first power management command as a first power transaction and a second power management command as a second power transaction for concurrent execution, perform a commit of the first power transaction and the second power transaction when there is no conflict between the first power transaction and the second power transaction, and perform an abort of the first power transaction and a commit of the second power transaction when there is a conflict between the first power transaction and the second power transaction.12-29-2016
20160378168DYNAMIC POWER MANAGEMENT OPTIMIZATION - Systems, apparatuses, and methods for managing power usage of integrated circuits. One or more processor cores may be powered down when the system is idle. Even if there is no user activity, the processor core(s) may be woken up periodically for background downloads to retrieve the latest status for social media and other applications. Additionally, a power management unit may track the average number of active cores and the average core utilization. If the average number of active cores is less than a first threshold and the average core utilization is less than a second threshold, the power management unit may generate a request to offline one or more cores. Still further, when the processor's skin temperature is above a threshold and all of the cores are operating at the lowest acceptable operating point, one or more cores may be powered down.12-29-2016
20160378169OPPORTUNISTIC POWER MANAGEMENT FOR MANAGING INTERMITTENT POWER AVAILABLE TO DATA PROCESSING DEVICE HAVING SEMI-NON-VOLATILE MEMORY OR NON-VOLATILE MEMORY - A data processing device is configured to deploy, in response to an intermittent source of power, opportunistic power management strategies to manage harvested energy based on an expected amount of energy available to the data processing device and on expected energy expenditures defined by data processing and memory content control writing performed by the data processing device.12-29-2016
20160378170PLATFORM AND PROCESSOR POWER MANAGEMENT - The present invention relates to platform power management.12-29-2016
20160378171POWER MANAGEMENT FOR TOUCH CONTROLLER - Power management for a touch controller is disclosed. The touch controller can include a transmit section for transmitting stimulation signals to an associated touch sensor panel to drive the panel, where the touch controller can selectively adjust the transmit section to reduce power during the transmission. The touch controller can also include a receive section for receiving touch signals resulting from the driving of the panel, where the touch controller can selectively adjust the receive section to reduce power during the receipt of the touch signals. The touch controller can also include a demodulation section for demodulating the received touch signals to obtain touch event results, where the touch controller can selectively adjust the demodulation section to reduce power during the demodulation of the touch signals. The touch controller can also selectively reduce power below present low levels during idle periods. The touch controller can be incorporated into a touch sensitive device.12-29-2016
20160378173TRACKING MISSED PERIODIC ACTIONS ACROSS STATE DOMAINS - Systems and methods may provide for determining, in a first domain that manages a state of a second domain, that the second domain is in the state and determining, in the first domain, that a periodic action has been scheduled to occur in the second domain while the second domain is in the state. Additionally, the periodic action may be documented as a missed event with respect to the second domain. In one example, documenting the periodic action as a missed event includes incrementing a missed event counter.12-29-2016
20160378174Method and Device for Waking Up MCU Chip - The present disclosure provides a method and a device for waking up a microcontroller unit (MCU) chip, which belongs to an intelligent device technology field. The method includes: receiving a wireless message sent from other intelligent device when a Wireless Fidelity (Wi-Fi) chip is under a low power consumption mode, in which the wireless message is dedicated to the low power consumption mode, determining whether the wireless message is a specified wakeup message, and if it is determined that the wireless message is the specified wakeup message, sending an interrupt wakeup signal to the MCU chip via a wakeup pin connected between the Wi-Fi chip and the MCU chip, so as to wake up the MCU chip. The MCU chip and the Wi-Fi chip are integrated in the same Wi-Fi module.12-29-2016
20170235355LAPTOP COMPONENT POWER CONSUMPTION SETTINGS RESPONSIVE TO MULTIPLE LID ANGLES08-17-2017
20170235358Power Sourcing Equipment Chip, and Power Over Ethernet Device and Method08-17-2017
20180024609BACKUP POWER COMMUNICATION01-25-2018
20180024616INFORMATION PROCESSING DEVICE, CONTROL METHOD, AND STORAGE MEDIUM01-25-2018
20180024617WEARABLE COMPUTER01-25-2018
20180024618Power Control in Integrated Circuits01-25-2018
20180024620COMMUNICATIONS SYSTEM USING HYBRID COMMON MODE CHOKE AND KELVIN SENSING OF VOLTAGE01-25-2018
20180024761APPARATUS FOR DATA RETENTION AND SUPPLY NOISE MITIGATION USING CLAMPS01-25-2018
20180025259ELECTRONIC APPARATUS AND METHOD FOR MANAGING POWER SUPPLY01-25-2018
20190146553MATEABLE COMPUTING DEVICES05-16-2019
20190146573Method for Managing Central Processing Unit and Related Products05-16-2019
20190146574METHOD AND APPARATUS FOR POWER MANAGEMENT05-16-2019
20190146575System and Methods for Efficiently Communicating Between Low-Power Devices05-16-2019
20220137696SYSTEM AND METHOD FOR TURNING OFF A DISPLAY DEVICE BASED ON ENERGY USAGE - An information handling system includes a display device, a user input device, and a processor. The processor communicates with the display device and with the user input device. The processor detects whether the user input device is in an idle state. In response to an initial detection of the user input device being in the idle state, the processor continually determines a power consumption of the display device. While the power consumption is continually determined, the processor determines whether a predetermined amount of energy has been consumed. In response to a predetermined amount of energy being consumed, the processor turns off the display device.05-05-2022
20220137984Efficient Hibernation Apparatus and Method for Digital Devices - Hibernating an android device includes freezing one or more tasks, processes, drives, data and/or files of open applications, or other RAM data, and creating a hibernation image. A resume image is generated based on the hibernation image. The resume image is stored to disk along with one or more hibernation parameters that are configured to guide Linux to specific memory locations of certain resume image data. Power to both the processor and the RAM storage devices of the android device are then cut off.05-05-2022