Patents - stay tuned to the technology

Inventors list

Assignees list

Classification tree browser

Top 100 Inventors

Top 100 Assignees


Integrated circuit production or semiconductor fabrication

Subclass of:

700 - Data processing: generic control systems or specific applications

700090000 - SPECIFIC APPLICATION, APPARATUS OR PROCESS

700095000 - Product assembly or manufacturing

700117000 - Particular manufactured product or operation

Patent class list (only not empty are listed)

Deeper subclasses:

Entries
DocumentTitleDate
20080208383STITCHED IC CHIP LAYOUT METHODS, SYSTEMS AND PROGRAM PRODUCT - Stitched integrated circuit (IC) chip layout methods, systems and program products are disclosed. In one embodiment, a method includes obtaining from a first entity a circuit design for an IC chip layout that exceeds a size of a photolithography tool field at a second entity, wherein the IC chip layout includes for at least one stitched region of a plurality of stitched regions: a boundary identification identifying a boundary of the at least one stitched region at which stitching occurs and a type indicator indicating whether the at least one stitched region is one of: redundant and unique; dissecting the IC chip layout into stitched regions indicated as unique or redundant at the second entity; and generating a photolithographic reticle at the second entity based on the plurality of stitched regions, the photolithographic reticle having a size that fits within the size of the photolithographic tool field at the second entity.08-28-2008
20080208384PCB CIRCUIT MODIFICATION FROM MULTIPLE TO INDIVIDUAL CHIP ENABLE SIGNALS - A semiconductor package is disclosed having a single CE signal during electrical test and a plurality of CE signals during normal operation thereafter. After electrical testing of the memory die during fabrication, the electrical traces carrying the single CE signal from the memory test pad matrix to each of the memory die may be severed. Severing the electrical traces from the memory test pad matrix electrically isolates the multiple electrical traces between the controller die and memory die, and allows separate and individual CE signals between the controller die and memory die during normal usage of the memory die.08-28-2008
20080208385Semiconductor Manufacturing Apparatus, Method of Detecting Abnormality, Identifying Cause of Abnormality, or Predicting Abnormality in the Semiconductor Manufacturing Apparatus, and Storage Medium Storing Computer Program for Performing the Method - In order to detect an abnormality of semiconductor manufacturing apparatus, a biaxial coordinate system having first and second axes respectively assigned two different monitoring parameters selected from plural apparatus status parameters representing statuses of semiconductor manufacturing apparatus is prepared. As monitoring parameters, for example, a cumulative film thickness for deposition processes that have previously been performed in deposition apparatus and an opening of the pressure control valve located in a vacuum exhaust path to control the internal pressure of a reaction vessel are selected. Values of monitoring parameters obtained when the semiconductor manufacturing apparatus was normally operating are plotted on the biaxial coordinate system. A boundary between a normal condition and an abnormality status is set around a plot group. Values of monitoring parameters obtained during present operation of the semiconductor manufacturing apparatus are plotted on the biaxial coordinate system to determine whether or not there exists an abnormality and identify a type of abnormality based on a positional relation between the plots and the boundary.08-28-2008
20080208386SEMICONDUCTOR MANUFACTURING SYSTEM, CONTROLLER, SEMICONDUCTOR MANUFACTURING SYSTEM CONTROL METHOD, AND PROCESSING LIQUID COLLECTION METHOD - A semiconductor manufacturing system includes a semiconductor manufacturing apparatus, a first controller for controlling the semiconductor manufacturing apparatus, an auxiliary machine for the semiconductor manufacturing apparatus, a second controller for controlling the auxiliary machine, and a third controller for electrically coupling the first and second controllers. The second controller outputs an auxiliary machine-in-operation signal to the third controller when the auxiliary machine is operating, outputs an auxiliary machine-stopping signal to the third controller when the auxiliary machine is stopping, and operates or stops the auxiliary machine according to an instruction signal received from the third controller. The first controller receives the auxiliary machine-in-operation signal or the auxiliary machine-stopping signal via the third controller, and keeps the semiconductor manufacturing apparatus in operation or on standby when the first controller is receiving the auxiliary machine-in-operation signal. The third controller stops the auxiliary machine when the third controller detects that the semiconductor manufacturing apparatus is on standby, and outputs the auxiliary machine-in-operation signal, instead of the auxiliary machine-stopping signal received from the second controller, to the first controller.08-28-2008
20080228308CRITICAL DIMENSION UNIFORMITY OPTIMIZATION - Embodiments of an apparatus and methods for providing critical dimensions of a pattern. Pattern parameters and process history from a first substrate are used to create a thermal modes. The thermal mode is employed to established intelligent set points for zones of a substrate heater. A second substrate is position proximate the heater. The actual temperature of each zone is controlled using the corresponding intelligent setpoint.09-18-2008
20080228309Method and System for Reducing Critical Dimension Side-to-Side Tilting Error - A method for reducing a critical dimension error of a substrate is provided. A first function is identified for correlating a critical dimension error with a first effect. A second function is identified for correlating a critical dimension error with a scan speed. An optimal scan speed for minimizing the critical dimension error is identified by substantially equating the first function and the second function. The substrate may be a mask or a wafer.09-18-2008
20080228310Automated material handling system and method - An automated material handling system (AMHS) includes a plurality of first stockers for material storage and a plurality of second stockers for material storage, wherein the second stockers are smaller than the first stockers. A method of operating an AMHS, wherein the AMHS includes a plurality of first stockers for material storage and a plurality of second stockers for material storage and the second stockers are smaller than the first stockers, includes selecting one of the first stockers and the second stockers after a step of a process is performed with one or more pieces of material at a piece of processing equipment; unloading the one or more pieces of material from the piece of processing equipment; and transporting the one or more pieces of material to the selected one of the first stockers and the second stockers.09-18-2008
20080228311SUBSTRATE PROCESSING APPARATUS, CONTROL METHOD FOR THE APPARATUS, AND PROGRAM FOR IMPLEMENTING THE METHOD - A substrate processing apparatus, according to which inspection of various devices in the substrate processing apparatus can be carried out with improved reliability, while reducing the burden on a user. A processing chamber processes semiconductor wafers therein. A transfer chamber transfers the semiconductor wafers. A FOUP (front opening unified pod) houses a plurality of dummy wafers for inspection of the processing chamber or the transfer chamber. A CPU causes an HDD (hard disk drive) to store a housing state relating to the arrangement of the dummy wafers in the FOUP before replacement of dummy wafers in the FOUP and that after the replacement as dummy wafer setup information.09-18-2008
20080243294Method and apparatus for verifying a site-dependent procedure - The present invention includes a method of verifying a Site-Dependent (S-D) processing procedure, the method including receiving a plurality of wafers by a S-D transfer system, determining S-D wafer state data for each wafer; establishing a first set of verification wafers, determining a number of required verification sites for each verification wafer, determining a number of visited verification sites, determining a number of remaining verification sites for each verification wafer, establishing a first procedure-verification sequence, determining a first S-D verification procedure, transferring the first verification wafer to a first S-D processing element and delaying the first verification wafer for a first period of time.10-02-2008
20080243295Method and apparatus for creating a site-dependent evaluation library - The present invention includes a method of creating a Site-Dependent (S-D) evaluation library including receiving a plurality of S-D wafers by one or more S-D transfer subsystems in a processing system, establishing wafer state data for each S-D wafer, establishing a library-creation processing sequence for creating a library of S-D evaluation data, determining a first number of S-D process wafers to be processed, establishing first operational states for a plurality of S-D processing elements in one or more processing subsystems, determining a first number of available processing elements, establishing a first S-D transfer sequence, transferring the first number of S-D process wafers to the first number of available processing elements therein and applying a first corrective action.10-02-2008
20080243296Substrate processing system and group management system - It is intended to provide a substrate processing system and a group management system enabling a more flexible and simplified structure of substrate processing apparatuses. The substrate processing system according to this invention has a plurality of substrate processing apparatuses for processing substrates and the group management system connected to the substrate processing apparatuses, and the group management system includes a structure information memory device (structure management device) for memorizing structure information, a plurality of communication devices (connection management devices) for communicating with at least one of the plural substrate processing apparatuses based on the structure information memorized in the structure information memory device, and an apparatus information storage device (data management device) connected to any of the plural communication devices based on the structure information memorized in the structure information memory device and which stores information relating to the substrate processing apparatus performing communication with the communication device.10-02-2008
20080243297METHOD AND APPARATUS FOR VERIFYING A SITE-DEPENDENT WAFER - The present invention includes a method of verifying a Site-Dependent (S-D) wafer that includes receiving a first set of S-D wafers by one or more S-D processing elements in one or more processing subsystems, creating a first set of unverified S-D wafers by performing a first S-D creation procedure, establishing S-D wafer state data for each unverified S-D wafer, establishing a first set of evaluation wafers comprising a first number of the unverified S-D wafers, establishing first operational states for a plurality of S-D evaluation elements, determining a first number of available evaluation elements, establishing a first S-D transfer sequence, transferring the first set of S-D evaluation wafers to the first number of available evaluation elements in one or more evaluation subsystems and applying a first corrective action when the number of S-D evaluation wafers is greater than the first number of available evaluation elements.10-02-2008
20080249650METHOD FOR COMPOSITION CONTROL OF A METAL COMPOUND FILM - Measurement of the extinction coefficient k is employed for effective and prompt in-line monitoring and/or controlling of the metal film composition. The dependency of the extinction coefficient on the composition of a metal compound is characterized by measuring the extinction coefficients of a series of the metal compound with different compositions. A monitor metal film is then deposited on a wafer. The extinction coefficient k of the film on the wafer is measured and a film compositional parameter is extracted. The wafer processing may continue if k is in specification or the needed compositional change in the film may be extracted from the measured value of the k and the established dependence of k on the composition of the film for out-of-spec k values.10-09-2008
20080249651SUBSTRATE TRANSPORT APPARATUS WITH MULTIPLE INDEPENDENTLY MOVABLE ARTICULATED ARMS - A substrate transport apparatus including a drive section having at least one drive shaft and at least two scara arms operably coupled to the at least one drive shaft, the at least one drive shaft being a common drive shaft for the at least two scara arms effecting extension and retraction of the at least two scara arms, wherein the at least two scara arms are coupled to each other so that, with the at least one drive shaft coupled to the at least two scara arms, rotation of the drive shaft effects extension and retraction of one of the at least two scara arms substantially independent of motion of another of the at least two scara arms.10-09-2008
20080255698Device for Monitoring the Relative Positions of Several Devices - An apparatus is presented for monitoring the relative positions and/or for measuring and evaluating relative position in a system which contains at least two sub-systems.10-16-2008
20080275588PREDICTION OF UNIFORMITY OF A WAFER - A method of monitoring uniformity of a wafer is provided. A wafer parameter is selected. Manufacturing data is collected. The manufacturing data includes measurements of the selected wafer parameter. An average offset profile of the wafer parameter for a first and second wafer is determined using the manufacturing data. The first and second wafer are associated with a product type and were processed by a processing tool. An offset profile for a third wafer is predicted for a wafer using the average offset profile. The third wafer is associated with the product type and was processed by the processing tool.11-06-2008
20080294282USE OF LOGICAL LOTS IN SEMICONDUCTOR SUBSTRATE PROCESSING - In some embodiments, a method of processing substrates is provided that includes (1) grouping substrates in a plurality of substrate carriers as a logical lot; (2) processing the logical lot as if the substrates were stored in a single substrate carrier; and (3) performing metrology on a representative subset of substrates in the logical lot. Numerous other embodiments are provided.11-27-2008
20080306623METHOD FOR AUTOMATICALLY CHECKING SEQUENCE OF LOADING BOATS AND BATCHES FOR SEMICONDUCTOR MANUFACTURING PROCESS - A method for automatically checking a sequence of loading boats and batches for a semiconductor manufacturing process is provided. According to a developed logic, a loading sequence is automatically calculated by a system. By comparing the actual loading sequence with the calculated sequence when the boats are entering, it is ensured that no errors occur when loading the boats in the batches. When loading the boats in the batches, operators can truly load the boats in the batches according to the entering sequence together with the current confirmation mechanism, so as to ensure the boat positions for the loaded materials to be correct. The method for automatically checking the sequence of loading boats and batches is further capable of calculating the loading sequence through the developed logic by automatically determining runnable boat positions for the material after recording a parameter for detecting a previous boat by the system.12-11-2008
20080306624Advanced finishing control - A factory, an apparatus, and methods of using an in situ finishing information for finishing workpieces and semiconductor wafers are described. Changes or improvements to cost of manufacture of a workpiece using current in-process cost of manufacture information, tracked current in-process cost of manufacture information, or current cost of manufacture parameters are discussed. Appreciable changes to quality or cost of manufacture of a workpiece using tracking, using in-process tracked information, networks including a multiplicity of apparatus, and using in situ finishing information are discussed. A factory, apparatus, and methods to change or improve process control are discussed. A factory, apparatus, and methods to change or improve real-time process control are discussed. A factory, apparatus, and methods to change or improve feedforward and feedback control are discussed. The workpieces can be tracked individually or by process group such as a process batch.12-11-2008
20090005896MANUFACTURING WORK IN PROCESS MANAGEMENT SYSTEM - A method for addressing high Work In Process (WIP) conditions for increasing throughput while minimizing risk in a manufacturing line. Selected products to be skipped over during high WIP conditions include determining toolsets having work in process exceeding a certain threshold. For each of the toolsets, products which meet a criteria for skipping are selected. The selected products skip over to the toolset used in a subsequent process step ahead of product failing to meet the criteria for skipping. Solutions to this problem also include the WIP of the current process step, nominal WIP and WIP of subsequent process steps. Candidate lots for skipping process steps are identified by referencing a matrix of parameters that includes yield and criticality.01-01-2009
20090018692Substrate Processing Apparatus - A substrate processing apparatus which can securely show the status of recipe transition is provided. In a substrate processing apparatus 01-15-2009
20090024244HIGH THROUGHPUT SEMICONDUCTOR WAFER PROCESSING - A wafer processing system has a wafer loading system accommodating sufficient wafer carriers to substantially maximize the processing speed capability of the processing system. Wafer carriers are placed into and removed from the loading system by one or two overhead carrier loading tracks. Carriers may be loaded or removed while other carriers are in work. One or more transfer robots may move wafers from the carriers to buffers. One or more process robots in a process module move wafers from buffers, or other locations, to processors in the process module.01-22-2009
20090030543SEMICONDUCTOR MANUFACTURING PROCESS MONITORING - A system and method for monitoring a semiconductor manufacturing process is disclosed. The system communicates with one or more process tools, and monitors each tool during a predetermined process window time. Errors and warnings are provided to users, allowing corrective action to be taken. Additionally, the system of the present invention can initiate automatic adjustment of the process tools to maintain an efficient manufacturing operation.01-29-2009
20090043416METHODS AND APPARATUS FOR EX SITU SEASONING OF ELECTRONIC DEVICE MANUFACTURING PROCESS COMPONENTS - In one aspect, a method of improving the performance of an electronic device manufacturing facility is provided, including the step of reducing the number of electronic device manufacturing component seasoning steps which are performed using production equipment, whereby the amount of electronic device manufacturing system downtime is reduced. Several other aspects are provided.02-12-2009
20090055013METHOD FOR CONTROLLING SEMICONDUCTOR-PROCESSING APPARATUS - A method controls an apparatus such as a semiconductor-processing apparatus including a controller and at least one device controlled by the controller, wherein the controller is provided with an interface for communicating with the device, and the interface has an internal clock for measuring time intervals for the communication. The method includes: replacing a system clock of the controller's operating system, which is used for transmitting instructions to the interface, with the internal clock of the interface; transmitting instructions to the interface from the controller using the time intervals measured by the internal clock substituting the system clock; and transmitting the instructions to the device from the interface using the time intervals measured by the internal clock in the interface, thereby controlling the device.02-26-2009
20090062954METHOD AND SYSTEM FOR AUTO-DISPATCHING LOTS IN PHOTOLITHOGRAPHY PROCESS - A method and a system for auto-dispatching lots in a photolithography process are provided. According to the method, first, a prioritized lot list is established according to the working status of a plurality of photolithography equipments. Then, a processable lot with the highest priority from the lot list is selected and a relative process background information is used for determining a photolithography operation type. Finally, the selected lot is dispatched according to the photolithography operation type. The present invention dispatches the lot with the appropriate dispatching rule according to the process background information of the lot. As a result, the quality of the photolithography process can be ensured so as to increase the throughput, and the labor overhead can be reduced to achieve the purpose of production cost reduction.03-05-2009
20090082897METHOD AND APPARATUS FOR GENERATING METROLOGY TAGS TO ALLOW AUTOMATIC METROLOGY RECIPE GENERATION - A method includes generating a layout for an integrated circuit device. A plurality of metrology sites on the layout is generated. A metrology tag associated with each of the metrology sites is generated. Each metrology tag includes identification data, location data, and metrology context data relating to the associated metrology site. A system includes a data store and a metrology tag unit. The data store is operable to store a plurality of metrology tags. Each metrology tag is associated with a metrology site on a layout for an integrated circuit device and includes identification data, location data, and metrology context data relating to the associated metrology site. The metrology tag unit is operable to access at least a subset of the metrology tags and generate a metrology recipe for measuring characteristics of the integrated circuit device based on the subset of metrology tags.03-26-2009
20090088888COMPONENT MOUNTING METHOD - The component mounter (04-02-2009
20090099681METHOD FOR CREATING WAFER BATCHES IN AN AUTOMATED BATCH PROCESS TOOL - A method of batching substrates in an automated processing tool, the automated process tool and a system for batching substrates in the automated process tool. The method includes selecting a first container containing a first group of substrates; simultaneously transferring each substrate of the first group of substrates into a batching station of the automated processing tool; selecting a second container containing a second group of substrates; selecting less than all substrates of the second group of substrates; and transferring each substrate of the less than all substrates of the second group of substrates to the batching station to form a third group of substrates.04-16-2009
20090105867Temperature control method, method of obtaining a temperature correction value, method of manufacturing a semiconductor device and substrate treatment apparatus - In a temperature control method in which a target temperature is given in a thermal treatment furnace and plural heaters are controlled according to the target temperature, the correlation of the each heater and plural profile temperature sensors provided in the thermal treatment furnace is determined, a virtual temperature is calculated on the basis of the detection temperature of each profile temperature sensor and a weighting factor calculated from the correlation, and the each heater is controlled so that the virtual temperature is coincident with the target temperature.04-23-2009
20090105868Method For Assembling A Customized Printed Circuit Board - A system and a method are provided for assembling a customized printed circuit board having at least one electrical component. The system includes an input device for allowing a user to input predetermined information on the customized printed circuit board to be assembled. A central processing unit is operatively connected to the input device by a communications network, such as the internet. The central processing unit receives the predetermined information and generates assembly instructions in response to the predetermined information. A machine is operatively connected to the central processing unit for assembling the customized printed circuit board in response to the assembly instructions04-23-2009
20090112352EQUIVALENT GATE COUNT YIELD ESTIMATION FOR INTEGRATED CIRCUIT DEVICES - A storage medium including a method of modeling yield for semiconductor products includes determining expected faults for each of a plurality of library elements by running a critical area analysis on each of the library elements, and assessing, from the critical area analysis, an expected number of faults per unit area, and comparing the same to actual observed faults on previously manufactured semiconductor products. Thereafter, the expected number of faults for each library element is updated in response to observed yield. A database is established, which includes the die size and expected faults for each of the library elements. Integrated circuit product die size is estimated, and library elements to be used to create the integrated circuit die are selected. Fault and size data for each of the selected library elements are obtained, the adjusted estimated faults for each of the library elements are summed, and estimated yield is calculated.04-30-2009
20090125140SYSTEM AND METHOD FOR MATCHING SILICON OXIDE THICKNESS BETWEEN SIMILAR PROCESS TOOLS - The present invention is one or more implementations is a method of fabricating a semiconductor for improved oxide thickness control, defining a process tool, determining and evaluating performance variables, determining a performance impact factor and thereafter modifying control of the process tool in the fabrication process to operate in direct relation to the determined results of the present invention. The present invention sets forth definitive advantages in reducing engineering time, improving process controls and improving cycle-times.05-14-2009
20090125141WORKING APPARATUS AND WORKING METHOD FOR CIRCUIT BOARD - In estimating a curved surface model by approximating the shape of the board surface of a circuit board, auxiliary measurement spots are set other than measurement spots on the board surface, eligibility as a sampling displacement magnitude in estimating a curved surface model is determined according to a difference in a displacement magnitude from a work reference surface. When the sampling displacement magnitude is determined to be ineligible, a new measurement spot is reset. By this operation, a local increase and decrease in the displacement magnitude due to a discontinuity of the board surface exerts no influence on the estimation of the curved surface model, and the curved surface model approximated more closely to the shape of the actual board surface is estimated, leading to an improvement in the work quality with the working height adjusted to the proper height.05-14-2009
20090132082STRIPED ON-CHIP INDUCTOR - Sub-100 nanometer semiconductor devices and methods and program products for manufacturing devices are provided, in particular inductors comprising a plurality of spaced parallel metal lines disposed on a dielectric surface and each having width, heights, spacing and cross-sectional areas determined as a function of Design Rule Check rules. For one planarization process rule a metal density ratio of 80% metal to 20% dielectric surface is determined and produced. In one example a sum of metal line spacing gaps is less than a sum of metal line interior sidewall heights. In one aspect at least one of line height, width and line spacing dimensions is selected to optimize one or more chip yield, chip performance, chip manufacturability and inductor Q factor parameters.05-21-2009
20090138119Chip Handler with a Buffer Traveling between Roaming Areas for Two Non-Colliding Robotic Arms - Two robotic arms roam in separate, non-overlapping areas of a test station, avoiding collisions. A traveling buffer moves along x-tracks between a front position and a back position. In the front position, a first robotic arm loads IC chips from an input tray or stacker into buffer cavities in the traveling buffer. The traveling buffer then moves along the x-tracks to the back position, where a second robotic arm moves chips from the traveling buffer to test boards for testing. After testing, the second robotic arm moves chips to a second traveling buffer, which then moves along tracks to a front position for unloading by the first robotic arm. Two traveling buffers may move on the same tracks in a loop. The buffer cavities in the traveling buffer move on internal tracks to expand and contract spacing and pitch between the front and back positions to match test-board pitch.05-28-2009
20090143894BEVEL/BACKSIDE POLYMER REMOVING METHOD AND DEVICE, SUBSTRATE PROCESSING APPARATUS AND STORAGE MEDIUM - A bevel/backside polymer removing method removes multi-layered bevel/backside polymers adhering to a bevel surface and a backside of a target substrate. The multi-layered bevel/backside polymers include an inorganic layer and an organic layer. The bevel/backside polymer removing method includes mechanically destroying the multi-layered bevel/backside polymers and heating residues of the multi-layered bevel/backside polymers mechanically destroyed.06-04-2009
20090157216AUTOMATED SCHEDULING OF TEST WAFER BUILDS IN A SEMICONDUCTOR MANUFACTURING PROCESS FLOW - An automated, computer-implemented method for managing test wafers in an integrated, automated semiconductor manufacturing environment includes: managing a test wafer inventory; consuming inventoried test wafers in the automated process flow; and distributing the consumed test wafers according to their level of usage after an evaluation thereof. An automated, computer-implemented method for use in semiconductor manufacturing includes: monitoring test wafer utilization in an automated process flow; maintaining an inventory of test wafers of a plurality of different types responsive to the monitored utilization; and managing the test wafer utilization of the test wafer inventory. An automated, computer-implemented method for use in semiconductor manufacturing includes: kitting a lot of test wafers; instantiating a software-implemented test lot scheduling agent for the kitted lot, the agent being capable of: scheduling a build for the kitted lot; or scheduling the kitted lot as a resource for consumption in an automated process flow.06-18-2009
20090171495METHOD OF CONTROLLING STATUSES OF WAFERS - A method of controlling statuses of a plurality of wafers is described. A status of a wafer among the wafers is determined. An action related to the status is taken, according to the status determined, to the wafer and/or other wafers to improve a yield or yields thereof.07-02-2009
20090177310METHOD OF CONTROLLING PROCESS PARAMETERS FOR SEMICONDUCTOR MANUFACTURING APPARATUS - Methods and systems for adaptively controlling process parameters in semiconductor manufacturing equipment. An embodiment provides for gain scheduling of PID controllers across recipe steps. One embodiment provides a method for controlling a chuck temperature during a semiconductor manufacturing process, the method employing a first set of proportional-integral-derivative (PID) values in a PID controller to control the chuck temperature at a first setpoint in a first step of a process recipe and employing a second set of PID values in the PID controller to control the chuck temperature at a second setpoint, different than the first setpoint, in a second step of the process recipe. The methods and systems provide reduced controller response times where process parameter setpoint between steps of a process recipe span a wide range.07-09-2009
20090177311IN-LINE LITHOGRAPHY AND ETCH SYSTEM - The invention can provide a method of processing a wafer using Site-Dependent (S-D) processing sequences that can include S-D creation procedures, S-D evaluation procedures, and S-D transfer sequences. The S-D creation procedures can be performed using S-D processing elements, the S-D evaluation procedures can be performed using S-D evaluation elements, and S-D transfer sequences can be performed using site-dependent transfer subsystems. Site-dependent data can be stored in site-dependent libraries and/or databases.07-09-2009
20090187268Temperature regulating method, thermal processing system and semiconductor device manufacturing method - A temperature regulating method in a thermal processing system has heating means for heating an interior of a process chamber to process a substrate, a heating control section for controlling the heating means, and first and second temperature detecting means for detecting a temperature in the process chamber. The first temperature detecting means is arranged in a position closer to the substrate than the second temperature detecting means while the second temperature detecting means is arranged in a position closer to the heating means than the first temperature detecting means. The temperature regulating method includes a first step of controlling the heating means by performing integral operation, differential operation and proportional operation by means of the heating control section in a manner a detection temperature by the temperature detecting means becomes a predetermined target temperature, a second step of determining a first output control pattern by patterning a first operation amount for the heating control section to control the heating means depending upon a detection temperature detected by the first temperature detecting means, in controlling the heating means in the first step, a third step of controlling the heating means by means of the heating control section depending upon the first output control pattern determined in the second step, and a fourth step of determining a second output control pattern by patterning at least a part of a second operation amount for the heating control section to control the heating means depending upon a detection temperature detected by the second temperature detecting means, in controlling the heating means in the third step.07-23-2009
20090204252SUBSTRATE PROCESSING METHOD AND APPARATUS, METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE AND STORAGE MEDIUM - A substrate processing method includes a first step of forming a metal complex by allowing a processing gas containing an organic compound to be adsorbed by a metal layer formed on a target substrate while setting the target substrate to be kept at a first temperature, and a second step of sublimating the metal complex by heating the target substrate to maintain it at a second temperature higher than the first temperature.08-13-2009
20090248192SUBSTRATE PROCESSING SYSTEM AND SUBSTRATE CONVEYANCE METHOD - A substrate processing system (10-01-2009
20090254210SYSTEM AND METHOD FOR MOVEMENT CONTROL - A system for controlling object movement on a machine. The system controls a speed of a working point of the machine according to a dynamic decay speed determined through an exponential function after the working point passes by a buffer distance. A related method and storage medium with instructions for performance of the method also provided.10-08-2009
20090259333COMPONENT MOUNTING APPARATUS, SERVICE PROVIDING DEVICE AND SERVICING METHOD - A service receiving method for receiving a component library generated from mounted component data, including component size and mounting conditions, via a communication system that includes the Internet. The method includes the service provider receiving mounted component data having mounting conditions actually realized by a fabrication of non-defective products. The method also includes the service provider deriving a component library from a mounted component database.10-15-2009
20090265028Organic Substrate with Asymmetric Thickness for Warp Mitigation - A process for large scale production of a laminated organic substrate having reduced thermal warp.10-22-2009
20090276078Process control system, process control method, and method of manufacturing electronic apparatus - A process control system includes a client computer which prepares a correlation between a reference monitored value of apparatus information and a feature quantity, a manufacturing execution system which prepares a processing recipe describing, as a first setting value in an actual manufacturing process, a value of the control parameter, an apparatus information collection section which collects an objective monitored value of the apparatus information in operation of the actual manufacturing process with the first setting value, a feature quantity calculation section which calculates a value of a feature quantity corresponding to the objective monitored value based on the correlation, a parameter calculation section which calculates a second setting value in the actual manufacturing process on the basis of the value of the feature quantity, and an apparatus control unit which changes the processing recipe with the second setting value being as a setting value of the second step.11-05-2009
20090287341Pre-aligner search - Methods and systems, in one embodiment, for receiving a warped flexible wafer to be transferred between a first mechanism and a second mechanism are described. The method and system senses a first vacuum suction between the warped flexible wafer and the first mechanism. The warped flexible wafer is positioned to define a gap between the warped flexible wafer and the second mechanism. Methods and systems for closing the gap incrementally between the warped flexible wafer and the second mechanism are described. At each increment, the methods and systems detect whether a second vacuum suction is created between the warped flexible wafer and the second mechanism. When a second vacuum suction is detected between the warped flexible wafer and the second mechanism, the first vacuum suction between the warped flexible wafer and the first mechanism is released.11-19-2009
20090306807MULTIDIMENSIONAL PROCESS WINDOW OPTIMIZATION IN SEMICONDUCTOR MANUFACTURING - A method for optimizing multiple process windows in a semiconductor manufacturing process is disclosed. The message comprises performing dependent variable composition on a plurality of dependent variables. Metrology data is joined with the dependent variables, and then a partial least squares regression is performed on the joined data set to obtain a prediction equation, and a variable importance prediction for each process window in a process window set. A set of product limited yield are derived, and the process window set is adjusted, and the yields recalculated, until an optimal process window set is derived.12-10-2009
20090306808AUTOMATICALLY REPLACEABLE APPARATUS FOR COLLECTING BYPRODUCTS AND THE CONTROLLING METHOD THEREOF IN EQUIPMENT PRODUCING SEMICONDUCTOR - Disclosed herein are an automatically replaceable apparatus for collecting byproducts in semiconductor producing equipment and a control method thereof. The apparatus includes several trap units mounted to a vertical shaft at regular angular intervals and rotating in response to a driving direction of a servo motor. Upper and lower plates support the vertical shaft, and connect byproduct inlet and outlet ports, cleaning-water supply and discharge ports, and dry-gas supply and exhaust ports to the respective trap units. Trap and plate coupling means are extended at normal times to air-tightly seal gaps between the upper and lower plates and the trap units, and contracted when the trap units are rotated in a predetermined direction for the replacement. The servo motor is mounted to a lower surface of the lower plate and rotates the trap units within a predetermined angular range. A control unit controls the operation of the components.12-10-2009
20090319075AUTOMATED PROCESS CONTROL USING AN OPTICAL METROLOGY SYSTEM OPTIMIZED WITH DESIGN GOALS - Provided is a method of designing an optical metrology system for measuring structures on a workpiece wherein the optical metrology system is configured to meet a plurality of design goals. The design of the optical metrology system is optimized by using collected design goal data in comparison to the set plurality of design goals. In one embodiment, the optical metrology system is used for stand alone metrology systems. In another embodiment, the optical metrology system is integrated with a fabrication cluster in semiconductor manufacturing. At least one parameter determined from a diffraction signal measured using the optical metrology system is transmitted to the fabrication cluster. The at least one parameter is used to modify at least one process variable or equipment setting of the fabrication cluster.12-24-2009
20090326704PROCESSING LOTS THROUGH A CLUSTER TOOL HAVING MULTIPLE PROCESSING CHAMBERS - Processing a lot through a cluster tool having multiple processing chambers includes dispatching a lot to a cluster tool having a plurality of processing chambers, determining which of the plurality of processing chambers are active processing chambers and inactive processing chambers, setting a recipe for processing the lot utilizing the active processing chambers of the cluster tool, and processing a portion of the lot through the active processing chambers. Processing the lot further requires detecting that at least one of the inactive processing chambers is a re-activated processing chamber, selectively setting a new recipe for processing a remaining portion of the lot utilizing the active processing chambers and the re-activated processing chamber, and processing the remaining portion of the lot through the cluster tool based on the new recipe.12-31-2009
20100036518Using Multi-Layer/Multi-Input/Multi-Output (MLMIMO) Models for Metal-Gate Structures - The invention provides a method of processing a wafer using multilayer processing sequences and Multi-Layer/Multi-Input/Multi-Output (MLMIMO) models and libraries that can include one or more measurement procedures, one or more Poly-Etch (P-E) sequences, and one or more metal-gate etch sequences. The MLMIMO process control uses dynamically interacting behavioral modeling between multiple layers and/or multiple process steps. The multiple layers and/or the multiple process steps can be associated with the creation of lines, trenches, vias, spacers, contacts, and gate structures that can be created using isotropic and/or anisotropic etch processes.02-11-2010
20100063614VERSATILE SEMICONDUCTOR MANUFACTURING CONTROLLER WITH STATISTICALLY REPEATABLE RESPONSE TIMES - The present invention relates to process I/O controllers for semiconductor manufacturing to which a tool host can delegate data collection, monitoring and control tasks. In particular, it relates to process I/O controllers that can perform more than one of data collection, monitoring, control and response to commands from a tool host with statistically repeatable performance and precision. Embodiments described use prioritized real time operating systems to control of semiconductor manufacturing tools and data collection from tool associated with the sensors. Statistically repeatable responsiveness to selected commands and to sensor inputs during selected recipe steps effectively reduces jitter.03-11-2010
20100121477METHOD FOR POSITIONING AND/OR GUIDING AT LEAST ONE ARBITRARY PROCESS HEAD FOR THE METALLIZATION OF THIN SUBSTRATES AT A DEFINED DISTANCE ABOVE THE SUBSTRATE SURFACE - A method for positioning or guiding at least one arbitrary print head or a printing unit having at least one pressure nozzle for the imprinting of thin substrates such as wafers at a defined distance above the surface of the substrate, which is to be provided on a support like a paddle or printing table. Distance sensors continuously acquired distance measurements to the surface of the substrate which is to be imprinted. An adjustment of the print head up to 6 degrees of freedom is ensured by actuators in such a manner that the section of the opening of the pressure nozzle of the print head can be adjusted so as to be coplanar to the section of the surface of the substrate which is to be imprinted. The print head is thus maintained or readjusted so as to adjust the section of the opening of the pressure nozzle of the print head to the surface of the substrate which is to be positioned on the support table so as to be coplanar and so as to maintain the coplanar position during the printing process.05-13-2010
20100125360METHODS FOR PERFORMING DATA MANAGEMENT FOR A RECIPE-AND-COMPONENT CONTROL MODULE - A computer-implemented method for performing data management in a plasma processing system is provided. The method includes providing a recipe-and component control module (RACCM). The RACCM is a server that includes a plurality of intelligent agents, which are configured to interact with the plurality of components. The RACCM also includes a coordinating agent, which is configured to receive processed data from the plurality of intelligent agents. The RACCM further includes a set of provisional agents, which is configured to perform recipe management by distributing at least part of a recipe to at least one of the plurality of intelligent agents and the coordinating agent.05-20-2010
20100191363METHOD AND SYSTEM FOR ESTIMATING CONTEXT OFFSETS FOR RUN-TO-RUN CONTROL IN A SEMICONDUCTOR FABRICATION FACILITY - A method and system for estimating context offsets for run-to-run control in a semiconductor fabrication facility is described. In one embodiment, contexts associated with a process are identified. The process has one or more threads, and each thread involves one or more contexts. A set of input-output equations describing the process is defined. Each input-output equation corresponds to a thread and includes a thread offset expressed as a summation of individual context offsets. A state-space model is created that describes an evolution of the process using the set of input-output equations. The state-space model allows to estimate individual context offsets.07-29-2010
20100228382IN-LINE WAFER MEASUREMENT DATA COMPENSATION METHOD - An in-line wafer measurement data compensation method is presented, and the steps of the method includes: acquire a pre-wafer measurement data, a current wafer measurement data, and a current offset; establish an auto regressive integrated moving average (ARIMA) model and an exponential weighted integrated moving average (EWIMA) model, and input the pre-wafer measurement data, the current wafer measurement data, and the current offset to the ARIMA model and the EWIMA model; then get outputs of the ARIMA model and EWIMA model, wherein the outputs are wafer estimation data. Thereby, the semiconductor manufacturer could reduce the sampling time of an in-line measurement and still maintain an acceptable production performance and maintain control process stability.09-09-2010
20100249980EXPOSURE SYSTEM, METHOD OF TESTING EXPOSURE APPARATUS, AND METHOD OF MANUFACTURING DEVICE - An exposure system is configured to update control software installed on an exposure apparatus to new control software. The exposure system comprises: at least one exposure apparatus; a simulator configured to test an operation of the exposure apparatus; an output unit configured to output configuration information of the exposure apparatus, which includes information representing a temporal change of the exposure apparatus; a reflecting unit configured to reflect the temporal change of the exposure apparatus on the simulator based on the configuration information output from the output unit; and an updating unit configured to update control software installed on the simulator to new control software.09-30-2010
20100324718Substrate processing system and group management system - It is intended to provide a substrate processing system and a group management system enabling a more flexible and simplified structure of substrate processing apparatuses. The substrate processing system according to this invention has a plurality of substrate processing apparatuses for processing substrates and the group management system connected to the substrate processing apparatuses, and the group management system includes a structure information memory device (structure management device) for memorizing structure information, a plurality of communication devices (connection management devices) for communicating with at least one of the plural substrate processing apparatuses based on the structure information memorized in the structure information memory device, and an apparatus information storage device (data management device) connected to any of the plural communication devices based on the structure information memorized in the structure information memory device and which stores information relating to the substrate processing apparatus performing communication with the communication device.12-23-2010
20110009999PLASMA REACTOR WITH RF GENERATOR AND AUTOMATIC IMPEDANCE MATCH WITH MINIMUM REFLECTED POWER-SEEKING CONTROL - An impedance match at an RF generator output of a plasma reactor includes plural minimum-seeking loop controllers having respective feedback input ports coupled to receive a reflected RF power signal from a reflected power sensing circuit and respective control output ports. The output ports are coupled to variable reactances of an impedance match circuit that is connected between the RF generator and an RF power applicator of the reactor.01-13-2011
20110010000Method for Selecting Sample Positions on a Substrate, Method for Providing a Representation of a Model of Properties of a Substrate, Method of Providing a Representation of the Variation of Properties of a Substrate Across the Substrate and Device Manufacturing Method - A method for selecting sample positions on a substrate from a set of all available sample positions is provided, in which a representation of a model, which may represent the variation of one or more properties across the substrate, is analyzed in order to identify the sample positions having the greatest effect on the model.01-13-2011
20110022219SIMULATION OF THE IMAGE PROJECTED BY A MASK - The disclosure concerns a method of simulating the image projected by a mask during photolithography including determining by a processor (01-27-2011
20110029124PROGRAM CONTROLLED DICING OF A SUBSTRATE USING A PULSED LASER BEAM - A substrate is diced using a program-controlled pulsed laser beam apparatus having an associated memory for storing a laser cutting strategy file. The file contains selected combinations of pulse rate Δt, pulse energy density E and pulse spatial overlap to machine a single layer or different types of material in different layers of the substrate while restricting damage to the layers and maximising machining rate to produce die having predetermined die strength and yield. The file also contains data relating to the number of scans necessary using a selected combination to cut through a corresponding layer. The substrate is diced using the selected combinations. Gas handling equipment for inert or active gas may be provided for preventing or inducing chemical reactions at the substrate prior to, during or after dicing.02-03-2011
20110054664Spin Coating Modeling - A method for setting processing parameters for fabricating an integrated circuit, by creating a mathematical model of a spin coated surface of a material over a non-flat substrate surface, where the mathematical model includes, a smoothing algorithm, where the smoothing algorithm uses as inputs only, a nominal thickness of the spin coated surface, a minimum thickness of the spin coated surface, and an interaction length, and a constraint that the spin coated surface cannot intersect the substrate surface, solving the mathematical model to determine the spin coated surface, and using the modeled spin coated surface to set the processing parameters for fabricating the integrated circuit.03-03-2011
20110082581SUBSTRATE PROCESSING APPARATUS, CONTROL METHOD OF THE SUBSTRATE PROCESSING APPARATUS, MANUFACTURING METHOD OF SEMICONDUCTOR DEVICE AND APPARATUS STATE SHIFTING METHOD - To perform a maintenance work safely by a maintenance engineer, even if the maintenance work is performed with power of a substrate processing apparatus turned-on. A substrate processing apparatus, comprising: a controller that inhibits a shift from an idling state to a standby state, when a generation of a prescribed event is detected; the controller further comprising: a shift indicating part that controls an apparatus state so as to be shifted from the idling state possible to receive an indication of execution of the recipe, being an apparatus state possible to step into the substrate processing apparatus, to the standby state possible to execute a recipe, being an apparatus state impossible to step into the substrate processing apparatus; and an event detection part that detects a generation of the prescribed event for inhibiting a shift from the idling state to the standby state and notifies the shift indicating part of the prescribed event.04-07-2011
20110125308APPARATUS FOR MANUFACTURING SUBSTRATE FOR TESTING, METHOD FOR MANUFACTURING SUBSTRATE FOR TESTING AND RECORDING MEDIUM - A test substrate manufacturing apparatus comprising a test circuit database that stores circuit data of a plurality of types of test circuits in association with a plurality of types of testing content; a definition information storing section that stores definition information defining arrangements of device pads of devices under test and testing content to be performed for each of the device pads; and a lithography data generating section that generates lithography data for the test substrate by (i) selecting, from the test circuit database, circuit data of each test circuit to be connected to a device pad based on the testing content defined by the definition information stored in the definition information storing section and (ii) determining positions on the test substrate where the test circuits corresponding to the selected circuit data are formed using lithography, based on the arrangements of the device pads as defined by the definition information.05-26-2011
20110130860Registration System and Method - Printed circuit boards are manufactured by forming a composite layer using a control system which models a production process to generate data using non-linear compensation techniques to compensate for non-linear distortion occurring in a circuit layout applied to a constituent layer. Measurement data of actual circuit layouts is used to update stored values of parameters used in the modelling process. In one form of the model, the desired layout in the composite layer is represented by an array of cells and cell sizes corresponding to an initial stage of the production process are calculated, the model working backwards from the final state of the production process to the initial state, and a finite element model used to determine corresponding positions of cells, taking account of interaction between cells, from which the compensation data is calculated.06-02-2011
20110130861SEMICONDUCTOR MANUFACTURING APPARATUS AND CONTROL SYSTEM AND CONTROL METHOD THEREFOR - Disclosed herein is technology for, among other things, a semiconductor manufacturing apparatus, and a control system and a control method therefor, by which a target parameter that is measured from a wafer processed with a plurality of processing parameters that are processing conditions of the semiconductor manufacturing apparatus to process a wafer, a multiple classification analysis is performed with the plurality of processing parameters and the target parameter to calculate a model formula expressing the target parameter in a selected parameter, a predicted value of the target parameter of the wafer being processed by use of the model formula is calculated, while the processing is being performed, the processing parameters of the processing is modified on the basis of the predicted value, and the processing is continuously performed.06-02-2011
20110153055WIDE-RANGE QUICK TUNABLE TRANSISTOR MODEL - A method includes selecting one of a plurality of existing transistor models for which fabrication and performance data are available, receiving first model data for a next-generation transistor based on target response data and the selected transistor model data, and simulating a response of a circuit including the next-generation transistor. The selection of the existing transistor model is based on target response data for the next-generation transistor for which fabrication and performance data are not available. The simulation is performed using the first transistor model data for the next-generation transistor. A difference between the target response and the simulated response of the next-generation transistor is calculated, and the first model data representing the next-generation transistor is stored in a computer readable storage medium if the performance data difference between the target response and the simulated response is below a threshold.06-23-2011
20110184548ELECTRONIC COMPONENT MOUNTING MACHINE AND OPERATING INSTRUCTION METHOD FOR THE SAME - A challenge to be met by the invention is to provide an electronic component mounting machine that makes up an electronic component mounting line and that standardizes an operation input method, to thus enable lessening of work load on an operator during performance of operation input action, and an operation instruction method for use with the electronic component mounting machine. In electronic component mounting machines that make it possible for a single machine to perform a plurality of types of works by replacement of a work head to be built into a common platform according to a type of work, a production start button (07-28-2011
20110190924CONTROL DEVICE FOR CONTROLLING SUBSTRATE PROCESSING APPARATUS AND METHOD THEREFOR - An EC includes a substrate processing execution unit that executes an etching process on a product substrate, a dummy processing execution unit that executes a dummy process on a dummy substrate and a decision-making unit that makes a decision as to whether the dummy process is to be executed based upon a temperature-related condition. The decision-making unit obtains temperature-related information to be used to regulate the atmosphere inside the individual PM processing containers and makes a decision as to whether the temperature status inside each processing container is regulated based upon the obtained temperature information. If it is decided by the decision-making unit that the temperature status in the processing container has been regulated, the substrate processing execution unit executes the etching process on a product substrate without executing the dummy process.08-04-2011
20110238203Method and Apparatus to Provide a Clock Signal to a Charge Pump - A method and apparatus for providing a clock signal to a charge pump is disclosed. In a particular embodiment, the method includes providing a first clock signal to a first charge pump unit of a charge pump. The method further includes providing a second clock signal to a second charge pump unit of the charge pump. A low-to-high transition of the first clock signal occurs substantially concurrently with a high-to-low transition of the second clock signal. Only one clock signal may be at a logic high voltage level at any given time.09-29-2011
20110320030Thermal Control of a Proximity Mask and Wafer During Ion Implantation - An improved method of processing substrates, such as to create solar cells, is disclosed. The use of shadow masks may cause alignment errors associated with the differing thermal expansion characteristics of the shadow mask and the substrate. To counteract this error, mechanisms are used to insure that the thermal expansion of the shadow mask and the substrate are equal or substantially equal. In some embodiments, the shadow mask is produced with a type and quantity of material so that its thermal expansion matches that of the substrate. In other embodiments, heating and cooling mechanisms are applied to the shadow mask so that its thermal expansion matches that of the substrate. In other embodiments, heating and cooling mechanisms are applied to the substrate so that its thermal expansion matches that of the shadow mask. Furthermore, both the mask and substrate can be heated and/or cooled simultaneously.12-29-2011
20120016510DEVICE AND METHOD FOR CONTROLLING SUPPLY VOLTAGE/FREQUENCY USING INFORMATION OF PROCESS VARIATION - A device capable of controlling a supply voltage and a supply frequency using information of a manufacturing process variation includes a data storage device storing data indicating performance of the device, a decoder decoding the data stored in the data storage device and outputting decoded data, and a frequency control block outputting a frequency controlled clock signal in response to the decoded data output from the decoder. The device further includes a voltage control block outputting a level controlled supply voltage in response to the decoded data. The voltage control block outputs a body bias control voltage controlling a body bias voltage of at least one of a plurality of transistors embodied in the semiconductor device in response to the decoded data. The performance is operational speed of the device or leakage current of the semiconductor device.01-19-2012
20120046781METHOD, APPARATUS AND SYSTEM FOR USE IN PROCESSING WAFERS - The present embodiment provides for methods and systems for use in processing objects such as wafers, including polishing and/or grinding wafers. Some embodiments provide systems that include a front-end module and a processing module. The front end module couples with a storage device that stores objects for processing. The front-end module can comprise a single robot, a transfer station, and a plurality of end effectors. The processing module is coupled with the front-end module such that the single robot delivers objects from the storage device to the processing module. The processing module comprising a rotating table, and a spindle with a carrier configured to retrieve the delivered object and process the object on the rotating table.02-23-2012
20120059505Control Method and Apparatus - A higher-level controller can correct measured metrology data with residual error values as reported by a lower-level controller. This results in a more accurate process disturbance estimate. A method of control obtains, based on measurement sample definition, a first process variable of a system under control, determines a residual error using the first process variable and a first set point, controls the system using the residual error, obtains, based on the same sample definition, a second process variable, and adjusts the second process variable using the residual error. The method may also include determining, using the adjusted second process variable, one or more first set points for controlling the system by the low-level controller that may vary in correspondence with the sample definition.03-08-2012
20120065765DETECTING DOSE AND FOCUS VARIATIONS DURING PHOTOLITHOGRAPHY - A method, system, and computer usable program product for detecting dose and focus variations during photolithography are provided in the illustrative embodiments. A test shape is formed on a wafer, the wafer being used to manufacture integrated circuits, the test shape being formed using a dose value and a focus value that are predetermined for the manufacturing. A capacitance of the test shape is measured. The capacitance is resolved to a second dosing value and a second focus value using an extraction model. A difference between the dosing value and the second dosing value is computed. A recommendation is made for dosing adjustment in the manufacturing based on the difference.03-15-2012
20120109356Method and Digital Circuit for Recovering a Clock and Data from an Input Signal Using a Digital Frequency Detection - In a particular embodiment, a digital circuit includes a frequency detection circuit operative to compare information related to transitions between sequential samples of a received signal. The frequency detection circuit is further operative to generate a control signal to reduce a sampling rate of the received signal in response to a predetermined number of the sequential samples having a same value. The digital circuit also includes a digital phase detector operative to provide the information related to the transitions between sequential samples to the frequency detection circuit.05-03-2012
20120173011Implementation of Transmission and Control Platform for Equipment Front End Module of Semiconductor Production System - A method of platformization of an equipment front end module of a semiconductor production system includes the steps of: establishing a subsystem management module, a communication interface module, a parse module, and a network communication module; directing the command by the communication interface module to the subsystem management module; analyzing the command by the subsystem management module and sending the command to the corresponding subsystem module; sending the command from the corresponding subsystem module to the controller of the equipment front end module through the network communication module; and analyzing message by the parse module, sending a feedback to the corresponding subsystem module, and then sending the feedback to the control system of the semiconductor production system through the communication interface module. The present invention provides a standardized software interface to standardize different IC equipment control systems and to enhance the production efficiency of the system.07-05-2012
20120245725MANUFACTURING METHOD AND SYSTEM OF TARGET - The disclosed technology provides a manufacturing method of a target comprising obtaining an initial mass and a residual mass of the target sample, and calculating an etching mass; determining a relative etching depth of the target sample; calculating a relative etching mass based on the etching mass and the relative etching depth; determining a utilization parameter of the target sample based on the relative etching mass and the initial mass of the target sample before being used; and performing a simulation and optimization process on the utilization parameter of the target sample, obtaining target parameters corresponding to a preset value of the utilization parameter, and outputting the target parameters to a manufacturing control center for manufacturing a target. The disclosed technology also provides a manufacturing system of a target.09-27-2012
20120253499COMPONENT MOUNTING DEVICE, INFORMATION PROCESSING DEVICE, INFORMATION PROCESSING METHOD, AND SUBSTRATE MANUFACTURING METHOD - A component mounting device which is provided with a plurality of feeders which contain a component, are able to store component information which is information which includes information on the type of the component which is contained, and supply each of the components for each type; an attaching section where each of the plurality of feeders is attached; a mounting unit which takes out each of the components from the plurality of feeders which are each attached in the attaching section and mounts the component which has been taken out on a substrate; and a control unit which executes a mounting process of the component using the mounting unit based on position information which is attaching position information for each of the plurality of feeders in the attaching section and the component information which is stored in each of the plurality of feeders.10-04-2012
20120253500METHOD OF CONTROLLING OPERATION OF A PROCESSING SYSTEM - In a method of controlling operation of a processing system, a host computer, a process tool and a component of an evacuation system, for example an abatement tool, are connected to a system bus. The abatement tool monitors signals transmitted on the system bus between the host computer and the process tool, and signals received directly from the process tool. The abatement tool uses information contained within the monitored signals to generate a signal for the process tool regarding an operating characteristic of the abatement tool. This signal is transmitted either directly or over the system bus to the process tool, which uses the generated signal to control an operating state of the process tool.10-04-2012
20120259449Method and Device for Controlling the Manufacture of Semiconductor by Measuring Contamination - A device for handling substrates within a semiconductor manufacturing plant having substrate processing equipments, substrate storage means, substrate transport means, and a manufacturing execution system (MES) functionally related with the substrate processing equipments, the substrate storage means and the substrate transport means, including at least one substrate storage and transport box that is transported by the transport means and stored in the storage means; at least one gas analysis device of the gases forming the internal atmosphere of the substrate storage and transport box, which produces analysis signals representative of the quantity of the critical gas that is likely to generate molecular contamination, which is present in the storage and transport box; and an execution device which pilots the transport means and the storage means, with the execution device comprising instructions for detecting a molecular decontamination need as a function of analysis signals emitted by the gas analysis device.10-11-2012
20120310403ETCHING APPARATUS, CONTROL SIMULATOR,AND SEMICONDUCTOR DEVICE MANUFACTURING METHOD - Based on a model for determining optical emission intensity values Y at wavelengths from actuator values X of an etching apparatus, X is calculated from Y to achieve preferable Run-to-Run control over Y. A relation between X and Y is defined as a control model (matrix model C12-06-2012
20120323356METHOD OF OPTIMIZING AN OPTICAL PARAMETRIC MODEL FOR STRUCTURAL ANALYSIS USING OPTICAL CRITICAL DIMENSION (OCD) METROLOGY - Optimization of optical parametric models for structural analysis using optical critical dimension metrology is described. A method includes determining a first optical model fit for a parameter of a structure. The first optical model fit is based on a domain of quantities for a first model of the structure. A first near optical field response is determined for a first quantity of the domain of quantities and a second near optical field response is determined for a second, different quantity of the domain of quantities. The first and second near optical field responses are compared to locate a common region of high optical field intensity for the parameter of the structure. The first model of the structure is modified to provide a second, different model of the structure. A second, different optical model fit is determined for the parameter of the structure based on the second model of the structure.12-20-2012
20120330453AUTOMATED PLY LAYUP SYSTEM - An automated ply layup system uses a robot and an end effector for selecting plies from a kit and placing the plies at predetermined locations on a tool.12-27-2012
20130006411METHODS FOR NON LOT BASED INTEGRATED CIRCUIT MANUFACTURING - A method for continuous, non lot-based manufacturing of integrated circuit (IC) devices of the type to each have a unique fuse identification (ID) includes: reading the fuse ID of each of the IC devices; advancing multiple lots of the IC devices through, for example, a test step in the manufacturing process in a substantially continuous manner; generating data, such as test data, related to the advancement of each of the IC devices through the step in the process; and associating the data generated for each of the IC devices with the fuse ID of its associated IC device.01-03-2013
20130046403Substrate Processing Apparatus and Substrate Processing System - To provide a substrate processing system which can cause different display portions to output different displays, and cause different operations to be carried out from different operation screens. A substrate processing system, on login information of a user being input from a main display device, refers to the login information, a user group parameter, with which is set a group to which the user belongs, and an authority parameter, which sets an authority of the group, and causes the main display device to display a main operation screen corresponding to the user, while it, on login information of a user being input from an external operating apparatus, causes an external display device to display an external parameter setting screen for setting an authority parameter of a group to which the user belongs, or to display an external operation screen corresponding to the group to which the user belongs.02-21-2013
20130046404Combinatorial process optimization methodology and system - A method for obtaining an optimized process solution from a set of design of experiments in a cost effective manner is provided. An actual experiment is performed and data from the experiments is obtained. Through statistical analysis of the data, coefficients are obtained. These coefficients are input into an experiment simulator where input parameters and conditions are combined with the coefficients to predict an output for the input parameters and conditions. From simulated results, conclusions can be drawn as to sets of input parameters and conditions providing desired results. Thereafter, physical experiments utilizing the input parameters and conditions may be performed to verify the simulated results.02-21-2013
20130060374SEGREGATING WAFER CARRIER TYPES IN SEMICONDUCTOR STORAGE DEVICES - A system for managing the entry of a wafer carrier into a stocker in a semiconductor manufacturing operation is disclosed. The system comprises a stocker that accepts wafer carriers via one or more input ports. The present invention provides a means for detecting the presence of a wafer carrier at the input port, means for identifying the type of the wafer carrier, and means for executing an action sequence if the stocker is not compatible with those wafer carriers. Upon detecting an erroneous condition, an action sequence is executed which may include prevention entry of the wafer carrier, and notifying an operator.03-07-2013
20130085595WAFER CENTER FINDING WITH KALMAN FILTER - A device is provided having a robotic arm for handling a wafer, the robotic arm including one or more encoders that provide encoder data identifying a position of one or more components of the robotic arm. The device also having a processor adapted to apply an extended Kalman Filter to the encoder data to estimate a position of the wafer.04-04-2013
20130110276MULTI-FACTOR ADVANCED PROCESS CONTROL METHOD AND SYSTEM FOR INTEGRATED CIRCUIT FABRICATION05-02-2013
20130110277COMPONENT MOUNTING APPARATUS, INFORMATION PROCESSING APPARATUS, INFORMATION PROCESSING METHOD, AND PRODUCTION METHOD FOR A SUBSTRATE05-02-2013
20130116814FEEDFORWARD CONTROL ADJUSTED WITH ITERATIVE LEARNING - A method for controlling a mover assembly (05-09-2013
20130131857MODELING MASK ERRORS USING AERIAL IMAGE SENSITIVITY - One embodiment of the present invention provides techniques and systems for modeling mask errors based on aerial image sensitivity. During operation, the system can receive an uncalibrated process model which includes a mask error modeling term which is based at least on an aerial image sensitivity to mask modifications which represent mask errors. Next, the system can fit the uncalibrated process model using measured CD data. Note that the mask error modeling term can also be dependent on the local and/or long-range pattern density. In some embodiments, the mask error modeling term can include an edge bias term and a corner rounding term. The edge bias term can be based on the sensitivity of the aerial image intensity to an edge bias, and the corner rounding term can be based on the sensitivity of the aerial image intensity to a corner rounding adjustment.05-23-2013
20130131858Auto Device Skew Manufacturing - A system and method for manufacturing semiconductor devices is disclosed. An embodiment comprises using desired device parameters to choose an initial manufacturing recipe. Once chosen, the initial manufacturing recipe may be modified by determining and applying an offset adjustment based on previous manufacturing to tune the recipes for the particular equipment to be utilized in the manufacturing process.05-23-2013
20130138239SEMICONDUCTOR YIELD MANAGEMENT SYSTEM - The invention provides a semiconductor yield management system. The system comprises an electronic data collection module and an execution module, the execution module comprises a plurality of execution sub-modules in sequence to perform executions on an object successively, the of the execution sub-modules comprises an execution section and an inspection section; the execution section of the execution sub-module is connected with the inspection section of the preceding execution sub-module except for the first execution sub-module; the inspection section of the execution sub-module is connected with the execution section of the subsequent execution sub-module except for the last execution sub-module; the inspection module of the execution sub-module is connected with the electronic data collection module. According to the semiconductor yield management system, the potential not-good wafers can be recorded, analyzed and distributed to the corresponding execution module, which realizes the risk minimization.05-30-2013
20130144423SYSTEMS AND METHODS OF AUTOMATIC BOUNDARY CONTROL FOR SEMICONDUCTOR PROCESSES - A system and method of automatically calculating boundaries for a semiconductor fabrication process. The method includes selecting a first parameter for monitoring during a semiconductor fabrication process. A first set of values for the first parameter are received and a group value of the first set is determined. Each value in the first set of values is normalized. A first weighting factor is selected based on a number of values in the first set. The embodiment also includes generating a first and a second boundary value as a function of the weighting factor, the first set normalized values and the group value of the first set and applying the first and second boundary values to control the semiconductor fabrication process.06-06-2013
20130150997METHOD AND SYSTEM FOR TOOL CONDITION MONITORING - A method and system for removing control action effects from inline measurement data for tool condition monitoring is disclosed. An exemplary method includes determining a control action effect that contributes to an inline measurement, wherein the inline measurement indicates a wafer characteristic of a wafer processed by a process tool; and evaluating the inline measurement without the control action effect contribution to determine a condition of the process tool.06-13-2013
20130150998MANAGING APPARATUS OF SEMICONDUCTOR MANUFACTURING APPARATUS AND COMPUTER PROGRAM - An object of the present invention is to provide a managing apparatus of a semiconductor manufacturing apparatus and a computer program capable of performing an accurate process monitoring based on the obtained pattern image and the like. To accomplish the above object, according to one aspect of the present invention, there are proposed a managing apparatus of a semiconductor manufacturing apparatus including a library which stores an association between shape information of a pattern of a plurality of positions and an exposure condition of an exposing device and a calculation device which compares the shape information of the plurality of positions extracted from image information with the shape information stored in the library, and extracts the exposure condition based on a logical product of a range of a plurality of exposure conditions corresponding to the shape information of the plurality of patterns extracted from the image information, and a computer program which executes the above processes.06-13-2013
20130158698FABRICATION SYSTEM OF CIGS THIN FILM SOLAR CELL EQUIPPED WITH REAL-TIME ANALYSIS FACILITIES FOR PROFILING THE ELEMENTAL COMPONENTS OF CIGS THIN FILM USING LASER-INDUCED BREAKDOWN SPECTROSCOPY - The present invention relatives to a fabrication processing system of CIGS thin film solar cell, more particularly to a fabrication processing system CIGS of thin film solar cell equipped with real-time analysis facilities for profiling the elemental components of CIGS thin film using laser-induced breakdown spectroscopy.06-20-2013
20130166057METHODS FOR FORMING SMALL-SCALE CAPACITOR STRUCTURES - The present disclosure provides small scale capacitors (e.g., DRAM capacitors) and methods of forming such capacitors. One exemplary implementation provides a method of fabricating a capacitor that includes sequentially forming a first electrode, a dielectric layer, and a second electrode. At least one of the electrodes may be formed by a) reacting two precursors to deposit a first conductive layer at a first deposition rate, and b) depositing a second conductive layer at a second, lower deposition rate by depositing a precursor layer of one precursor at least one monolayer thick and exposing that precursor layer to another precursor to form a nanolayer reaction product. The second conductive layer may be in contact with the dielectric layer and have a thickness of no greater than about 50 Å.06-27-2013
20130173042SEMICONDUCTOR MANUFACTURING EQUIPMENT - Semiconductor manufacturing equipment includes: a controller controlling driving and processes of various parts of the semiconductor manufacturing equipment, and a sensor monitoring each physical amount in the semiconductor manufacturing equipment or a status of each chemical response amount; a database; and an arithmetic section executing: processing of reading out equipment data, calculating a correlation matrix between time points based on a plurality of pieces of signal data to be compared, calculating eigen values and eigen vectors from the correlation matrix, and calculating principal component scores by principal component analysis; processing of comparing magnitudes of the eigen values of the principal components, arranging the eigen values in descending order to display a list thereof; and processing of displaying a scatter diagram where the principal component scores of the respective signals are plotted in a feature space selecting the principal component corresponding to the eigen value having a contribution ratio.07-04-2013
20130178971SUBSTRATE PROCESSING APPARATUS AND POWER SOURCE MANAGEMENT METHOD - A substrate processing apparatus for processing a substrate comprising units for carrying out steps for processing the substrate; ON/OFF switching devices, corresponding to the respective units, switching between an ON state in which electric power is supplied to a corresponding unit and an OFF state in which supply of electric power for the unit is halted; and a control device for acquiring a production information including processing details and an end time limit for a substrate to be introduced into the substrate processing apparatus, preparing a time chart representing an operation scheme for the units based on the production information in a manner such that all of the steps carried out according to the processing details by the units are to be completed by the end time limit, and making the units operate according to the time chart while controlling the ON/OFF switching device according to the time chart.07-11-2013
20130184851PROCESSING APPARATUS, TOOL, PROCESSING METHOD, AND METHOD FOR SETTING PROCESSING POSITION - This processing apparatus includes a tool processing a protruding portion of a sheet member covering a base substrate protruding from the base substrate and a control portion mechanically or electrically detecting a position where the tool comes into contact with the base substrate and acquiring a processing position based on the position where the tool comes into contact with the base substrate.07-18-2013
20130204424Adjusting Polishing Rates by Using Spectrographic Monitoring of a Substrate During Processing - A computer-implemented method includes receiving a sequence of current spectra of reflected light from a substrate; comparing each current spectrum from the sequence of current spectra to a plurality of reference spectra from a reference spectra library to generate a sequence of best-match reference spectra; determining a goodness of fit for the sequence of best-match reference spectra; and determining at least one of whether to adjust a polishing rate or an adjustment for the polishing rate, based on the goodness of fit.08-08-2013
20130204425THERMAL PROCESSING APPARATUS, THERMAL PROCESSING METHOD, AND STORAGE MEDIUM - When a substrate is transferred by a holding arm to a multiple tier wafer boat, contact between the holding arm and the substrate is prevented. When the wafer boat is not subjected to a thermal effect, a normal height position of a ring member is obtained by relatively elevating and lowering a transfer base member with respect to the wafer boat. Before a wafer, which is not yet thermally processed, is transferred to the wafer boat, a height position of the corresponding ring member is obtained. By comparing a difference between the normal height position of the ring member and the height position of the ring member before the wafer is transported, with a threshold value, whether to transfer the wafer by the wafer transfer mechanism to the wafer boat can be judged.08-08-2013
20130226331SYSTEM CONSTRUCTION SUPPORTING TOOL AND SYSTEM CONSTRUCTION SUPPORTING DEVICE - A system construction supporting tool that supports construction of a programmable controller system, the system construction supporting tool including: a product-specification storage unit that stores therein information related to product specifications of units to be used as elements for constructing the programmable controller system; an arranged-unit-information storage unit that stores therein information related to arrangements of units already arranged in an edition operation on a display screen; a unit-list-display control unit that extracts arrangeable units based on the information stored in the arranged-unit-information storage unit and the information stored in the product-specification storage unit; and a unit-list display unit that displays a list of the units extracted by the unit-list-display control unit on the display screen.08-29-2013
20130231769Defect Management Systems and Methods - Defect management systems and methods are disclosed. A system for managing defects on an object includes an automatic defect classification (ADC) module, a lithographic plane review (LPR) module, and a defect progression monitor (DPM) module in communication with the ADC module and the LPR module. The DPM module is adapted to obtain information regarding a defect disposed on the object from the ADC module and the LPR module and determine if a repair or cleaning is needed of the object.09-05-2013
20130238113Substrate Processing Apparatus, Method of Transferring Substrate, Method of Manufacturing Semiconductor Device, and State Detecting Program - A substrate processing apparatus includes a substrate retaining mechanism into which retaining members on which substrates are placed are installed to retain the substrates; a substrate transfer unit configured to transfer at least one substrate; a detecting unit configured to detect states of the retaining members installed into the substrate retaining mechanism; a determination unit configured to compare data representing the states of the retaining members, which is obtained by the detecting unit, with master data, which is reference data obtained by detecting normal states of the retaining members, beforehand to determine the states of the retaining members; and a transfer control unit configured to control the substrate transfer unit according to the determination of the determination unit.09-12-2013
20130253688MULTI CHARGED PARTICLE BEAM WRITING APPARATUS AND MULTI CHARGED PARTICLE BEAM WRITING METHOD - A multi charged particle beam writing apparatus includes a unit to calculate a predetermined function expression by a correction coefficient that corrects an open area of each opening for forming a beam group, wherein the predetermined function expression minimizes a sum of squared values of all the beam groups, where each of the squared values is calculated by squaring a difference between a current value measured of the beam group and a sum of products for the beam group, where each of the products is obtained by multiplying a corrected open area by the predetermined function expression; a unit to calculate the correction coefficient that corrects the open area by using the predetermined function expression and the current value of the beam, for each beam; and a unit to calculate a current density of each of the multiple beams by the predetermined function expression.09-26-2013
20130253689SUBSTRATE PROCESSING APPARATUS, MAINTENANCE METHOD, AND MAINTENANCE PROGRAM - Provided is a substrate processing apparatus which may update an accumulated film thickness of each dummy substrate when a dummy substrate carrier is reloaded. The substrate processing apparatus includes: a process chamber where a plurality of substrates including a dummy substrate are processed; a substrate receiving unit whereon a dummy substrate carrier accommodating at least the dummy substrate is placed; a memory unit configured to store a film thickness of the dummy substrate in the dummy substrate carrier when the dummy substrate carrier is unloaded from the substrate receiving unit; and a management unit configured to update the film thickness of the dummy substrate in the dummy substrate carrier based on the film thickness stored in the memory unit when the dummy substrate carrier is reloaded onto the substrate receiving unit.09-26-2013
20130253690METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE - Provided is a method of manufacturing a semiconductor device which allows an operation of the semiconductor device to be stabilized without increasing the area occupied thereby. The control gate electrode of a memory cell transistor is formed, and then the memory gate electrode thereof is formed on a lateral side of the control gate electrode. Then, memory offset spacers are formed over the side walls of the memory gate electrode. Then, the memory source region of the memory cell transistor is formed by ion implantation using the memory gate electrode, the memory offset spacers, and the like as a mask. Then, the memory drain region of the memory cell transistor is formed by ion implantation. Then, in the memory cell transistor, sidewall insulating films are formed. The memory offset spacers disappear through cleaning or the like before the sidewall insulating films are formed.09-26-2013
20130261784WAFER PROCESSING METHOD AND WAFER PROCESSING SYSTEM - A method of processing a wafer, includes processing a first type wafer and a second type wafer, inspecting the wafers, deriving a first relational expression from a parameter that depends on the type of wafer and from inspection results, the first relational expression relating the inspection results to the parameter, calculating a next processing condition for next wafer type to be processed from the first relational expression, processing a wafer under the next processing condition, inspecting the wafer, producing a second relational expression by correcting the first relational expression using reference points determined in accordance with the first relational expression and a point representing the inspection result of the wafer, and processing wafers under a processing condition calculated from the second relational expression.10-03-2013
20130268106REMOTE MONITORING SYSTEM FOR POLISHING END POINT DETECTION UNITS - The present invention relates to a remote monitoring system for polishing end point detection units mounted to polishing apparatuses for polishing wafers, such as substrates. The remote monitoring system includes: polishing end point detection units each configured to detect a polishing end point of a substrate; and a host computer coupled to the polishing end point detection units via a network. The host computer includes a memory configured to store polishing end point detection data sent from the polishing end point detection units and a display screen configured to display the polishing end point detection data. The host computer is configured to send a new polishing end point detection recipe to at least one polishing end point detection unit selected from the polishing end point detection units to rewrite a polishing end point detection recipe of the least one polishing end point detection unit.10-10-2013
20130310966METHOD AND DEVICE FOR USING SUBSTRATE GEOMETRY TO DETERMINE OPTIMUM SUBSTRATE ANALYSIS SAMPLING - A method and apparatus for process control in the processing of a substrate is disclosed in the present invention. Embodiments of the present invention utilize a first analysis tool to determine changes in a substrate's geometry. The substrate geometry data is used to generate sampling plan that will be used to check areas of the substrate that are likely to have errors after processing. The sampling plan is fed forwards to a second analysis tool that samples the substrate after it has been processed. It is emphasized that this abstract is provided to comply with the rules requiring an abstract that will allow a searcher or other reader to quickly ascertain the subject matter of the technical disclosure. It is submitted with the understanding that it will not be used to interpret or limit the scope or meaning of the claims.11-21-2013
20130317640VACUUM PUMP CONTROLLER - A vacuum pump controller and a method of making a devise using the same are presented. The vacuum pump controller comprises detectors for detecting whether a cassette is present in a semiconductor processing load lock; and controllers for sending control signals to a vacuum pump to control the speed voltage of the vacuum pump. The vacuum pump controller may further send control signals to control the supply of N11-28-2013
20140005818IMPLEMENTING ENHANCED LOW LOSS, THIN, HIGH PERFORMANCE FLEXIBLE CIRCUITS01-02-2014
20140031968Run-to-Run Control Utilizing Virtual Metrology in Semiconductor Manufacturing - A method for run-to-run control and sampling optimization in a semiconductor manufacturing process includes the steps of: determining a process output and corresponding metrology error associated with an actual metrology for a current processing run in the semiconductor manufacturing process; determining a predicted process output and corresponding prediction error associated with a virtual metrology for the current processing run; and controlling at least one parameter corresponding to a subsequent processing run as a function of the metrology error and the prediction error.01-30-2014
20140031969Run-to-Run Control Utilizing Virtual Metrology in Semiconductor Manufacturing - An apparatus for performing run-to-run control and sampling optimization in a semiconductor manufacturing process includes at least one control module. The control module is operative: to determine a process output and corresponding metrology error associated with an actual metrology for a current processing run in the semiconductor manufacturing process; to determine a predicted process output and corresponding prediction error associated with a virtual metrology for the current processing run; and to control at least one parameter corresponding to a subsequent processing run as a function of the metrology error and the prediction error.01-30-2014
20140039664RELIABILITY TEST SCREEN OPTIMIZATION - Methods and systems optimize power usage in an integrated circuit design by sorting the integrated circuit devices after manufacture into relatively slow integrated circuit devices and relatively fast integrated circuit devices to classify the integrated circuit devices into different voltage bins. The methods and systems establish a bin-specific reliability testing processes for each of the voltage bins and test the integrated circuit devices using a tester. This allows the methods and systems to identify as defective ones of the integrated circuit devices that fail the bin-specific integrated circuit reliability testing processes of a corresponding voltage bin. The methods and systems remove the defective ones of the integrated circuit devices to allow only non-defective integrated circuit devices to remain and supply the non-defective integrated circuit devices to a customer.02-06-2014
20140046474WAFERSTART PROCESSES AND SYSTEMS FOR INTEGRATED CIRCUIT FABRICATION - A waferstart process for integrated circuit fabrication includes entering order information from requested wafers into a computer through a data input interface of the computer. A container is provided including supplied wafers and having a machine-readable medium associated therewith. The machine-readable medium is encoded with wafer data pertaining to the supplied wafers. The wafer data is entered from the machine-readable medium associated with the container into the computer. The order information and the wafer data are compared within the computer to create a verified data set in the computer upon the computer determining that the supplied wafers in the container correspond to the requested wafers in the order information. The verified data set is stored in a storage medium within the computer. The supplied wafers are transferred from the container to a front opening unified pod after creating the verified data set.02-13-2014
20140046475METHOD AND APPARATUS DEPOSITION PROCESS SYNCHRONIZATION - Methods and apparatus for processing a substrate in a process chamber, include receiving process control parameters for one or more devices from a process controller to perform a first chamber process, determining a time to send each of the process control parameters to the one or more devices, for each of the one or more devices, adjusting the determined time to send each of the process control parameters using specific signal process delays associated with each of the one or more devices, and sending the process control parameters to each of the one or more devices at the adjusted times to perform the first chamber process, wherein the synchronization controller includes one or more output channels, each channel directly coupled to one of the one or more devices.02-13-2014
20140052289MOUNTING APPARATUS, METHOD OF DISPOSING MEMBERS, AND METHOD OF MANUFACTURING SUBSTRATE - A mounting apparatus includes a mounting head, an image pickup unit, and a height measurement unit. The mounting head has a placement member that places an electronic component on a substrate and is movable in a first direction and a second direction perpendicular to the first direction. The image pickup unit is disposed on a position where the image pickup unit is movable along with a movement of the mounting head and takes an image of an alignment mark on the substrate. The height measurement unit is disposed in an area surrounded by one or more lines that are parallel to the first direction and pass through at least one of positions of the placement member and the image pickup unit and one or more lines that are parallel to the second direction and pass through at least one of the positions thereof and measures a height of the substrate.02-20-2014
20140058551RECIPE MANAGEMENT APPARATUS AND RECIPE MANAGEMENT METHOD - According to one embodiment, there is provided a recipe management apparatus for comparing recipes prescribing process conditions of processing apparatuses between a plurality of the processing apparatuses having the same type. The recipe management apparatus includes a recipe reading unit, a mask unit, and a determination unit. The recipe reading unit is configured to read binary-format recipes of the plurality of processing apparatuses. The mask unit is configured to apply masks to data of the recipes based on mask position information prescribing positions which are applied with the masks in binary-format data of the recipes. The determination unit is configured to compare the plurality of recipes applied with the masks, and configured to determine whether there is a difference.02-27-2014
20140058552DEVICE AND METHOD FOR CONTROLLING SUPPLY VOLTAGE/FREQUENCY OF PROCESS VARIATION - A device capable of controlling a supply voltage and a supply frequency using information of a manufacturing process variation includes a data storage device storing data indicating performance of the device, a decoder decoding the data stored in the data storage device and outputting decoded data, and a frequency control block outputting a frequency controlled clock signal in response to the decoded data output from the decoder. The device further includes a voltage control block outputting a level controlled supply voltage in response to the decoded data. The voltage control block outputs a body bias control voltage controlling a body bias voltage of at least one of a plurality of transistors embodied in the semiconductor device in response to the decoded data. The performance is operational speed of the device or leakage current of the semiconductor device.02-27-2014
20140074277SUBSTRATE PROCESSING APPARATUS, METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE, AND METHOD OF GENERATING RECIPE - Provided is a method of automatically setting, in a recipe, a process parameter (PP) according to the number of substrates to be processed. The method includes (a) displaying a process parameter of a process recipe on a display unit; (b) displaying a parameter name in a process parameter file on the display unit; (c) generating a first recipe by substituting the process parameter with the parameter name; (d) downloading the first recipe and one of a plurality of condition tables corresponding to the selected number of substrates when the number of substrates to be processed in a processing chamber is selected; and (e) generating a second recipe by substituting the process parameter of the downloaded one of the condition tables for the parameter name in the downloaded first recipe.03-13-2014
20140107828Method and System for Wafer Quality Predictive Modeling based on Multi-Source Information with Heterogeneous Relatedness - The present invention generally relates to the monitoring and controlling of a semiconductor manufacturing environment and, more particularly, to methods and systems for virtual meteorology (VM) applications based on data from multiple tools having heterogeneous relatedness. The methods and systems leverage the natural relationship of the multiple tools and take advantage of the relationship embedded in process variables to improve the prediction performance of the VM predictive wafer quality modeling. The prediction results of the methods and systems can be used as a substitute for or in conjunction with actual metrology samples in order to monitor and control a semiconductor manufacturing environment, and thus reduce delays and costs associated with obtaining actual physical measurements.04-17-2014
20140121814SUBSTRATE PROCESSING APPARATUS - The substrate processing apparatus includes: a plurality of processing modules; a transfer mechanism; a controller; and a setting unit. The processing module processes with respect to the substrate. The transfer mechanism transfers the substrate ejected from the transfer container. The controller outputs control signals for transferring the substrate to the plurality of processing modules along a previously set transfer path through the transfer mechanism sequentially, and for processing with respect to the substrate in the processing module of a transfer destination based on a processing recipe in which a processing order and a processing condition are set. The setting unit sets a content of a non-recipe operation except for operations set in the processing recipe and a performing timing for performing the non-recipe operation by a control operation of the controller every processing module. The non-recipe operation is performed with respect to the processing modules.05-01-2014
20140135970METHOD AND APPARATUS FOR AUTONOMOUS TOOL PARAMETER IMPACT IDENTIFICATION SYSTEM FOR SEMICONDUCTOR MANUFACTURING - A system and method for autonomously determining the impact of respective tool parameters on tool performance in a semiconductor manufacturing system is provided. A parameter impact identification system receives tool parameter and tool performance data for one or more process runs of the semiconductor fabrication system and generates a separate function for each tool parameter characterizing the behavior of a tool performance indicator in terms of a single one of the tool parameters. Each function is then scored according to how well the function predicts the actual behavior of the tool performance indicator, or based on a determined sensitivity of the tool performance indicator to changes in the single tool parameter. The tool parameters are then ranked based on these scores, and a reduced set of critical tool parameters is derived based on the ranking. The tool performance indicator can then be modeled based on this reduced set of tool parameters.05-15-2014
20140188264Workflow Manager and Bar Coding System for Processing of Samples/Substrates in HPC (High Productivity Combinatorial) R&D Environment - Methods of semiconductor processing are described. An experiment is designed for each process of a semiconductor substrate, which are implemented on respective multiple regions of the semiconductor substrate. A unique identifier is assigned to the semiconductor substrate. The respective design of experiment is implemented for each of the processes of the semiconductor substrate. Process criteria for each process is recorded, where the recording is associated with the assigned unique identifier. Process information is retrieved for each process, via its respective assigned unique identifier.07-03-2014
20140188265SYSTEMS AND METHODS FOR SEMICONDUCTOR LINE SCRIBE CENTERING - Methods and systems for semiconductor line scribe centering are provided. A method includes placing and measuring substantially identical test macros within a chip and in a scribe line. The method also includes establishing an estimate correlation between scribe line measurements taken during a manufacturing process and product measurements taken on a final product. The method also includes determining empirical scribe line specification limits consistent with established product screen limits. The method also includes adjusting the manufacturing process in order to optimize performance to the empirical scribe line specification limits.07-03-2014
20140188266MULTIPLE MANUFACTURING LINE QUALIFICATION - Methods and systems for multiple manufacturing line qualification are provided. A method includes establishing a product template and producing products on one or more manufacturing lines. The products include product macros placed on a chip. The method also includes establishing allowed parametric match from line to line. The method further includes determining that products from the one or more manufacturing lines meet the allowed parametric match.07-03-2014
20140188267METHOD FOR SETTING SUBSTRATE-TREATMENT TIME, AND STORAGE MEDIUM - In a method for setting substrate-treatment time, substrate-treatment time is set by the following method. A predicted supply time of wafers of a following lot to a substrate processing apparatus is calculated based on a predicted plasma-treatment completion time of another substrate processing apparatus. A predicted plasma-treatment completion time of all of wafers of a present lot is calculated. A predicted idle time after the completion of the plasma treatment of all of the wafers of the present lot is calculated based on the predicted supply time of the following lot and the predicted plasma-treatment completion time of the present lot. If the predicted idle time is equal to or longer than the idle time required for dummy treatment, supplementary idle time is added between the plasma treatments of unprocessed wafers of the present lot.07-03-2014
20140195033Control of Etch Rate Using Modeling, Feedback and Impedance Match - A method for achieving an etch rate is described. The method includes receiving a calculated variable associated with processing a work piece in a plasma chamber. The method further includes propagating the calculated variable through a model to generate a value of the calculated variable at an output of the model, identifying a calculated processing rate associated with the value, and identifying based on the calculated processing rate a pre-determined processing rate. The method also includes identifying a pre-determined variable to be achieved at the output based on the pre-determined processing rate and identifying a characteristics associated with a real and imaginary portions of the pre-determined variable. The method includes controlling variable circuit components to achieve the characteristics to further achieve the pre-determined variable.07-10-2014
20140200704FINE PROCESSING METHOD, FINE PROCESSING APPARATUS, AND RECORDING MEDIUM WITH FINE PROCESSING PROGRAM RECORDED THEREON - According to one embodiment, a fine processing method includes determining a resist amount required for each first region of a pattern formation surface and a total amount of resist. The method include dividing the total amount of resist by a volume of one resist drop to determine the resist drops total number. The method include determining a provisional position for the resist drop of the total number. The method include assigning the each first region to nearest one resist drop, and partitioning again the pattern formation surface into second regions assigned to the each resist drop. The method include determining a divided value by dividing the volume of the one resist drop by the required total amount of resist determined. The method include finalizing a final position of the each resist drop, if a distribution of the divided value in the pattern formation surface falls within a target range.07-17-2014
20140207270COMPONENT MOUNTING DEVICE AND COMPONENT MOUNTING METHOD - A mounting turn that is going to be performed from now on and the identifiers of the feeders that are the supply sources of the components for the mounting turn are read out from a mounting program, and it is determined whether there is a non-supply feeder which does not supply a component to the component supply position 07-24-2014
20140207271TOOL OPTIMIZING TUNING SYSTEMS AND ASSOCIATED METHODS - The present disclosure provides various methods for tuning process parameters of a process tool, including systems for implementing such tuning. An exemplary method for tuning process parameters of a process tool such that the wafers processed by the process tool exhibit desired process monitor items includes defining behavior constraint criteria and sensitivity adjustment criteria; generating a set of possible tool tuning process parameter combinations using process monitor item data associated with wafers processed by the process tool, sensitivity data associated with a sensitivity of the process monitor items to each process parameter, the behavior constraint criteria, and the sensitivity adjustment criteria; generating a set of optimal tool tuning process parameter combinations from the set of possible tool tuning process parameter combinations; and configuring the process tool according to one of the optimal tool tuning process parameter combinations.07-24-2014
20140222187Substrate Processing Apparatus and Non-Transitory Computer-Readable Recording Medium - Provided is a substrate processing apparatus capable of efficiently resuming processing of unprocessed substrates after an error occurs during processing of substrates. In the substrate processing apparatus that executes a recipe defining an order of processing substrates and manages process status of the substrates, the process status are changed to a processing state so as to execute the recipe, are changed to a paused state when unprocessed substrates are present among the substrates to be processed according to the recipe, due to an error occurring during the execution of the recipe, and are changed from the paused state to the processing state to resume the execution of the recipe so as to process the unprocessed substrates when the error is canceled and a operation is performed to resume the execution of the recipe.08-07-2014
20140277680AUTO-SETUP CONTROL PROCESS - A pick-and-place machine and method includes use of a passive component feeder cartridge including a feeder gear. Rotation of the feeder gear causes a component-bearing tape to be fed through the feeder cartridge. A pickup head includes a vacuum nozzle to pick up the components from the tape and a rack gear to engage and drive the feeder gear of the feeder cartridge via translational motion of the pickup head when operatively disposed with respect to a selected feeder cartridge.09-18-2014
20140277681SYSTEMS AND METHODS OF COMPENSATING FOR FILLING MATERIAL LOSSES IN ELECTROPLATING PROCESSES - A computer-implemented system and method of compensating for filling material losses in a semiconductor process. The computer-implemented method includes determining using a computer a pattern density difference between a first circuit pattern above a semiconductor substrate and a second circuit pattern adjacent to the first pattern. A dummy pattern is inserted between the first pattern and the second pattern so as to compensate for an estimated loss of filling material induced during electrochemical plating by the pattern density difference exceeding a threshold pattern density difference.09-18-2014
20140277682Processing System and Method for Providing a Heated Etching Solution - A method and processing system are provided for independent temperature and hydration control for an etching solution used for treating a wafer in process chamber. The method includes circulating the etching solution in a circulation loop, maintaining the etching solution at a hydration setpoint by adding or removing water from the etching solution, maintaining the etching solution at a temperature setpoint that is below the boiling point of the etching solution in the circulation loop, and dispensing the etching solution into the process chamber for treating the wafer. In one embodiment, the dispensing includes dispensing the etching solution into a processing region proximate the wafer in the process chamber, introducing steam into an exterior region that is removed from the wafer in the process chamber, and treating the wafer with the etching solution and the steam.09-18-2014
20140297019STAGE APPARATUS, LITHOGRAPHY APPARATUS, AND DEVICE MANUFACTURING METHOD - A stage apparatus includes: a coarse moving stage; a fine moving stage located at an interval from the coarse moving stage in a first direction; an electromagnetic actuator including a first core located on one of the coarse moving stage and the fine moving stage and a second core located on the other of the coarse moving stage and the fine moving stage and configured to accelerate or decelerate the fine moving stage in a second direction perpendicular to the first direction; and a controller configured to correct a command value of a thrust of the electromagnetic actuator in the second direction in accordance with a relative position of the fine moving stage with respect to the coarse moving stage in the first direction.10-02-2014
20140303769SUBSTRATE PROCESSING SYSTEM, SUBSTRATE PROCESSING APPARATUS AND METHOD FOR ACCUMULATING DATA FOR SUBSTRATE PROCESSING APPARATUS - A substrate processing system includes a monitored data receiving unit receiving a plurality of types of monitored data; a temporary memory unit periodically storing the monitored data; a monitored data rate detection unit detecting, as a monitored data rate, a total number of times each type of monitored data changes during a first time period by more than a predetermined amount; a monitored data writing allocation unit allocating a storing frequency to each type of monitored data based on the monitored data rate and an upper limit; a monitored data writing unit writing the monitored data to the temporary memory unit during the second time period based on the storing frequency; an accumulative memory unit storing the monitored data for a plurality of periods; and an accumulative data writing unit reading the monitored data for every third time period and storing the monitored data in the accumulative memory unit.10-09-2014
20140316550Spectra Based Endpointing for Chemical Mechanical Polishing - A computer implemented method of monitoring a polishing process includes, for each sweep of a plurality of sweeps of an optical sensor across a substrate undergoing polishing, obtaining a plurality of current spectra, each current spectrum of the plurality of current spectra being a spectrum resulting from reflection of white light from the substrate, for each sweep of the plurality of sweeps, determining a difference between each current spectrum and each reference spectrum of a plurality of reference spectra to generate a plurality of differences, for each sweep of the plurality of sweeps, determining a smallest difference of the plurality of differences, thus generating a sequence of smallest difference, and determining a polishing endpoint based on the sequence of smallest differences.10-23-2014
20140330422APPARATUS AND METHODS FOR LOW TEMPERATURE MEASUREMENT IN A WAFER PROCESSING SYSTEM - Implementations disclosed herein relate to methods and apparatus for zoned temperature control during a film forming process. In one implementation, a substrate processing apparatus is provided. The substrate processing apparatus comprises a vacuum chamber, a plurality of power supplies coupled with the plurality of thermal laps and a controller that adjusts the power supplies based on input from radiation sensors. The chamber includes a sidewall defining a processing region. A plurality of thermal lamps is positioned external to the processing region. A window is positioned between the plurality of thermal lamps and the processing region. A radiation source is disposed within the sidewall and oriented to direct radiation toward an area proximate a substrate support. A radiation sensor is disposed on the side of the substrate support opposite the plurality of thermal lamps to receive emitted radiation from the radiation source.11-06-2014
20150012124Maintenance System, and Substrate Processing Device - The present disclosure provides a maintenance system, installed in a substrate processing device, is comprised of an equipment control unit, a sensor acquisition unit and determination unit and control signal generation unit. The equipment control unit operates the monitored equipment within the substrate processing device. The sensor acquisition unit and determination unit can detect when a person has entered the substrate processing device. The control signal generation unit outputs a signal to stop the monitored equipment when a person is detected within the substrate processing device.01-08-2015
20150032245Etch Rate Modeling and Use Thereof with Multiple Parameters for In-Chamber and Chamber-to-Chamber Matching - A method includes receiving a voltage and current measured at an output of an RF generator of a first plasma system and calculating a first model etch rate based on the voltage and current, and a power. The method further includes receiving a voltage and current measured at an output of the RF generator of a second plasma system, determining a second model etch rate based on the voltage and current at the output of the RF generator of the second plasma system, and comparing the second model etch rate with the first model etch rate. The method includes adjusting a power at the output of the RF generator of the second plasma system to achieve the first model etch rate associated with the first plasma system upon determining that the second model etch rate does not match the first model etch rate. The method is executed by a processor.01-29-2015
20150032246ENERGY-CONSUMPTION MONITORING SYSTEM FOR SUBSTRATE PROCESSING APPARATUS AND ENERGY-CONSUMPTION MONITORING METHOD FOR SUBSTRATE PROCESSING APPARATUS - An energy-consumption monitoring system for a substrate processing apparatus includes a data collection device which collects process implementation data of a process to be executed according to each recipe in a substrate processing apparatus, a memory device which stores energy consumption data that indicate relationship between an individual energy-consuming event in the process and an amount of energy consumed per unit time by the individual energy-consuming event, and a computation device which detects an occurrence of the individual energy-consuming event and virtually calculate a cumulative energy consumption based on a duration of the individual energy-consuming event and the energy consumption data of the individual energy-consuming event stored in the memory device.01-29-2015
20150045936SYSTEM AND METHOD FOR MODULAR CONTROLLER ASSEMBLY SUPPORTING REDUNDANT CONFIGURATIONS - A system includes a head board with a first head processor, a first carrier board, and a first junction. The first carrier board includes a first plurality of connectors configured to communicatively couple the head board to one or more first acquisition modules with a first attachment pattern. The first carrier board is configured in a first simplex or a first redundant configuration based at least in part on the first attachment pattern. The first junction is configured to removably couple the first carrier board with a terminator or to removably couple the first carrier board with a second carrier board.02-12-2015
20150045937CONVEYANCE SYSTEM - A conveyance system includes storage racks that are provided near or adjacent to semiconductor manufacturing devices and store therein objects to be conveyed, a stacker crane that carries the objects into and out of the storage racks, vehicles that convey the objects, an ID tag reader that is provided to the stacker crane and reads ID tags of the objects; and a controller that is configured or programmed to, when identification information acquired by the ID tag reader R coincides with identification information contained in a conveyance command of an object, control the stacker crane to convey the object to a destination specified in the conveyance command. At least one section of each storage rack allows a gripper of each vehicle to take in and out the objects.02-12-2015
20150073581SYSTEM FOR REDUCING ENERGY CONSUMPTION AND FRACTION DEFECTIVE WHEN PRODUCING PCB BASED ON UBIQUITOUS SENSOR NETWORK - Provided is a system for reducing energy consumption and fraction defective when producing a PCB based on a USN, which provides the optimal environment information in PCB production by acquiring, accumulating, and analyzing the environment information in each process when producing the PCB. The system includes a sensor node unit to acquire environment information of a production facility and wirelessly transmit the environment information, a data collection unit to collect the environment information transmitted from the sensor node unit and transmit the environment information through a TCP/IP, an environment information generation unit to receive the environment information, accumulate and store the environment information according to types of PCBs and production facilities, analyze environment information based on the accumulated environment information to generate optimal environment information, and an environment information providing unit to form the optimal environment information as information to be recognized by a user and display the information.03-12-2015
20150081081SEMICONDUCTOR FABRICATION COMPONENT RETUNING - Among other things, one or more systems and techniques for retuning a semiconductor fabrication component are provided. The semiconductor fabrication component, such as an advanced process control (APC) component, is configured to evaluate or adjust various fabrication parameters associated with semiconductor fabrication processing. Processing data associated with the semiconductor fabrication component is evaluated to formulate performance indices used to evaluate performance of parameters used by the semiconductor fabrication component. One or more fabrication process change simulations are performed to generate a component operating behavior data structure indicating how different values for the parameters result in improved or degraded performance by the semiconductor fabrication component. In this way, the component operating behavior data structure is evaluated to identify tuning values for the parameters that are used to retune the semiconductor fabrication component.03-19-2015
20150105895MATCHING PROCESS CONTROLLERS FOR IMPROVED MATCHING OF PROCESS - Described herein are methods and systems for chamber matching in a manufacturing facility. A method may include receiving a first chamber recipe advice for a first chamber and a second chamber recipe advice for a second chamber. The chamber recipe advices describe a set of tunable inputs and a set of outputs for a process. The method may further include adjusting at least one of the set of first chamber input parameters or the set of second chamber input parameters and at least one of the set of first chamber output parameters or the set of second chamber output parameters to substantially match the first and second chamber recipe advices.04-16-2015
20150127133Planar Positioning System And Method Of Using The Same - A positioning system having a flat base comprising (i) a X-axis assembly having a X-axis linear actuator means arranged orthogonal to the Y-axis; (ii) a Y-axis assembly having a pair of Y-axis linear actuator means mounted onto the flat base forming a H-configuration; (iii) a Z-axis assembly having an aerostatic bearing mechanism that floats on thin film of externally pressurized air on top of the flat base; and a θ-axis actuator anchored from the X-axis to drive the Z-axis assembly which carries a workpiece, wherein the Z-axis assembly is rotated with the rotary axis for the θ-axis perpendicular to the flat base.05-07-2015
20150142161METHOD AND APPARATUS FOR MOBILE CLEANSPACE FABRICATORS - The present invention provides apparatus for a mobile cleanspace fabrication facility. Various methods relating to moving a mobile cleanspace fabrication facility and to the locations that a mobile cleanspace fabrication facility may be moved to are discussed.05-21-2015
20150142162MULTI-PROTOCOL MULTI-CLIENT EQUIPMENT SERVER - A multi-client multi-protocol equipment server includes: a host interface that facilitates communication with a manufacturing execution system (MES); a plurality of client interfaces, wherein each client interface facilitates communication with a client based on a Common Equipment Model (CEM) for a semiconductor manufacturing tool; and a plurality of tool interfaces, wherein each tool interface facilitates communication with a semiconductor manufacturing tool, wherein at least one of the interfaces is a Semi Equipment Communications Standard/Generic Model for Communications and Control of Manufacturing Equipment (SECS/GEM) interface that is configured in a single XML file that defines attributes of the CEM for the semiconductor manufacturing tool which uses the SECS/GEM interface, and wherein a computer executes the multi-client multi-protocol server.05-21-2015
20150148933MONITOR SYSTEM AND METHOD FOR SEMICONDUCTOR PROCESSES - A method for monitoring a process in a semiconductor processing facility and a monitor system are provided. A plurality of wafers are processed according to a process. Data on the processing is collected, and the collecting includes, for each wafer of the plurality of wafers, determining that a processing event has occurred, and recording a time associated with the processing event. An amount of time between the recorded times is calculated for consecutively processed wafers. A set of control limits for the process is determined based on the calculated amounts of time. The set of control limits define a range of acceptable values for the amount of time. Second wafers are processed according to the process. A problem in the processing of the second wafers is identified based on the set of control limits. The problem is identified as the second wafers are being processed.05-28-2015
20150148934ELECTRONIC COMPONENT MOUNTING SYSTEM AND EQUIPMENT UNIT MANAGEMENT METHOD FOR ELECTRONIC COMPONENT MOUNTING SYSTEM - Necessary unit data indicative of the type and number of equipment units used in component mounting operation is obtained on the basis of production plan data, mounting data, and component library for each of production lots in advance. New allocation processing for allocating an equipment unit necessary for production execution of a new production lot to be newly produced on an electronic component mounting line for the new production lot on the basis of the necessary unit data is executed, and component reservation processing for registering the allocation result as the inventory data is conducted by a unit reservation unit.05-28-2015
20150148935SUBSTRATE PROCESSING SYSTEM, SUBSTRATE PROCESSING APPARATUS, DATA PROCESSING METHOD, AND STORAGE MEDIUM - A substrate processing system includes a substrate processing apparatus for generating apparatus data on substrate processing and a management apparatus connected to at least one substrate processing apparatus via a network for receiving and storing the apparatus data periodically reported from the substrate processing apparatus. The substrate processing apparatus includes a storage unit for storing the apparatus data a report cycle or the number of reports of the apparatus data to the management apparatus, and a degree of importance of the apparatus data in association with a data type of the apparatus data, and a control unit for, when changing a report cycle of the apparatus data, determining a data type of the report cycle of which is to be changed based on the report cycle or the number of reports and the degree of importance per data type stored in the storage unit.05-28-2015
20150293526Substrate Treating Apparatus, Substrate Treating Method, and Recording Medium - Provided is a substrate treating apparatus. The substrate treating apparatus includes a module controller receiving the data measured by a sensing unit, an equipment control unit receiving the data from the module controller, and a processing unit receiving the data from the equipment control unit and processing the data, wherein the processing unit calculates latency by using a first time, which is a time when the module controller receives the data from the sensor unit, and a second time, which is a time when the equipment control unit transmits the data to the processing unit.10-15-2015
20150293527SYMMETRIC CHAMBER BODY DESIGN ARCHITECTURE TO ADDRESS VARIABLE PROCESS VOLUME WITH IMPROVED FLOW UNIFORMITY/GAS CONDUCTANCE - The present disclosure generally relates to process chambers having modular design to provide variable process volume and improved flow conductance and uniformity. The modular design according to the present disclosure achieves improved process uniformity and symmetry with simplified chamber structure. The modular design further affords flexibility of performing various processes or processing substrates of various sizes by replacing one or more modules in a modular process chamber according to the present disclosure.10-15-2015
20150332894Arrangement For Plasma Processing System Control Based On RF Voltage - An arrangement for controlling a plasma processing system is provided. The arrangement includes an RF sensing mechanism for obtaining an RF voltage signal. The arrangement also includes a voltage probe coupled to the RF sensing mechanism to facilitate acquisition of the signal while reducing perturbation of RF power driving a plasma in the plasma processing system. The arrangement further includes a signal processing arrangement configured for receiving the signal, split the voltage signals into a plurality of channels, convert the signals into a plurality of direct current (DC) signals, convert the DC signals into digital signals and process the digital signal in a digital domain to generate a transfer function output. The arrangement moreover includes an ESC power supply subsystem configured to receive the transfer function output as a feedback signal to control the plasma processing system.11-19-2015
20150346712SYSTEMS AND METHODS FOR CONTROLLING WAFER-BREAKER DEVICES - Systems and methods for controlling wafer-breaker devices. In some embodiments, a controller for a semiconductor wafer singulation apparatus can be configured to receive an input signal having information about at least one singulation parameter. The controller can be further configured to generate an output signal based on the input signal to effectuate an operation associated with the singulation parameter. The controller can be further configured to disable manual control of the singulation parameter. In some embodiments, such a controller can be implemented, for example, in a control module, in a kit for modifying an existing singulation apparatus, as an integral part of a singulation apparatus, or any combination thereof.12-03-2015
20150362905METHOD OF CORRECTING OVERLAY ERROR - A method of correcting an overlay error includes the following steps. First, an overlay mark disposed on a substrate is captured so as to generate overlay mark information. The overlay mark includes at least a pair of first mark patterns and at least a second mark pattern above the first mark patterns. Then, the overlay mark information is calculated to generate an offset value between two first mark patterns and to generate a shift value between the second mark pattern and one of the first mark patterns. Finally, the offset value is used to compensate the shift value so as to generate an amended shift value.12-17-2015
20150370245SUBSTRATE PROCESSING APPARATUS, SUBSTRATE PROCESSING METHOD, SEMICONDUCTOR DEVICE MANUFACTURING METHOD, AND CONTROL PROGRAM - The present disclosure provides a substrate processing apparatus, a substrate processing method, a semiconductor device manufacturing method, and a control program capable of controlling thickness uniformity of a film formed on a substrate. The substrate processing apparatus includes a process chamber into which a substrate is transferred; a heating device heating the substrate, transferred into the process chamber, from its periphery side; a cooling device cooling the substrate, transferred into the process chamber, from its periphery side; a process gas supply unit supplying a process gas into the process chamber; and a control unit controlling the heating device and the cooling device to generate temperature difference between a center and the periphery sides of the substrate and controls the process gas supply unit. The control unit operates the process gas supply unit to stop operation of the cooling device during supply of the process gas into the process chamber.12-24-2015
20150378372SYSTEMS AND METHODS FOR CONTROLLING TEMPERATURES IN AN EPITAXIAL REACTOR - A method for controlling temperatures in an epitaxial reactor for use in a wafer-production process is provided. The method is implemented by a computing device coupled to a memory. The method includes transmitting, to a heating device in a first zone of the epitaxial reactor, an output power instruction representing a base output power. The method additionally includes determining an actual time period for a temperature in the first zone of the epitaxial reactor to reach a target temperature, determining a difference between the actual time period and a reference time period, determining an output power offset based on the difference, and storing the output power offset in the memory in association with the heating device.12-31-2015
20160001412Surface Machining Device - The invention relates to a surface machining device comprising a substrate support for receiving a substrate to be machined, a machining unit which can be moved relative to the substrate support along a first and a second movement axis, a position detecting unit for ascertaining the orientation of the substrate, and a control unit for controlling the movement of the machining unit dependent on the orientation of the substrate on the substrate support. The aim of the invention is to provide a surface machining device which can be produced in a compact manner and which allows a precise machining of the surface of the substrate to be machined in an inexpensive manner regardless of the position of the substrate on the substrate support. This is achieved in that the machining unit can be pivoted relative to the substrate support, in particular about a height axis which extends perpendicularly to the plane formed by the first and second movement axis.01-07-2016
20160011587CONVEYANCE ROBOT REPLACEMENT APPARATUS AND CONVEYANCE ROBOT REPLACEMENT METHOD01-14-2016
20160018815POLISHING WITH PRE DEPOSITION SPECTRUM - A method of controlling polishing includes storing a base spectrum, the base spectrum being a spectrum of light reflected from a substrate after deposition of a deposited dielectric layers overlying a metallic layer or semiconductor wafer and before deposition of a non-metallic layer over the plurality of deposited dielectric layer. After deposition of the non-metallic layer and during polishing of the non-metallic layer on the substrate, measurements of a sequence of raw spectra of light reflected the substrate during polishing are received from an in-situ optical monitoring system. Each raw spectrum is normalized to generate a sequence of normalized spectra using the raw spectrum and the base spectrum. At least one of a polishing endpoint or an adjustment for a polishing rate is determined based on at least one normalized predetermined spectrum from the sequence of normalized spectra.01-21-2016
20160025414DISPATCH CONTROL METHOD FOR FURNACE PROCESS - A dispatch control method for a furnace process including the following steps is provided. Before a plurality of lots of wafers is loaded into a furnace, the characteristic variation value of each of the plurality of lots of wafers is calculated. The plurality of lots of wafers is ordered according to the size of the characteristic variation values. The plurality of lots of wafers is placed in the furnace in a descending order of the characteristic variation values corresponding to a plurality of locations in the furnace causing the characteristic variation values to change from smaller to larger.01-28-2016
20160033958ENDPOINT DETERMINATION USING INDIVIDUALLY MEASURED TARGET SPECTRA - Disclosed are approaches for determining a processing endpoint using individually measured target spectra. More specifically, one approach includes: measuring a white light (WL) target spectra of a semiconductor device on an individual wafer prior to formation of a polishing/planarization material; inputting the WL target spectra to a WL endpoint algorithm of the semiconductor device following formation of the polishing/planarization material; and determining, using the WL endpoint algorithm, the processing endpoint of the polishing/planarization material of the semiconductor device. In another approach, the endpoint measurement process comprises receiving spectra reflected from the semiconductor device during polishing, and comparing the spectra to the WL target spectra, which is previously stored within a storage device. As such, WL target spectra are measured “as is” (e.g., without simplifications, generalizations, assumptions, etc.) for each wafer to reduce complications inherent with the use of an uncertain and/or estimated target.02-04-2016
20160047045PROCESS CONTROL METHOD AND PROCESS CONTROL SYSTEM - A process control method is provided for performing a deposition process on a plurality of wafers of a batch. The process control method includes: deciding a placement location of the wafers of the batch according to the history information of a tool and the product information of the batch; calculating a target value of each placement location according to the placement location of the wafers of the batch and the history information of the tool; calculating a process parameter according to the history information of the tool, the product information of the batch, and the target value of each placement location; and performing a deposition process according to the placement location of the wafers of the batch and the process parameter.02-18-2016
20160054719SUBSTRATE PROCESSING APPARATUS AND SUBSTRATE PROCESSING METHOD - Disclosed is substrate processing apparatus including a plurality of processing units, each of which processes a substrate, and a controller that causes each of the processing units to execute a substrate processing. The controller is configured to cause a processing unit with a detected abnormality to execute an improvement processing based on abnormality detection information including a content of abnormality detected by a substrate surface measurement after causing the substrate processing to be performed in each of the processing units. The improvement processing is specified from improvement processing information in which the content of abnormality and the improvement processing are correlated with each other.02-25-2016
20160054731SYSTEMS, APPARATUS, AND METHODS FOR PROCESSING RECIPE PROTECTION AND SECURITY - Embodiments of the present invention provide systems, apparatus, and methods for protecting processing recipes. Embodiments include a remote host external to an electronic device manufacturing facility, the remote host including a recipe editor adapted to allow creating of a protected processing recipe; a material control system (MCS) within the electronic device manufacturing facility and operable to communicate with the remote host; a master controller (MC) in communication with the MCS; and at least one processing tool in communication with the MC and including volatile memory adapted to store the protected processing recipe for execution by the processing tool. The remote host is operable to transfer the protected processing recipe to the volatile memory of the processing tool without allowing the protected processing recipe to be accessible by a user within the electronic device manufacturing facility. Numerous additional aspects are disclosed.02-25-2016
20160062351REAL-TIME REMOTE CONTROL SYSTEM FOR SEMICONDUCTOR AUTOMATION EQUIPMENT - Disclosed is a real-time remote control system for semiconductor automation equipment which may monitor an operating condition of the semiconductor automation equipment in real-time and enable an Internet protocol (IP) to be shared, so that a plurality of semiconductor automation equipment computers are reliably connected. By transmitting a mouse signal of a remote computer via a serial port of the semiconductor automation equipment computer, even semiconductor automation equipment that does not support USB/PS2 ports can transmit a remote control signal through a mouse of the remote computer, and transmit a signal for monitoring or controlling an operation of the semiconductor automation equipment without a separate IP allocation operation in real-time.03-03-2016
20160086790COMPONENT PREPARATION INSTRUCTION SYSTEM, COMPONENT PREPARATION INSTRUCTION METHOD, AND PORTABLE TERMINAL - A component preparation instruction system performs a component preparation instruction for preparing a reel member to be supplied to a component mounting line in an off-line set-up area set outside the component mounting line, in a component mounting system. The component preparation instruction system includes a management section that manages production data including information about the reel members and information about the component mounters to which the reel members is attached, a reading section that reads reel information relating to the reel members, a collating section that collates the reel information read by the reading section with the information about the reel members included in the production data, and an output section that outputs attachment position information for a matching reel member, including information about at least one component mounter to which the matching reel member is to be attached.03-24-2016
20160091892POSITION DETECTION APPARATUS, SUBSTRATE PROCESSING APPARATUS, POSITION DETECTION METHOD AND SUBSTRATE PROCESSING METHOD - A position detection apparatus detects the positions of movable parts 03-31-2016
20160103445MOBILE CONNECTIVITY AND CONTROL OF SEMICONDUCTOR MANUFACTURING EQUIPMENT - Integration of semiconductor tool maintenance operations on mobile devices to allow technicians to more accurately perform semiconductor tool maintenance and to allow more accurate analysis of data to improve maintenance procedures to be more repeatable, consistent, and efficient. Remote control of maintenance operations for the semiconductor tool via a portable electronic device decreases the time required to service semiconductor tools and thus increase throughput.04-14-2016
20160126115Methods for Controlling Plasma Constituent Flux and Deposition During Semiconductor Fabrication and Apparatus for Implementing the Same - A time-dependent substrate temperature to be applied during a plasma process is determined. The time-dependent substrate temperature at any given time is determined based on control of a sticking coefficient of a plasma constituent at the given time. A time-dependent temperature differential between an upper plasma boundary and a substrate to be applied during the plasma process is also determined. The time-dependent temperature differential at any given time is determined based on control of a flux of the plasma constituent directed toward the substrate at the given time. The time-dependent substrate temperature and time-dependent temperature differential are stored in a digital format suitable for use by a temperature control device defined and connected to direct temperature control of the upper plasma boundary and the substrate. A system is also provided for implementing upper plasma boundary and substrate temperature control during the plasma process.05-05-2016
20160126120WORK-IN-PROGRESS SUBSTRATE PROCESSING METHODS AND SYSTEMS FOR USE IN THE FABRICATION OF INTEGRATED CIRCUITS - Disclosed herein are methods and systems for semiconductor fabrication. In one embodiment, a method for fabricating semiconductors utilizing a semiconductor fabrication system includes performing a semiconductor fabrication process on a first lot of unprocessed semiconductor substrates with a semiconductor fabrication equipment unit to form a first lot of processed substrates and communicating processing data regarding the first lot of processed substrates from the semiconductor fabrication equipment unit to a just-in-time (JIT) module of the semiconductor fabrication system. The method further includes determining a processing priority of the first lot of processed substrates and a processing priority of a second lot of unprocessed substrates at the JIT module and scheduling removal of the first lot of processed substrates from the semiconductor fabrication equipment unit and delivery of the second lot of unprocessed substrates to the semiconductor fabrication equipment unit by the JIT module based on the processing data and the priority of one or both of the first lot of processed substrates and the second lot of unprocessed substrates.05-05-2016
20160132042INTELLIGENT PROCESSING TOOLS - One or more first parameters associated with an electronic device manufacturing process are monitored. An artificial neural network associated with the one or more first parameters is determined. One or more second parameters are determined using the artificial neural network. The one or more first parameters are adjusted using the one or more second parameters.05-12-2016
20160150687MOUNTING MANAGEMENT DEVICE, MOUNTING PROCESS DEVICE, MOUNTING SYSTEM, MOUNTING MANAGEMENT METHOD, AND MOUNTING PROCESS METHOD - In an electronic component mounting system, a mounting management server acquires measurement information relating to a result obtained by measurement of a component which is stored in a reel, registers the acquired measured information in the device information in association with a reel ID, and outputs the measurement information corresponding to the reel to a mounting machine during a mounting process. The mounting process device receives the device information in which the measurement information that relates to the result obtained by measuring the component stored in the reel and that is associated with the reel ID is registered, when the measurement information is present in the device information of the component to be mounted, the mounting process device executes the mounting process of the component.05-26-2016
20170236733Common Terminal Heater for Ceramic Pedestals Used in Semiconductor Fabrication08-17-2017

Patent applications in class Integrated circuit production or semiconductor fabrication

Website © 2023 Advameg, Inc.