Patents - stay tuned to the technology

Inventors list

Assignees list

Classification tree browser

Top 100 Inventors

Top 100 Assignees


APPARATUS FOR MOVING MATERIAL BETWEEN ZONES HAVING DIFFERENT PRESSURES AND INHIBITING CHANGE IN PRESSURE GRADIENT THEREBETWEEN

Subclass of:

414 - Material or article handling

Patent class list (only not empty are listed)

Deeper subclasses:

Class / Patent application numberDescriptionNumber of patent applications / Date published
414217100 For carrying Standarized Mechanical Interface (SMIF) type 58
414221000 Including serially arranged valves in path having a vertical component (e.g., airlocks, etc.) 11
414219000 Including trap chamber having horizontal axis of rotation 4
20090016855LOAD LOCK FAST PUMP VENT - A substrate processing tool including a frame forming at least one isolatable chamber configured to hold a controlled atmosphere, at least two substrate supports located within each of the at least one isolatable chamber, each of the at least two substrate supports being stacked one above the other and configured to hold a respective substrate and a cooling unit communicably coupled to the at least two substrate supports such that the at least two substrate supports and cooling unit effect simultaneous conductive cooling of each of the respective substrates located on the at least two substrate supports.01-15-2009
20090110518SEALED SUBSTRATE CARRIERS AND SYSTEMS AND METHODS FOR TRANSPORTING - An electronic device manufacturing system is disclosed. The system includes a processing tool having one or more processing chambers each adapted to perform an electronic device manufacturing process on one or more substrates; a substrate carrier adapted to couple to the system and carry one or more substrates; and a component adapted to create a sealed environment relative to at least a portion of the substrate carrier and to substantially equalize the sealed environment with an environment within the substrate carrier. Methods of the invention are described as are numerous other aspects.04-30-2009
20120087766TRANSFER MODULE - There is provided a transfer module capable of enhancing strength of a transfer chamber. An openable/closable cover is provided at the transfer chamber configured to be evacuable to vacuum. A robot is provided in the transfer chamber. The robot has hollow rotation shafts at a part of a device for transferring a processing target object W. A pillar for supporting the cover in a closed state is positioned within the hollow rotation shafts of the robot. Since the pillar supports a load applied to the cover by an atmospheric pressure, a thickness of the cover can be reduced, so that manufacturing cost can be reduced. Further, the robot is not interfered by the pillar when the robot rotates the processing target object W about the rotation shafts or moves the processing target object W in a radial direction.04-12-2012
20150125239SYSTEM AND METHOD FOR ROTATIONAL TRANSFER OF ARTICLES BETWEEN VACUUM AND NON-VACUUM ENVIRONMENTS - A device for transferring articles between an atmospheric pressure environment and a vacuum environment includes a transfer housing having an atmospheric transfer port, a pumping port, a vacuum transfer port, and a venting port disposed in a circumferentially-spaced relationship. The vacuum transfer port is in communication with the vacuum environment and the atmospheric transfer port is in communication with the atmospheric pressure environment. The device can include a carrier disc rotatably disposed within the transfer housing, the carrier disc having a pocket formed in a sidewall thereof for holding an article. The device may further include an air bearing associated with the transfer housing and configured to expel gas to maintain a gap between the transfer housing and the carrier disc.05-07-2015
414218000 Including screw conveyor 3
20110033268System For Feeding Biomass Into A Pressurized Vessel - The present disclosure provides for a system for feeding biomass into a pressured vessel, the system includes a screw-feeding housing, a drive motor, a rotary airlock, a first conveyor screw, a second conveyor screw, a barrel, a low friction liner attached to a substantial portion of the inside surface of the barrel, a pressure sensor positioned within the screw-feeding housing for monitoring backpressure, a compression disk, an actuator, a function controller which controls the pressure sensor, the drive motor and the actuator, and a control loop which comprises the function controller, the actuator, the drive motor, and the pressure sensor for monitoring backpressure within the screw-feeding housing and for controlling and adjusting the force applied by the actuator to the compression disk and the torque applied by the drive motor to the first conveyor screw, thereby effectuating an effectively sealed biomass plug.02-10-2011
20160096692SYSTEMS AND METHODS FOR PROVIDING FEED MATERIAL TO A PRESSURIZED SYSTEM - Methods and systems for transferring feed materials between zones having substantially different pressures, where the transfer can be continuous or semi-continuous. The methods and systems include a plurality of lock hoppers to receive feed material from a low pressure zone and pressurize it with fluid to a pressure of a high pressure zone. The pressurized material can be discharged to a circulation loop, which carries the pressurized material to one or more receiving unit(s) of a pressurized system. At least some feed material remains in the receiving unit(s) and at least a portion of the fluid exits to become part of the circulation loop. After discharge, the lock hoppers can be depressurized so the next pressurization cycle can begin with additional feed material. The lock hoppers can be operated in a time-staggered manner to provide continuous or semi-continuous transfer of material.04-07-2016
20180022556SYSTEMS AND METHODS FOR PROVIDING FEED MATERIAL TO A PRESSURIZED SYSTEM01-25-2018
Entries
DocumentTitleDate
20080199281Vacuum System For Wafer Handling - The present invention relates to a system for handling wafers (W) within a treatment apparatus (08-21-2008
20080199282CLUSTER TOOL ARCHITECTURE FOR PROCESSING A SUBSTRATE - Embodiments generally provide an apparatus and method for processing substrates using a multi-chamber processing system (e.g., a cluster tool). In one embodiment, the cluster tool is adapted to perform a track lithography process in which a photosensitive material is applied to a substrate, patterned in a stepper/scanner, and then removed in a developing process completed in the cluster tool. In one embodiment of the cluster tool, substrates are grouped together in groups of two or more for transfer or processing to improve system throughput, reduce the number of moves a robot has to make to transfer a batch of substrates between the processing chambers, and thus increase system reliability. Embodiments also provide for a method and apparatus that are used to increase the reliability of the substrate transfer process to reduce system down time.08-21-2008
20080206021Stacked process chambers for magnetic media processing tool - A substrate processing apparatus is described. The apparatus includes a substrate load lock chamber. A substrate transfer chamber is vacuum coupled to the substrate load lock chamber. A plurality of process chamber modules are vacuum coupled to the substrate transfer chamber. At least two of the process chamber modules are horizontally clustered around the substrate transfer chamber. In addition, at least two of the process chamber modules are vertically arranged with one process chamber module above the other process chamber module. The substrate transfer chamber includes one or more robotic arms for transferring magnetic media substrates between the substrate load lock chamber and the plurality of process chamber modules.08-28-2008
20080213068DATUM PLATE FOR USE IN INSTALLATIONS OF SUBSTRATE HANDLING SYSTEMS - A datum plate is provided for use in installations of substrate handling systems. The datum plate has a set of predetermined attachment locations adapted to couple the datum plate to a chamber; a set of predetermined attachment locations adapted to couple one or more automatic door opener platforms to the datum plate; and a set of predetermined attachment locations adapted to couple one or more substrate handlers contained within the chamber, to the datum plate. The attachment locations are positioned such that when the datum plate is coupled to the chamber, and the automatic door opener platform and the substrate handler are coupled to the datum plate, the substrate handler and automatic door opener platform are aligned for substrate transfer therebetween. Numerous other aspects are provided.09-04-2008
20080219805Stage Device for a Vacuum Chamber - The invention relates to a transfer stage for moving an object in a vacuum chamber in at least a plane of movement, comprising at least a first and a second rod each having a first and a second end, the first and second rod being connected one to the other with their first ends by means of a first hinge, the second end of the first rod being provided with a first hinged support and the second end of the second rod being provided with a second hinged support, the first and second hinged supports being roller supports and the first hinge being provided with attachment means for the object. Particularly when using this transfer stage in lithography systems fast and reliable movements are possible.09-11-2008
20080219806SEMICONDUCTOR MANUFACTURING PROCESS MODULES - A variety of process modules are described for use in semiconductor manufacturing processes.09-11-2008
20080219807SEMICONDUCTOR MANUFACTURING PROCESS MODULES - A variety of process modules are described for use in semiconductor manufacturing processes.09-11-2008
20080219808SEMICONDUCTOR MANUFACTURING PROCESS MODULES - A variety of process modules are described for use in semiconductor manufacturing processes.09-11-2008
20080219809SEMICONDUCTOR MANUFACTURING PROCESS MODULES - A variety of process modules are described for use in semiconductor manufacturing processes.09-11-2008
20080219810SEMICONDUCTOR MANUFACTURING PROCESS MODULES - A variety of process modules are described for use in semiconductor manufacturing processes.09-11-2008
20080219811SEMICONDUCTOR MANUFACTURING PROCESS MODULES - A variety of process modules are described for use in semiconductor manufacturing processes.09-11-2008
20080219812SEMICONDUCTOR MANUFACTURING PROCESS MODULES - A variety of process modules are described for use in semiconductor manufacturing processes.09-11-2008
20080226429MULTI-FUNCTION VACUUM LINK - Modular wafer transport and handling facilities are combined in a variety of ways deliver greater levels of flexibility, utility, efficiency, and functionality in a vacuum semiconductor processing system. Various processing and other modules may be interconnected with tunnel-and-cart transportation systems to extend the distance and versatility of the vacuum environment. Other improvements such as bypass thermal adjusters, buffering aligners, batch processing, multifunction modules, low particle vents, cluster processing cells, and the like are incorporated to expand functionality and improve processing efficiency.09-18-2008
20080226430Carrying mechanism, a carrying device and a vacuum processing apparatus - A carrying device which can prevent an object to be carried from being contaminated with dust and carry the object to a correct position. The present invention is constructed by first and second parallelogram linkages. The second parallelogram linkage is formed by using a link of the first parallelogram linkage, has four sides with an equal length, and is linearly extended or retracted along a linear guide. Links of the first parallelogram linkage and links of the second parallelogram linkage are configured to rotate while being in a restrained state of 90° at pivots of both ends of the common link of the first and second parallelogram linkage, respectively. An arm is provided at a pivot of an end of a link opposed to the link of the first parallelogram linkage such that the arm rotates in a restrained state of 90° relative to the link.09-18-2008
20080232933Robotic Chamber Support Pedestal - Modular wafer transport and handling facilities are combined in a variety of ways deliver greater levels of flexibility, utility, efficiency, and functionality in a vacuum semiconductor processing system. Various processing and other modules may be interconnected with tunnel-and-cart transportation systems to extend the distance and versatility of the vacuum environment. Other improvements such as bypass thermal adjusters, buffering aligners, batch processing, multifunction modules, low particle vents, cluster processing cells, and the like are incorporated to expand functionality and improve processing efficiency.09-25-2008
20080232934MODULAR CLUSTER TOOL - A modular cluster tool is disclosed. According to one embodiment, a system, comprises a wafer transfer station that includes a first vacuum chamber that stores a plurality of semiconductor wafers. The system also includes an equipment front end module interface, and two or more shuttle lock interfaces.09-25-2008
20080253867Apparatus for Placing Battery Plates in a Line - This invention relates to apparatus for placing battery plates in a line. This includes a pick up and release unit generally indicated at 10-16-2008
20080253868Fast swap dual substrate transport for load lock - A load lock is provided for a semiconductor substrate processing system having a transport robot mounted therein. The load lock transport supplies substrates directly to a processing chamber without the need for a central transport robot. The load lock transport is a dual element robot designed for minimum clearance and space and operates within a matching load lock chamber of minimum volume.10-16-2008
20080260498Atmosphere Purge-Port Connecting Device for Wafer Storage Container - A connecting device is provided to a wafer carrier for storing a wafer and connected to a purge port having a gas inlet into which a gas for purging an atmosphere in the wafer carrier flows. The connecting device includes, a base; a communication port formed in the base; and a close-contact mechanism that includes, a sealing ring, a groove, and a pressure-reducing passage that communicates with the groove. The pressure in a space defined by the groove and a peripheral edge of the gas inlet is reduced at a position where the base makes contact with the purge port, bringing the gas inlet into close contact with the communication port.10-23-2008
20080260499FACET ADAPTER FOR A WAFER HANDLER - A facet adapter permits flexible coupling of wafer handler ports to various combinations of process modules. In one embodiment, a facet adapter connects a port of a wafer handler to two process modules. The facet adapter may provide additional facets oriented, for example, at ninety degrees to one another. Facet adapters may be employed to flexibly accommodate various semiconductor fabrication system layouts, and in particular, to increase the number of process modules serviced by a single robotic wafer handler.10-23-2008
20080260500BATCH SUBSTRATE HANDLING - A substrate-handling vacuum robot includes a first robotic arm with a single-substrate end effector and a second robotic arm with a batch end effector. The single-substrate end effector permits single-substrate pick-and-place operations while the batch end effector permits batch handling of substrates within a vacuum environment.10-23-2008
20080267741SUBSTRATE ATTRACTING DEVICE AND SUBSTRATE TRANSFER APPARATUS - A substrate attracting device includes an attracting body for attracting and holding a substrate to transfer the substrate. The attracting body includes a first attracting unit for attracting and holding a top surface of the substrate according to Bernoulli principle and a second attracting unit for vacuum-attracting and holding a bottom surface of the substrate.10-30-2008
20080267742Direct Tool Loading - The present invention comprises a container transport and loading system. The system generally comprises a load port for presenting articles to a tool and a container transport system. In one embodiment, the load port includes a vertically movable FOUP advance plate assembly that is adapted to load and unload a FOUP from a conveyor that passes by the load port and move the FOUP horizontally. In another embodiment, the load port includes a vertically movable support structure that is adapted to load and unload a container from a shuttle that passes by the load port. The various embodiments of the load port and container transport system are improvements over conventional container transport systems. The present invention also includes a shuttle for simultaneously transporting multiple containers that a load port may load or unload a container from.10-30-2008
20080279656Transfer apparatus - A transfer apparatus includes a stationary base, a swivel rotatably supported by the stationary base, and a linear movement mechanism supported by the swivel and including guide rails. Hands for carrying works are supported by the guide rails and movable along a horizontal straight travel path by the operation of the linear movement mechanism. A heat reflector is provided between the hands and the guide rails. A refrigerant circulation channel includes annular spaces for ensuring constant communication between a passage on the side of the stationary base and a passage on the side of the swivel. The refrigerant circulation channel includes cooling pipes held in contact with the heat reflector.11-13-2008
20080292431TRANSFER CHAMBER FOR FLAT DISPLAY DEVICE MANUFACTURING APPARATUS - The present invention relates to a transfer chamber for a flat display device manufacturing apparatus, and more particularly, to a transfer chamber for a flat display device manufacturing apparatus, having a combination of functions of transfer and load-lock chambers, in which a robot is provided aside from a center of the transfer chamber, a buffer is provided to be driven without interference of the robot, and a aligner is provided to adjust a position of a substrate mounted on the buffer. In order to achieve the aforementioned objects, there is provided a transfer chamber for a flat display device manufacturing apparatus, wherein a robot is provided aside from a center of the transfer chamber. In addition, in order to drive the robot, a sealing member is provided to seal a hole formed at a predetermined portion of the transfer chamber, and an aligner for adjusting the substrate in the transfer chamber and a buffer where the substrate is mounted on are provided.11-27-2008
20080298934Mesotube burn-in manifold - A two chamber system with fill gas in one chamber and vacuum in the other provides a means of burning in one or more vacuum tubes while avoiding contamination from environmental gases. Vacuum tubes are often burned in after being sealed. Some processes burn-in the tubes before sealing them. The burn in process can take days and provide ample opportunity for environmental gases to contaminate the vacuum tube. The vacuum tube's fill tube passes through the vacuum chamber and into the fill gas chamber. Environmental gases leaking past the fill tube are evacuated by the vacuum. Similarly, fill gas leaking past the fill tube is also evacuated to vacuum. As such, the environmental gases are drawn away before contaminating the vacuum tube.12-04-2008
20080304942INDUSTRIAL ROBOT - An industrial robot may include a robot hand structured to mount a transfer object, a multi-joint arm section having at least two arms including a robot hand supporting arm structured to support the robot hand to be rotatable; and a main section structured to support the multi-joint arm section to be rotatable. The robot hand may include a grasping part structured to contact and grasp the transfer object and a biasing member structured to bias the grasping part in a direction for grasping the transfer object. The robot hand supporting arm may include an eccentric member fixed to the robot hand supporting arm at a position being eccentric from a turning center of the robot hand in relation to the supporting arm.12-11-2008
20080304943SUBSTRATE CARRIER AND FACILITY INTERFACE AND APPARATUS INCLUDING SAME - A carrier comprises an enclosure, a cabinet and at least one substrate holder. The enclosure comprises a door. The cabinet is coupled to the carrier. The cabinet comprises at least one valve and contains at least one reduction fluid. The substrate holder is disposed within the enclosure to support at least one substrate.12-11-2008
20090003976COMPACT SUBSTRATE TRANSPORT SYSTEM - A substrate processing system including a load port module configured to hold at least one substrate container for storing and transporting substrates, a substrate processing chamber, an isolatable transfer chamber capable of holding an isolated atmosphere therein configured to couple the substrate processing chamber and the load port module, and a substrate transport mounted at least partially within the transfer chamber having a drive section fixed to the transfer chamber and having a SCARA arm configured to support at least one substrate, the SCARA arm being configured to transport the at least one substrate between the at least one substrate container and the processing chamber with but one touch of the at least one substrate, wherein the SCARA arm comprises a first arm link, a second arm link, and at least one end effector serially pivotally coupled to each other, where the first and second arm links have unequal lengths.01-01-2009
20090003977Substrate processing apparatus and manufacturing method of a semiconductor device - A substrate processing apparatus is equipped with a processing furnace for processing wafers, a loading port which is used for carrying a pod containing substrates into and out of a case, a pod transport mechanism for transporting the container at least from the entrance and exit place, and a top storage which is disposed above the processing furnace in such a manner that at least part of the top storage overlaps with the processing furnace in the direction of gravity.01-01-2009
20090003978Vacuum processing apparatus and vacuum processing method - A vacuum processing apparatus and method in which the apparatus includes vacuum processing chambers for subjecting a sample to vacuum processing, a vacuum carriage, a switchable chamber, a cassette support for supporting a plurality of cassettes capable of housing samples, and a waiting cassette support for supporting a waiting cassette that differs from the cassettes supported on the cassette support and capable of having a number of samples. An atmospheric carriage enables carrying a sample among a given cassette of the plurality of cassettes or the waiting cassette. A controller effects control for carrying unprocessed and processed samples among the given cassette, the waiting cassette and the vacuum processing chamber, so that a mixture of both processed and unprocessed samples does not exist in the given cassette or the waiting cassette.01-01-2009
20090010738Vacuum processing apparatus and vacuum processing method - A vacuum processing apparatus includes vacuum processing chambers for subjecting a sample to vacuum processing, a vacuum carriage, a switchable chamber, a cassette support for supporting a plurality of cassettes capable of housing samples, and a waiting cassette support for supporting a waiting cassette that differs from the cassettes supported on the cassette support and capable of having a number of samples. An atmospheric carriage enables carrying a sample among a given cassette of the plurality of cassettes or the waiting cassette. A controller effects control for carrying unprocessed and processed samples among the given cassette, the waiting cassette and the vacuum processing chamber, so that a mixture of both processed and unprocessed samples does not exist in the given cassette or the waiting cassette.01-08-2009
20090022571SUBSTRATE PROCESSING APPARATUS WITH MOTORS INTEGRAL TO CHAMBER WALLS - A substrate transport apparatus including a peripheral wall having an inner surface that defines a substrate transport chamber capable of holding an isolated atmosphere, at least one substantially ring shaped motor having at least one stator module located within the peripheral wall, between the inner surface and an adjacent outer surface of the peripheral wall and at least one rotor suspended substantially without contact within the transport chamber such that a surface of the peripheral wall encompassed by the ring shaped motor is configured for attachment thereto of a predetermined device and at least one substrate transport arm connected to the at least one rotor and having at least one end effector configured to hold at least one substrate.01-22-2009
20090028671IN-LINE SYSTEM AND METHOD FOR MANUFACTURING A SEMICONDUCTOR PACKAGE - An in-line system for manufacturing a semiconductor package according to principles of the present invention can prevent wafer warpage due to a back-lap process and die defects due to sticking of the die. In one embodiment, the in-line system adheres a semiconductor chip to a substrate by coating a liquid adhesive agent on a rear surface of the wafer. The processes of the in-line system are preferably performed in series. More particularly, the in-line system for manufacturing a semiconductor package can include a loading unit for loading a wafer into the system. A back-lap unit can include a grinder configured to back-grind a rear surface of the wafer received from the loading unit. A cleansing unit preferably comprises an air pressure plasma generating unit for cleansing the wafer using air pressure plasma. A coating unit can be configured to form an adhesive layer on a rear surface of the cleansed wafer by using a nozzle to coat a liquid adhesive agent onto the wafer. In the coating unit, the wafer can be rotated or not rotated depending on the desired characteristics of the adhesive layer. An attaching unit is preferably provided to attach a dicing tape on the adhesive layer formed. And an unloading unit unloads the wafer from the system. A transporting unit can be configured to transport the wafer sequentially between the loading unit, the back-lap unit, the cleansing unit, the coating unit, the attaching unit, and the unloading unit. One or more wafer chucks can be mounted to the wafer as the wafer is transported through the manufacturing processes.01-29-2009
20090028672SUBSTRATE TRANSFER MODULE AND SUBSTRATE PROCESSING SYSTEM - A substrate transfer module that can prevent corrosion of components, adhesion of particles to the substrate, and increases in the manufacturing cost and the size of the substrate transfer module. A substrate transfer module is connected to a substrate processing module. The substrate processing module implements desired processing on a substrate. A substrate transfer device transfers a substrate and includes a holding unit and a moving unit. The holding unit holds the substrate, and the moving unit moves the holding unit. A transfer chamber houses the substrate transfer device in an interior thereof that is isolated from an external atmosphere. An isolation device isolates at least the holding unit and the substrate held by the holding unit from an interior atmosphere of the transfer chamber.01-29-2009
20090035098LID OPENING/CLOSING SYSTEM FOR CLOSED CONTAINER AND SUBSTRATE PROCESSING METHOD USING SAME - An object is to provide a FIMS system that can be loaded with a plurality of low profile pods that are arranged vertically one above another. A tunnel is provided between a position on a support mechanism on which a pod is to be set and a mini environment that is in communication with the FISM. The position of the pod at which a lid of the pod is detached from the pod after the lid is held by a FIMS door and a position of the pod to which the pod is brought after the detachment of the lid and at which a wafer can be brought into/out of the pod are designed to be inside the tunnel. In addition, the lid detached from the pod and the door can be located in a housing space provided in the tunnel.02-05-2009
20090035099LID OPENING/CLOSING SYSTEM FOR CLOSED CONTAINER AND SUBSTRATE PROCESSING METHOD USING SAME - Adjacent to an opening portion 02-05-2009
20090035100METHOD OF PROCESSING AN OBJECT IN A CONTAINER AND LID OPENING/CLOSING SYSTEM USED IN THE METHOD - A partial pressure of oxidizing gas in an opened state FOUP fixed in a FIMS system is reduced when the FOUP is closed. Purge gas supply nozzles are placed outside of two vertical sides of an opening portion (02-05-2009
20090053016MID-ENTRY LOAD LOCK FOR SEMICONDUCTOR HANDLING SYSTEM - In a system having a number of semiconductor processing modules sharing a common vacuum environment, a mid-entry load lock is provided to permit insertion and removal of wafers into the vacuum environment at a point between various other robotic handlers, process modules, and load locks. This arrangement permits increased flexibility in scheduling when multiple wafers are processed concurrently.02-26-2009
20090053017STORAGE AND PURGE SYSTEM FOR SEMICONDUCTOR WAFERS - A system for storage and maintenance of semiconductor wafers or reticles under fabrication between process steps of the fabrication. The system is configured as either a stocker, an overhead transport system (OHT) or an overhead buffer (OHB with gas-purge ports which mechanically mate with a standard receptacle of a wafer/reticle carrier. A control circuit is attached to the gas-purge ports which controls gas flow into the carrier through gas purge port said Control is performed even in the absence of a communications network attached to the control circuit.02-26-2009
20090060687TRANSFER CHAMBER WITH ROLLING DIAPHRAGM - Embodiments of the invention include a vacuum transfer chamber having one rolling or more rolling diaphragm providing a seal between a robot disposed in the transfer chamber and the transfer chamber and a method for using a substrate transfer chamber having the same.03-05-2009
20090060688Suction apparatus, polishing apparatus, semiconductor device, and method of manufacturing a semiconductor device - A suction apparatus 03-05-2009
20090067955Foup Door Positioning Device for Foup Opener - The FOUP door positioning device of a FOUP opener is compatible with all kinds of FOUPs, is inexpensive, and has a simple positioning-ping adjustment mechanism. In a state in which a flange portion (a portion having a taper 03-12-2009
20090067956CLUSTER TOOL ARCHITECTURE FOR PROCESSING A SUBSTRATE - Embodiments generally provide an apparatus and method for processing substrates using a multi-chamber processing system (e.g., a cluster tool) that has an increased system throughput, increased system reliability, substrates processed in the cluster tool have a more repeatable wafer history, and also the cluster tool has a smaller system footprint. In one embodiment, the cluster tool is adapted to perform a track lithography process in which a substrate is coated with a photosensitive material, is then transferred to a stepper/scanner, which exposes the photosensitive material to some form of radiation to form a pattern in the photosensitive material, which is then removed in a developing process completed in the cluster tool. In track lithography type cluster tools, since the chamber processing times tend to be rather short, and the number of processing steps required to complete a typical track system process is large, a significant portion of the time it takes to process a substrate is taken up by the processes of transferring the substrates in a cluster tool between the various processing chambers. In one embodiment of the cluster tool, the cost of ownership, is reduced by grouping substrates together and transferring and processing the substrates in groups of two or more to improve system throughput, and reduces the number of moves a robot has to make to transfer a batch of substrates between the processing chambers, thus reducing wear on the robot and increasing system reliability. In one aspect of the invention, the substrate processing sequence and cluster tool are designed so that the substrate transferring steps performed during the processing sequence are only made to chambers that will perform the next processing step in the processing sequence. Embodiments also provide for a method and apparatus that are used to improve the coater chamber, the developer chamber, the post exposure bake chamber, the chill chamber, and the bake chamber process results. Embodiments also provide for a method and apparatus that are used to increase the reliability of the substrate transfer process to reduce system down time.03-12-2009
20090081005Substrate transfer apparatus and method for controlling down flow - The present invention relates to an apparatus for transferring a substrate through a gate for loading and unloading is provided, and includes a transfer chamber having the gate for loading and unloading the substrate, a transfer system, which is able to move up and down in the transfer chamber and transfers the substrate by accessing each gate, an adjustment section for a gas down flow, which produces the gas down flow in the transfer chamber and is able to adjust a speed of the gas down flow, and a control section for controlling the speed of the gas down flow using the movement speed of the substrate.03-26-2009
20090087285SUBSTRATE PROCESSING APPARATUS - An FOUP transport robot transports an FOUP, which stores a plurality of substrates, between a loading port and an FOUP placement stage. An indexer robot transfers substrates (unprocessed substrates) stored in the FOUP placed on the FOUP placement stage, to a cleaning part through a substrate transfer part; or receives and stores substrates (processed substrates) subjected to scrub cleaning in the cleaning part, into the FOUP through the substrate transfer part. A plurality of FOUP placement stages are provided around the indexer robot, so that the indexer robot does not have to move in a horizontal direction at the time of transport.04-02-2009
20090087286SEMICONDUCTOR MANUFACTURING SYSTEMS - Linear semiconductor handling systems provide more balanced processing capacity using various techniques to provide increased processing capacity to relatively slow processes. This may include use of hexagonal vacuum chambers to provide additional facets for slow process modules, use of circulating process modules to provide more processing capacity at a single facet of a vacuum chamber, or the use of wide process modules having multiple processing sites. This approach may be used, for example, to balance processing capacity in a typical process that includes plasma enhanced chemical vapor deposition steps and bevel etch steps.04-02-2009
20090092466MULTI-LEVEL LOAD LOCK CHAMBER, TRANSFER CHAMBER, AND ROBOT SUITABLE FOR INTERFACING WITH SAME - A new apparatus for processing substrates is disclosed. A multi-level load lock chamber having four environmentally isolated chambers interfaces with a transfer chamber that has a robotic assembly. The robotic assembly has two arms that each can move horizontally as the robotic assembly rotates about its axis. The arms can reach into the isolated chambers of the load lock to receive substrates from the bottom isolated chambers, transport the substrates to process chambers, and then place the substrates in the upper chambers. The isolated chambers in the load lock chamber may have a pivotably attached lid that may be opened to access the inside of the isolated chambers.04-09-2009
20090110517Catalyst Flow Control Device for Transfer of Solids Between Two Vessels - An apparatus and method for transporting solid particulate matter from a lower pressure vessel to a higher pressure vessel are disclosed. The apparatus includes a flow control vessel disposed between the lower and higher pressure vessels with valves for transferring solids between the vessels.04-30-2009
20090116937STORAGE APPARATUS AND FILTER MODULE THEREIN - The present invention provides a storage apparatus, ex. reticle pod, FOUP, FOSB or any kind of wafer pod, for storing a semiconductor element, ex. wafer, or a reticle and having a filter module therein. The storage apparatus is composed of a first cover and a second cover, which are assembled together to form an inner space therebetween for accommodating a reticle or a semiconductor element. The second cover of the storage apparatus comprises at least one aperture for communicating the inner space and an exterior of the storage apparatus and a filter module for covering the aperture.05-07-2009
20090123256ELEVATOR LINEAR MOTOR DRIVE - Disclosed is a substrate processing system with a magnetic conduit configuration to improve the movement of a substrate carrier within the system. The configuration specifically provides for safe, secure movement of a carrier between multiple levels of a substrate processing system by using magnetic conduits to redirect magnetic forces created by a linear motor, permitting the linear motor to be positioned outside of the system and in a location that will not interfere with the movement of the carrier.05-14-2009
20090129897SIDE OPENING UNIFIED POD - A substrate processing system including a processing section arranged to hold a processing atmosphere therein, a carrier having a shell forming an internal volume for holding at least one substrate for transport to the processing section, the shell being configured to allow the internal volume to be pumped down to a predetermined vacuum pressure that is different than an exterior atmosphere outside the substrate processing system, and a load port communicably connected to the processing section to isolate the processing atmosphere from the exterior atmosphere, the load port being configured to couple with the carrier to pump down the internal volume of the carrier and to communicably connect the carrier to the processing section, for loading the substrate into the processing section through the load port.05-21-2009
20090142163Wafer position correction with a dual, side-by-side wafer transfer robot - Methods and systems for positioning wafers using a dual side-by-side end effector robot are provided. The methods involve performing place moves using dual side-by-side end effector robots with active wafer position correction. According to various embodiments, the methods may be used for placement into a process module, loadlock or other destination by a dual wafer transfer robot. The methods provide nearly double the throughput of a single wafer transfer schemes by transferring two wafers with the same number of moves.06-04-2009
20090142164CONTAINER LID OPENING/CLOSING SYSTEM AND SUBSTRATE PROCESSING METHOD USING THE SYSTEM - An FIMS system in which loading is possible in a manner in which multiple thin pods are vertically stacked together, in which attraction pads are arranged on plates on which the pods are loaded, the pods being retained by and fixed to the plates through attraction and retention by the pads. A tube for imparting an attraction force to the attraction pads is accommodated in an accommodation space inside box-like member of a minimum requisite space thickness, and the region where the tube can be reflected only exists within the accommodation space.06-04-2009
20090142165TRANSFER CHAMBER FOR A VACUUM PROCESSING APPARATUS, AND A VACUUM PROCESSING APPARATUS - In a transfer chamber having a housing with a transfer region and a passing band region, a transport device comprising a first arrangement of transport rollers is arranged in the transfer region of the housing, and a passing band comprising a second arrangement of transport rollers is arranged in the passing band region of the housing. The passing band region of the housing is subdivided by a horizontal wall, which is arranged above the passing band, into a transport space, which is located below the horizontal wall, and a pump space, which is located above the horizontal wall. The pump space has a vacuum port or a vacuum pump connected to it.06-04-2009
20090162170TANDEM TYPE SEMICONDUCTOR-PROCESSING APPARATUS - A tandem type semiconductor-processing apparatus includes: a processing section including multiple units arranged in tandem, each of which unit includes a reaction chamber and a load lock chamber with an load lock interface; a FOUP section including at least one FOUP having a wafer cassette and a front opening interface; and a mini-environment section having a single interior connected to the processing section via each load lock interface on one side of the mini-environment section and connected to the FOUP section via each front opening interface on another side of the mini-environment section opposite to the one side.06-25-2009
20090169342Load port - A load port (07-02-2009
20090169343Drive Apparatus of Substrate Carrying Robot Including Cooling Circulation Path - There is provided a robot for carrying a substrate in which a contact type vacuum seal is cooled, the vacuum seal is prevented from exceeding a heat resistance temperature, and a trouble of leaking the atmosphere into a carrying chamber maintained in airtight is prevented from being brought about.07-02-2009
20090175706METHOD AND APPARATUS FOR DETECTING A WAFER POD - A detection method of a wafer pod includes performing an airtight test to the bolt hole on the cam of the wafer pod and determining the cam is abnormal when a result of the airtight test reveals that the bolt hole has an air-leak defect, wherein the bolt hole is positioned in back of the bolt of the cam.07-09-2009
20090191029SYSTEM FOR HANDLING SEMICONDUCTOR DIES - The present invention provides a system for handling semiconductor dies, comprising providing a semiconductor die adhered to a tacky tape, cooling the semiconductor die and the tacky tape to reduce the adhesion between the semiconductor die and the tacky tape, separating the semiconductor die from the tacky tape, and moving the semiconductor die.07-30-2009
20090191030APPARATUS AND METHODS FOR TRANSPORTING AND PROCESSING SUBSTRATES - There is described apparatus and methods for transporting and processing substrates including wafers as to efficiently produce at reasonable costs improved throughput as compared to systems in use today. A linear transport chamber includes linear tracks and robot arms riding on the linear tracks to linearly transfer substrates along the sides of processing chambers for feeding substrates into a controlled atmosphere through a load lock and then along a transport chamber as a way of reaching processing chambers.07-30-2009
20090220322Vacuum Processing Apparatus And Semiconductor Manufacturing Line Using The Same - A vacuum processing apparatus is composed of a cassette block and a vacuum processing block. The cassette block has a cassette table for mounting a plurality of cassettes containing a sample and an atmospheric transfer means. The vacuum processing block has a plurality of processing chambers for performing vacuum processing to the sample and a vacuum transfer means for transferring the sample. Both of the plan views of the cassette block and the vacuum processing block are nearly rectangular, and the width of the cassette block is designed larger than the width of the vacuum processing block, and the plan view of the vacuum processing apparatus is formed in an L-shape or a T-shape.09-03-2009
20090245978APPARATUS AND METHOD FOR OPENING/CLOSING LID OF CLOSED CONTAINER, GAS REPLACEMENT APPARATUS USING SAME, AND LOAD PORT APPARATUS - The invention provides a purge apparatus that purges the gas in the interior of a FOUP with a high purging efficiency and an apparatus for opening/closing the lid of a FOUP for use in the purge apparatus. The purge apparatus has a cabinet having an opening portion through with the lid of the FOUP can pass, a door that can close the opening portion and hold the lid, and a gas supply nozzle provide in the cabinet. In this apparatus, a seal member is provided in such a way as to surround an element that is provided on the surface of the door and adapted to actuate a latch mechanism of the lid. The seal member spatially separates the element that is adapted to actuate the latch mechanism from the external space in a state in which the door is holding the lid.10-01-2009
20090263214FIXTURE FOR P-THROUGH SILICON VIA ASSEMBLY - A silicon-based wafer such as a TSV interposer wafer having a first and second surfaces wherein a glass carrier is mounted on the second surface by a UV tape is held by a vacuum holder applied on the first surface and the glass carrier is removed from the silicon-based wafer by irradiating the UV tape with a UV light through the glass carrier. The silicon-based wafer is then flipped and placed onto a vacuum plate and secured to the vacuum plate by applying vacuum to the vacuum plate. The vacuum holder is then released from the silicon-based wafer leaving the silicon-based wafer secured to the vacuum plate for subsequent processing steps.10-22-2009
20090279989DYNAMIC ALIGNMENT OF WAFERS USING COMPENSATION VALUES OBTAINED THROUGH A SERIES OF WAFER MOVEMENTS - Methods and systems to optimize wafer placement repeatability in semiconductor manufacturing equipment using a controlled series of wafer movements are provided. In one embodiment, a preliminary station calibration is performed to teach a robot position for each station interfaced to facets of a vacuum transfer module used in semiconductor manufacturing. The method also calibrates the system to obtain compensation parameters that take into account the station where the wafer is to be placed, position of sensors in each facet, and offsets derived from performing extend and retract operations of a robot arm. In another embodiment where the robot includes two arms, the method calibrates the system to compensate for differences derived from using one arm or the other. During manufacturing, the wafers are placed in the different stations using the compensation parameters.11-12-2009
20090290960APPARATUS FOR MOVING AND SECURING A SUBSTRATE - An apparatus for transferring and securing a substrate is shown. A pressure source is provided that is adapted to provide positive and negative pressure. A vacuum chuck is provided having a top side with a plurality of vacuum chuck portals formed therein. Each vacuum chuck portal is in fluid communication with the pressure source. The substrate is secured to the top side of the vacuum chuck when the pressure source provides negative pressure to the vacuum chuck portals. An intermediate member that selectively cooperates with the vacuum chuck to support and transfer the substrate between the vacuum chuck and the intermediate member is provided. The intermediate member has a plurality of receiving spaces and a plurality of transfer members. The receiving spaces and transfer members are adjacent to one another in an alternating pattern, and each transfer member has a top side with a plurality of transfer member portals formed therein. Each transfer member portal is in fluid communication with the pressure source. A carriage extending along at least a portion of the periphery of the vacuum chuck and along at least a portion of the periphery of the intermediate member is provided. The carriage engages at least a portion of the substrate when the pressure source provides positive pressure to the vacuum chuck portals and transfer member portals to transfer the substrate between the intermediate member and the vacuum chuck.11-26-2009
20090317214NOVEL WAFER'S AMBIANCE CONTROL - A semiconductor manufacturing system, an interface system, a carrier, and a method for providing an ambient controlled environment is disclosed. The semiconductor manufacturing system comprises a plurality of process chambers; at least one interface system, wherein the interface system includes a first ambient control element; at least one carrier, wherein the carrier comprises a second ambient control element; and a control module coupled to the plurality of process chambers, the at least one interface system, and the at least one carrier.12-24-2009
20090317215VACUUM CHAMBER FOR PROCESSING SUBSTRATE AND APPARATUS INCLUDING THE SAME - A vacuum chamber for processing a substrate includes: a chamber body; and a chamber lid combined with the chamber body, wherein the chamber lid comprises: a frame having a plurality of openings; and a plurality of plates combined with the plurality of openings.12-24-2009
20100014945SEMICONDUCTOR PROCESSING APPARATUS HAVING ALL-ROUND TYPE WAFER HANDLING CHAMBER - A semiconductor manufacturing apparatus includes a wafer handling chamber; at least one wafer input/output chamber attached to the wafer handling chamber; and multiple wafer processing chambers attached to the wafer handling chamber. The wafer handling chamber has a polygonal shape on a processing chamber level on which the wafer processing chambers are installed, and one wafer processing chamber is installed on each and every side of the polygon.01-21-2010
20100014946CATALYST FLOW CONTROL DEVICE FOR TRANSFER OF SOLIDS BETWEEN TWO VESSELS - An apparatus and process for transporting solid particulate matter from a lower pressure vessel to a higher pressure vessel are disclosed. The apparatus includes a flow control vessel disposed between the lower and higher pressure vessels with valves for transferring solids between the vessels.01-21-2010
20100040437LOAD LOCK APPARATUS, PROCESSING SYSTEM AND SUBSTRATE PROCESSING METHOD - A load lock apparatus including a carry port provided on a side of a carry-in/out section for carrying a substrate in/out from/to the outside, and a carry port provided on a side of a processing section for processing the substrate, includes: a temperature controlling plate for controlling a temperature of the substrate, the temperature controlling plate configured including a plate body made of a porous material and a temperature controlling gas supply path for supplying a temperature controlling gas controlled in temperature to the plate body. The temperature controlling gas passes through the plate body, blows out from a surface of the plate body, and is supplied to the substrate.02-18-2010
20100047043INTEGRATED CIRCUIT PLACEMENT SYSTEM - This invention relates to a dice placement assembly for placing dice on a carrier. The assembly includes a support platform with a clamp mechanism configured to clamp the carrier onto said platform, and at least one camera operatively directed at the platform to detect alignment fiducials on the carrier. The assembly also includes a placement device having a vacuum mechanism to retrieve the dice from a supply mechanism, said placement device having actuators to align the dice with the carrier and to place the dice thereon once aligned, and a heater to heat the dice prior to placement on the test bed. Further included is a controller operatively controlling the clamp mechanism, the camera and the placement device, to facilitate accurate placement of the dice on the carrier.02-25-2010
20100086380SCISSOR LIFT TRANSFER ROBOT - A method and apparatus for a transfer robot that may be used in a vacuum environment is described. The transfer robot includes a lift assembly comprising a first platform and a second platform coupled to the first platform by a plurality of support members, the plurality of support members comprising a first pair of support members and a second pair of support members, a first drive assembly coupled to a portion of the plurality of support members, the first drive assembly providing a motive force to the plurality of support members to move the second platform in a first linear direction relative to the first platform, and an end effector disposed on the second platform and movable in a second linear direction by a second drive assembly, the second linear direction being orthogonal to the first linear direction.04-08-2010
20100086381VACUUM PROCESSING APPARATUS - Disclosed herein is a vacuum processing apparatus for performing a desired process for a substrate after establishing a vacuum atmosphere therein. More particularly, the vacuum processing apparatus includes a vacuum chamber, which is divided into a chamber body and an upper cover. The upper cover is configured to be easily opened away from and closed to the chamber body.04-08-2010
20100086382VACUUM PROCESSING APPARATUS - Disclosed herein is a vacuum processing apparatus for performing a desired process for a substrate after establishing a vacuum atmosphere therein. More particularly, the vacuum processing apparatus includes a vacuum chamber, which is divided into a chamber body and an upper cover. The upper cover is configured to be easily opened away from and closed to the chamber body.04-08-2010
20100086383VACUUM PROCESSING APPARATUS - Disclosed herein is a vacuum processing apparatus for performing a desired process for a substrate after establishing a vacuum atmosphere therein. More particularly, the vacuum processing apparatus includes a vacuum chamber, which is divided into a chamber body and an upper cover. The upper cover is configured to be easily opened away from and closed to the chamber body.04-08-2010
20100098518IN/OUT DOOR FOR A VACUUM CHAMBER - A load lock chamber sized for a large area substrate is provided. The load lock chamber includes a housing comprising a door and a body having at least two sealable ports, a movable door associated with at least one of the sealable ports, and a door actuation assembly coupled between the door and the housing. The door actuation assembly further includes a pair of first actuators coupled to the door for moving the door in a first direction, and a pair of second actuators for moving the door in a second direction that is orthogonal to the first direction.04-22-2010
20100111648SUBSTRATE PROCESSING APPARATUS AND PARTICLE ADHESION PREVENTING METHOD - Any particle adhesion onto the surface of a substrate to be processed is prevented. There is provided a substrate processing apparatus characterized by including a transfer chamber for, via a gate to which a substrate accommodating container for accommodation of the substrate is set, performing transfer of the substrate between the same and the substrate accommodating container, a processing chamber for applying a specific process to the substrate, a load-lock chamber for linking the processing chamber with the transfer chamber, and a temperature control unit for at the stage of transferring the substrate into at least one of the transfer chamber and the load-lock chamber, so as for the temperature of the substrate just before the transfer thereof to be higher than the temperature of the interior of the chamber, into which the substrate will be transferred, controlling at least one of the temperature of the substrate and the temperature of the interior of the chamber.05-06-2010
20100111649TRANSFER DEVICE AND VACUUM PROCESSING APPARATUS USING THE SAME - A transfer device that avoids the problem of a vacuum apparatus being contaminated by grease, dust, and others and having a small base area is provided. Corrosion protection according to an existing technology may be applied to the transfer device. The transfer device may have a transfer section to support and transfer an object to be transferred, a link to transmit power from a device main body to the transfer section and move the transfer section in the horizontal direction, and a guide mechanism disposed between the device main body and the transfer section guide. The guide mechanism may have pivotally connected first and second guide arms. The first guide arm at one end of the guide mechanism may be attached to the device main body, and the second guide arm at the other end thereof may be attached to the transfer section.05-06-2010
20100135751ROTATION INTRODUCTION MECHANISM, SUBSTRATE TRANSFER APPARATUS, AND VACUUM PROCESSING APPARATUS - There are provided a rotation introduction mechanism which transmits a rotating motion on the atmosphere side into vacuum, is arranged simply, has a low sliding resistance, and has a long life, a substrate transfer apparatus, a substrate transfer apparatus having a small pivot radius and generating a smaller amount of dusts, and a vacuum processing apparatus. A lubricant holding member is attached to a vacuum seal mechanism. The substrate transfer apparatus is arranged such that a first link mechanism includes a first arm and a fourth arm, a second link mechanism includes a second arm and a third arm, the first arm is fixedly attached to a first drive shaft, the first arm is fixedly attached to a second drive shaft, the third arm is rotatably attached to the first drive shaft, and the fourth arm is rotatably attached to the second drive shaft. The vacuum processing apparatus includes the substrate transfer apparatus.06-03-2010
20100135752ROBOT DEVICE AND CONTROL METHOD THEREOF - The robot device according to this invention includes an arm mechanism, multiple hand mechanisms, and a control unit. The arm mechanism has its base end rotatably supported on a base point set in a predetermined position in the horizontal plane, and its free end moves among orthogonal coordinates in the horizontal plane. Each of the multiple hand mechanisms has its support end rotatably supported by the free end, and its holding end moves among polar coordinates in the horizontal plane. The holding ends hold substrates. The control unit drives the arm mechanism so that the free end approaches a base line connecting a base point with the center of a stage without passing over the base point, and drives the multiple hand mechanisms so that an export holding end moves along the base line and a non-export holding end separates from the export holding end.06-03-2010
20100158642LARGE AREA SUBSTRATE PROCESSING SYSTEM WITH BETWEEN CHAMBER PLATFORM - Embodiments of the invention generally relate to a vacuum processing system for processing large area substrates, such as flat panel displays (i.e., LCD, OLED, and other types of flat panel displays), solar panels, and the like. In one embodiment, a vacuum processing system includes a plurality of processing chambers coupled to a vacuum transfer chamber suitable to accommodate a large area substrate and a least one horizontal platform disposed between adjacent processing chambers. The vacuum transfer chamber has a robot disposed therein. The platform is coupled to a base supporting one of the adjacent processing chambers.06-24-2010
20100172720POSITIONAL DEVIATION DETECTION APPARATUS AND PROCESS SYSTEM EMPLOYING THE SAME - In a positional deviation detection apparatus provided with a transfer mechanism where plural arm portions are connected pivotably and in series with each other, the transfer mechanism being adapted to hold and transfer an object to be processed with a distal end arm portion, there are provided an edge detection unit that detects at least an edge of the object to be detected held by the distal end arm portion, the edge detection unit being provided in an arm portion among the plural arm portions, except for the distal end arm portion; and a positional deviation detection portion that obtains positional deviation of the object to be processed, in accordance with a detected value of the edge detection unit.07-08-2010
20100172721SUBSTRATE LOADING AND UNLOADING STATION WITH BUFFER - A substrate processing apparatus having a station for loading and unloading substrates from the apparatus, includes an aperture closure for sealing a loading and unloading aperture of the station, a fluidic magazine door drive for removing a door of a substrate magazine and thus opening the substrate magazine and for operating the aperture closure to open the aperture, and sensor for mapping vertical locations of substrates mounted to the magazine door of the drive. The fluidic magazine door drive may include an encoder different from the sensor, the encoder being configured for determining the vertical location of the sensor.07-08-2010
20100178135SYSTEMS, APPARATUS AND METHODS FOR MAKING AN ELECTRICAL CONNECTION - Systems, apparatus and methods are disclosed for allowing electrical connection to an electrical end effector in a robot apparatus. In one aspect, an electrical coupling is adapted to provide electrical power to the electrical end effector in the vacuum chamber. The electrical coupling may include engaging electrical contacts. In some embodiments, at least one of the contacts may be suspended relative to a spring such that the engaging contacts do not rotate relative to each other during arm rotation of the robot. In other embodiments, inductively coupled coils are included. Numerous other aspects are provided.07-15-2010
20100178136SUBSTRATE TRANSFER ROBOT AND VACUUM PROCESSING APPARATUS - Substrates are delivered in a short time among various processing chambers having various mounting positions. When first to fourth drive shafts rotate in a state such that an A-side rotary member and a B-side rotary member are stationary, first to fourth mounting portions linearly move on extension lines on respective ones of the sides thereof. When the first drive shaft and the A-side rotary member, the second drive shaft and the B-side rotary member, the third drive shaft and the A-side rotary member, and the fourth drive shaft and the B-side rotary member rotate by the same angle in the same direction, the first to fourth mounting portions are rotationally moved. When the linear motion is combined with the rotational motion, the first to fourth mounting portions can be moved to desired positions.07-15-2010
20100189532INLINE-TYPE WAFER CONVEYANCE DEVICE - A structure is provided in which a load lock chamber (07-29-2010
20100196124WORK TRANSFER APPARATUS - A work transfer apparatus includes a work carrying mechanism, a driving source that drives the work carrying mechanism, a sealed box that accommodates the driving source in a hermetically sealed state, and a coolant circulation path provided in the sealed box for cooling the driving source. The sealed box includes a box body with an opening, and a partition lid for closing the opening. The partition lid includes an outer plate member and an inner plate member superposed on the outer plate member. The coolant circulation path is disposed at the interface between the outer plate member and the inner plate member.08-05-2010
20100209217WORK TRANSFER APPARATUS - A work transfer apparatus includes a hand mechanism, a scissors lift mechanism, a seat, and a rotary mechanism. The hand mechanism supports and reciprocates a work. The scissors lift mechanism supports the hand mechanism, and moves the hand mechanism vertically, i.e., raises or lowers it. The seat supports the scissors lift mechanism. The rotary mechanism rotates the seat around a vertical axis. The scissors lift mechanism includes a stage on which the hand mechanism is mounted, at least one scissors link, and a lift driver.08-19-2010
20100215460INLINE-TYPE WAFER CONVEYANCE DEVICE - A structure is provided in which a load lock chamber (08-26-2010
20100226736Stacked Load-Lock Apparatus and Method for High Throughput Solar Cell Manufacturing - An apparatus with a plurality of load-lock chambers stacked having independently controlled pressures within their interior regions is provided. According to one or more embodiments, each load-lock chamber includes a pump valve connected to a pump line and a vent valve connected to a vent line to independently control the changes of pressure within the interior regions of the chambers. Methods for conveying substrates held within these chambers from the apparatus to one or more processing chambers, which may be in-line, are also provided.09-09-2010
20100239394INLINE-TYPE WAFER CONVEYANCE DEVICE - There are comprised a load chamber (09-23-2010
20100239395GATE VALVE AND SUBSTRATE-TREATING APPARATUS INCLUDING THE SAME - A substrate-treating apparatus includes: a plurality of modules disposed along a first direction, each of the plurality of modules having an inner space containable a substrate; a transfer unit transferring the substrate among the plurality of modules, the transfer unit including at least one track disposed along the first direction and at least one movable transfer chamber moving along the at least one track; and a gate valve fixed to each of the plurality of modules and combined with the at least one movable transfer chamber, wherein the at least one movable transfer chamber is atmospherically isolated from an exterior while moving.09-23-2010
20100247274SUBSTRATE EXCHANGING MECHANISM AND METHOD OF EXCHANGING SUBSTRATES - A substrate exchange mechanism and method is disclosed in which a first transferring member that has been moved into the load lock chamber is moved in a first direction of upward and downward directions, thereby transferring the first substrate between the first transferring member and the first supporting member; a second transferring member that has been moved into the load lock chamber is moved in the first direction; and a second supporting member of the load lock chamber in the first direction in at least an overlapping period of time with the second transferring member so that vertical positions of the second supporting member and the second transferring member are reversed, thereby transferring the second substrate between the second transferring member and the second supporting member.09-30-2010
20100254786DUST RESISTANT LOAD PORT APPARATUS AND MINI-ENVIRONMENT SYSTEM - In a load port apparatus, a clearance is formed between an inner circumferential surface of an opening of the chamber and an outer circumferential surface of a door. The area of the clearance between the plate and the opening portion on a plane flush with an interior side of the chamber is larger than the area of the clearance between the plate and the opening portion on a plane flush with the exterior of the chamber. A fan located at an upper side of the chamber generates a first flow of air in the downward direction. The clearance generates a second flow of air from the interior of the chamber to the exterior of the chamber. The second flow of air is generated by the difference in the area of the interior cross section of the clearance and area of the exterior cross section of the clearance.10-07-2010
20100329827LINEAR VACUUM ROBOT WITH Z MOTION AND ARTICULATED ARM - There is described apparatus and methods for transporting and processing substrates including wafers as to efficiently produce at reasonable costs improved throughput as compared to systems in use today. A linear transport chamber includes linear tracks and robot arms riding on the linear tracks to linearly transfer substrates along the sides of processing chambers for feeding substrates into a controlled atmosphere through a load lock and then along a transport chamber as a way of reaching processing chambers. A four-axis robot arm is disclosed, capable of linear translation, rotation and articulation, and z-motion.12-30-2010
20100329828VACUUM PROCESSING DEVICE, MAINTENANCE METHOD FOR VACUUM PROCESSING DEVICE, AND VACUUM PROCESSING FACTORY - A vacuum processing device includes a first processing chamber for housing a workpiece and performing vacuum processing on the workpiece, an evacuatable second processing chamber for housing a workpiece to be vacuum-processed and a workpiece having been vacuum-processed, a gate unit provided between the first and second processing chambers in such a manner that the gate unit is attachable to and detachable from the first processing chamber, a transport device for loading the workpiece to be vacuum-processed, from a loading unit to a vacuum processing unit through the gate unit and unloading the workpiece having been vacuum-processed, from the vacuum processing unit to an unloading unit through the gate unit and a movement mechanism for separating the first and second processing chambers from each other.12-30-2010
20110002759Method and apparatus for forcing gas-solid two-phase substance - A method and an apparatus for forcing a gas-solid two-phase substance are provided in which the amount of a gas returning to an ordinary-pressure side can be reduced to reduce the consumption of power necessary for gas compression. A solid raw material (a) stored at ordinary pressure is forced into a high-pressure vessel via a switch valve (01-06-2011
20110008136REDUCED CAPACITY CARRIER, TRANSPORT LOAD PORT, BUFFER SYSTEM - A load port including a frame defining a load port opening, a substrate carrier interface connected to the frame and configured to removably couple with a substrate carrier shell, a load port door configured to interface with a substrate carrier door, the load port door being movably coupled to frame and having a first position substantially blocking the load port opening, and a second position where the load port opening is substantially unblocked by the load port door, and a door coupling member without moving parts, located on the load port door configured to releasably couple with a corresponding member without moving parts on the substrate carrier door, wherein activation of the door coupling member effects coupling between the load port door and substrate carrier door and a passive release of the substrate carrier door from the substrate carrier shell.01-13-2011
20110014015SUBSTRATE TRANSFER ROBOT AND VACUUM PROCESSING APPARATUS PROVIDED WITH SAME - Provided is a substrate transfer robot which can surely eliminate adhesion, shifting, dropping and the like of a substrate at the time of transferring the substrate. A vacuum processing apparatus provided with such substrate transfer robot is also provided. The substrate transfer robot is provided with a substrate receiving section for placing the substrate; a plurality of substrate slip preventing members, which are arranged on the upper surface of the substrate receiving section at intervals and are composed of an elastic material; and a extendable/retractable arm section whereupon the substrate receiving section is arranged at the leading end. On the upper end surface of the substrate slip preventing member, a protruding section is arranged. The vacuum processing apparatus is configured as a multi-chamber vacuum processing apparatus provided with the substrate transfer robot.01-20-2011
20110027051DRIVING DEVICE AND VACUUM PROCESSING APPARATUS - A magnetic screw driving device 02-03-2011
20110027052SUBSTRATE POSITION ALIGNMENT MECHANISM, VACUUM PRECHAMBER AND SUBSTRATE PROCESSING SYSTEM HAVING SAME - A substrate position alignment mechanism performs position alignment of a substrate supported by each of one or more substrate support units in a chamber where the substrate is accommodated. Further, the substrate position alignment mechanism includes one or more position alignment members, each of which is rotated to make a contact with a side of the substrate in the chamber.02-03-2011
20110033266GATE VALVE AND SUBSTRATE PROCESSING SYSTEM USING SAME - A gate valve includes a valve body to be pressed against a peripheral surface around opening through which a processing target object is loaded and unloaded, pressed members arranged on a surface of the valve body around the opening, a main slider which slides in a direction parallel to the peripheral surface around the opening and pressing mechanisms, provided at the main slider, for pressing the respective pressed members. Each of the pressing mechanisms includes a cam having a protrusion for pressing the valve body against the peripheral surface around the opening and an inclined portion sloping downward from the protrusion. The pressing mechanisms serve to press the valve body in a direction substantially perpendicular to the peripheral surface around the opening in a state that the valve body is positioned to face the opening, so that the valve body is pressed against the peripheral surface around the opening.02-10-2011
20110033267LOCK DEVICE FOR ADDING AND REMOVING CONTAINERS TO AND FROM A VACUUM TREATMENT CHAMBER - A lock device for adding and removing containers to and from a vacuum treatment chamber, wherein the device comprises carrier plates for the containers, the plates being fastened to a revolving transport means and being transported through lock channels provided in straight sections of the transport means, achieving a sealing effect with said channels. The plates are moved past suction or ventilation openings in the lock channel. In this way, a differential pressure stage can be formed. The device can be flexibly dimensioned and cost-effectively produced.02-10-2011
20110038692SUBSTRATE PROCESSING APPARATUS - A semiconductor workpiece processing apparatus having a first chamber, a transport vehicle, and another chamber. The first chamber is capable of being isolated from an outside atmosphere. The transport vehicle is located in the first chamber and is movably supported from the first chamber for moving linearly relative to the first chamber. The transport vehicle includes a base, and an integral semiconductor workpiece transfer arm movably mounted to the base and capable of multi-access movement relative to the base. The other chamber is communicably connected to the first chamber via a closable opening of the first chamber. The opening is sized to allow the transport vehicle to transit between the first chamber and the other chamber through the opening.02-17-2011
20110038693SLUICE DEVICE AND METHOD FOR OPENING THE SLUICE DEVICE - The invention relates to a sluice device (02-17-2011
20110064545SUBSTRATE TRANSFER MECHANISM WITH PREHEATING FEATURES - Embodiments of the present invention provide apparatus and method for heating one or more substrates during transfer. One embodiment provides a robot blade assembly for supporting a substrate or a substrate carrier thereon. The robot blade assembly comprises a base plate, an induction heating assembly disposed on the base plate, and a top plate disposed above the induction heating assembly. Another embodiment provides an induction heating assembly disposed over a transfer chamber having a substrate transfer mechanism disposed therein.03-17-2011
20110076117PROCESS MODULE, SUBSTRATE PROCESSING APPARATUS, AND SUBSTRATE TRANSFERRING METHOD - A disclosed process module includes a substrate receiving part on which a substrate is placed and a process is carried out with respect to the substrate on the substrate receiving part; and a substrate transfer mechanism including plural substrate holding members, each of which can be positioned in a first position where the substrate is transferred to/from a substrate transfer apparatus provided outside the process module and a second position above the substrate receiving part, wherein each of the substrate holding members can hold the substrate.03-31-2011
20110076118SUBSTRATE TRANSFER ROBOT WITH CHAMBER AND SUBSTRATE MONITORING CAPABILITY - A method and apparatus for a transfer robot that having at least one image sensor disposed thereon is provided. The transfer robot includes a lift assembly having a first drive assembly for moving a first platform relative to a second platform in a first linear direction, an end effector assembly disposed on the second platform and movable in a second linear direction by a second drive assembly, the second linear direction being orthogonal to the first linear direction, at least one image sensor, and a lighting device associated with the at least one image sensor.03-31-2011
20110076119VACUUM PROCESSING APPARATUS - An object is to provide a vacuum processing apparatus that is capable of suppressing the costs and making control easy. Provided is a vacuum processing apparatus that includes a vacuum section (03-31-2011
20110110751VACUUM PROCESSING SYSTEM AND VACUUM PROCESSING METHOD OF SEMICONDUCTOR PROCESSING SUBSTRATE - A vacuum processing system of a semiconductor processing substrate and a vacuum processing method using the same comprises an atmospheric transfer chamber having a plurality of cassette stands for transferring a wafer, a lock chamber for storing the wafer transferred from the atmospheric transfer chamber, a first vacuum transfer chamber to which the wafer from the lock chamber is transferred, a transfer intermediate chamber connected to the first vacuum transfer chamber, a second vacuum transfer chamber connected to the transfer intermediate chamber, at least one vacuum processing chamber connected to the first vacuum transfer chamber, and two or more vacuum processing chambers connected to a rear side of the second vacuum transfer chamber, wherein the number of vacuum processing chambers connected to the first vacuum transfer chamber is smaller than the number of vacuum processing chambers connected to the second vacuum transfer chamber, or the number of use of vacuum processing chambers connected to the first vacuum transfer chamber is restricted to one.05-12-2011
20110110752VACUUM PROCESSING SYSTEM AND VACUUM PROCESSING METHOD OF SEMICONDUCTOR PROCESSING SUBSTRATE - The invention provides a vacuum processing system of a semiconductor processing substrate and a vacuum processing method using the same, comprising an atmospheric transfer chamber having a plurality of cassette stands, a lock chamber arranged on a rear side of the atmospheric transfer chamber, and a first vacuum transfer chamber connected to a rear side of the lock chamber, wherein the first vacuum transfer chamber does not have any vacuum processing chamber connected thereto and has transfer intermediate chambers connected thereto, and the transfer intermediate chambers have subsequent vacuum transfer chambers connected thereto, and wherein the wafers are transferred via the lock chamber to the first vacuum transfer chamber to be processed in each of the subsequent vacuum processing chambers, which are further transferred via any of the transfer intermediate chambers connected to the first vacuum transfer chamber to the subsequent vacuum transfer chambers, and the respective wafers transferred to the subsequent vacuum transfer chambers other than the first vacuum transfer chamber are transferred to the respective vacuum processing chambers connected to each of the vacuum processing chambers and processed therein.05-12-2011
20110123300METHOD OF ASSEMBLING SUBSTRATE TRANSFER DEVICE AND TRANSFER SYSTEM UNIT FOR THE SAME - An assembly method of assembling a substrate transfer device including: a transfer system unit forming step of fixing a robot and a substrate container retainer to a divided body which composes a part of the substrate transfer device and is formed separably on a main structural body as a residual part of the substrate transfer device, thereby forming a transfer system unit; an operation examination step of examining whether the robot fixed to the transfer system unit can operate as a part of the substrate transfer device or not; and a mounting step of mounting the transfer system unit on the main structural body of the substrate transfer device after the operation examination step.05-26-2011
20110135426VACUUM TRANSFER APPARATUS - Disclosed is a vacuum transfer apparatus, which can increase a transfer amount in a vertical direction of a transferred object and can reduce a volume required for placement of the vacuum transfer apparatus, whereby contributing to the size reduction of the vacuum transfer apparatus.06-09-2011
20110135427METHOD FOR TRANSFERRING TARGET OBJECT AND APPARATUS FOR PROCESSING TARGET OBJECT - A method, for transferring target objects in an apparatus wherein a processing chamber processes the n-number of target objects simultaneously (n being an integer not smaller than 2), and a transfer device holds at least the n+1 number of target objects, includes the steps of (a) unloading the n-number of unprocessed target objects from a load-lock chamber to a transfer chamber by using the transfer device, (b) unloading at least one of processed target objects from the processing chamber to the transfer chamber by the transfer device and (c) loading at least one of the unprocessed target objects held by the transfer device from the transfer chamber to the processing chamber. The steps (b) and (c) are repeated until all of the processed target objects in the processing chamber is exchanged with the unprocessed target objects held by the transfer device.06-09-2011
20110142572AUTO-SEQUENCING INLINE PROCESSING APPARATUS - An apparatus and method for concurrent processing of several substrates. The system employs a novel architecture which, while being linear, may autonomously sequence processing and move substrates in different directions as necessary. The system moves several substrates concurrently; however, unlike the prior art it does not utilize trays.06-16-2011
20110142573AUTO-SEQUENCING MULTI-DIRECTIONAL INLINE PROCESSING APPARATUS - An apparatus and method for concurrent processing of several substrates. The system employs a novel architecture which, while being linear, may autonomously sequence processing and move substrates in different directions as necessary. The system moves several substrates concurrently; however, unlike the prior art it does not utilize trays.06-16-2011
20110158773APPARATUS AND METHODS FOR TRANSPORTING AND PROCESSING SUBSTRATES - There is described apparatus and methods for transporting and processing substrates including wafers as to efficiently produce at reasonable costs improved throughput as compared to systems in use today. A key element is the use of a transport chamber along the sides of processing chambers for feeding substrates into a controlled atmosphere through a load lock and then along a transport chamber as a way of reaching processing chambers and then out of the controlled atmosphere following processing in the processing chambers.06-30-2011
20110188974WORK-PIECE TRANSFER SYSTEMS AND METHODS - A dual-robot transfer system including: a transfer module for transferring work-pieces into and out of a process module; a physical interface between the transfer module and a supply-and-accept system; a first robot located substantially in the transfer module for transferring work-pieces to and from the process module and a buffer station located in the transfer module, the first robot including a first top arm and a first bottom arm, the first top arm and first bottom arm substantially having a first range of motion; and a second robot located substantially in the transfer module for transferring work-pieces to and from the process module, the buffer station, and the physical interface, the second robot including a second top arm and a second bottom arm, the second top arm and second bottom arm substantially having a second range of motion which overlaps in part with the first range of motion.08-04-2011
20110206485CONVEYOR ASSEMBLY AND METHOD FOR CONVEYING A SUBSTRATE CARRIER - A conveyor assembly suitable for use in a substrate processing system includes: at least one substrate carrier having a substrate-carrying surface configured to support at least one substrate; a processing track; a return track; a drive system configured to drive the substrate carrier along the processing track and the return track; and at least one swivel unit configured to pivot the substrate carrier around a substantially horizontal axis from a first orientation into a second orientation, and/or vice versa. Also provided is a method for conveying a substrate carrier including: providing a substrate carrier; positioning the substrate carrier in a first orientation; conveying the substrate carrier along a first track; and rotating the substrate carrier around a substantially horizontal axis into a second orientation.08-25-2011
20110211936CONVEYING DEVICE AND VACUUM APPARATUS - The present invention provides a technology for holding an object to be reliably conveyed for the sake of high speed conveyance not only in a low temperature environment but also in a high temperature environment, and for reducing dust while conveying the object to be conveyed. A conveying device includes a link mechanism, which can expand and contract, has a plurality of arms to which power from a drive source is transmitted, and a mounting section which is connected to an operating tip section of the link mechanism through a drive link section and on which a substrate is mounted. The mounting section has latch sections for making contact with and latching side portions of the substrate. The drive link sections of the link mechanism are provided with cam mechanism-based pressing means. The pressing means includes cam drive surfaces which are formed on the drive link sections of the link mechanism, and a follower mechanism section having a protruded-shaped pressing section which has follower rollers being in contact with and capable of following the cam drive surfaces and is guided and moved toward the latch sections of the mounting section by movement of the follower rollers.09-01-2011
20110217148VACUUM PROCESSING APPARATUS AND PROGRAM - The present invention provides an efficient transferring control method in a vacuum processing apparatus of a linear tool in which plural vacuum robots are arranged in transferring mechanical units to which process chambers are connected and processing-target members are passed and received among the plural vacuum robots. In addition, the present invention provides a vacuum processing apparatus in which there are provided plural controlling methods, and a unit which determines whether rates of the transferring robots are to be controlled or rates of the process chambers are to be controlled on the basis of processing time of each processing-target member and switches the controlling method in accordance with a site whose rate is controlled.09-08-2011
20110229289VACUUM PROCESSING APPARATUS - The apparatus includes a load lock adapted to store the workpiece inside and to be switched between atmosphere and vacuum; vacuum transport chambers connected to the load lock and to the corresponding process chambers in a state where the load lock and each of the process chambers are isolated mutually; transfer means for transferring the workpiece between each of the process chambers and the load lock via the corresponding vacuum transport chamber; load lock valves adapted to switch between interrupt and opening at a position between the load lock and the corresponding vacuum transport chambers; process chamber valves adapted to switch between interrupt and opening at a position between the process chambers and the corresponding vacuum transport chambers; and control means for controlling timing of the opening and closing of the valves whose timings are controlled in synchronization with the transfer of the workpieces.09-22-2011
20110236159REDUCTION OF PARTICLE CONTAMINATION PRODUCED BY MOVING MECHANISMS IN A PROCESS TOOL - In various exemplary embodiments described herein, a system and related method to reduce particle contamination on substrates is disclosed. The system includes a substrate traverser mechanism having tracks to transport substrate carriers with one or more traverser ducts arranged to surround, at least partially, the tracks. The one or more ducts have slits along at least a substantial portion of a length of the tracks. A traverser exhaust fan is coupled to one end of each of the one or more traverser ducts. The fan provides sufficient volumetric airflow such that a velocity of the volumetric airflow through the slits is greater than a terminal settling velocity of a predetermined particle size. The fan draws particles less than approximately the predetermined particle size generated by the substrate traverser mechanism into the one or more traverser ducts.09-29-2011
20110299961PROCESSING SYSTEM AND METHOD OF OPERATING A PROCESSING SYSTEM - A coating system 12-08-2011
20110311339SUBSTRATE PROCESSING APPARATUS AND METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE - Reduction in cooling rate of a substrate having a lower temperature is suppressed because the substrate having a lower temperature is not affected by radiant heat of a substrate having a higher temperature while cooling a plurality of substrates in a cooling chamber. The substrate processing apparatus includes a load lock chamber configured to accommodate stacked substrates; a first transfer mechanism having a first transfer arm provided with a first end effector, and configured to transfer the substrates into/from the load lock chamber at a first side of the load lock chamber; a second transfer mechanism having a second transfer arm provided with a second end effector, and configured to transfer the substrates into/from the load lock chamber at a second side of the load lock chamber; a barrier installed between the substrates to be spaced apart from the substrates supported by a substrate support provided in the load lock chamber; and a barrier auxiliary unit installed between the substrate support and the barrier, wherein the barrier auxiliary unit is installed at places other than standby spaces of the end effectors.12-22-2011
20110318141SUBSTRATE TRANSFER EQUIPMENT AND HIGH SPEED SUBSTRATE PROCESSING SYSTEM USING THE SAME - Disclosed is an organic/inorganic composite porous film comprising: (a) a porous substrate having pores; and (b) an active layer formed by coating a surface of the substrate or a part of the pores in the substrate with a mixture of inorganic particles and a binder polymer, wherein the inorganic particles in the active layer are interconnected among themselves and are fixed by the binder polymer, and interstitial volumes among the inorganic particles form a pore structure. A method for manufacturing the same film and an electrochemical device including the same film are also disclosed. An electrochemical device comprising the organic/inorganic composite porous film shows improved safety and quality, simultaneously.12-29-2011
20110318142MINIMUM CONTACT AREA WAFER CLAMPING WITH GAS FLOW FOR RAPID WAFER COOLING - Apparatuses and methods for cooling and transferring wafers from low pressure environment to high pressure environment are provided. An apparatus may include a cooling pedestal and a set of supports for holding the wafer above the cooling pedestal. The average gap between the wafer and the cooling pedestal may be no greater than about 0.010 inches. Venting gases may be used to increase the pressure inside the apparatus during the transfer. In certain embodiment, venting gases comprise nitrogen.12-29-2011
20120003063LOADLOCK DESIGNS AND METHODS FOR USING SAME - Provided are apparatuses and methods disclosed for wafer processing. Specific embodiments include dual wafer handling systems that transfer wafers from storage cassettes to processing modules and back and aspects thereof. Stacked independent loadlocks that allow venting and pumping operations to work in parallel and may be optimized for particle reduction are provided. Also provided are annular designs for radial top down flow during loadlock vent and pumpdown.01-05-2012
20120003064VACUUM TREATMENT APPARATUS - A transport arrangement (01-05-2012
20120014768VACUUM PROCESSING APPARATUS - In a vacuum processing apparatus, a process station includes processing regions arranged in a row at intervals to perform vacuum processing on substrates, the substrates being sequentially transferred between the processing regions from upstream to downstream; a first transport unit for transferring the substrates in a first preliminary vacuum chamber to the processing region at an upstream end; a second transport unit arranged between the adjacent processing regions; and a third transport unit for transferring the substrates from the processing region at a downstream end to a second preliminary vacuum chamber. The control unit outputs a control signal such that in the transfer operations in which the substrates are respectively transferred to the subsequent downstream processing regions from the first preliminary vacuum chamber to the processing region at the downstream end, time periods of at least two transfer operations partially or totally overlap with each other.01-19-2012
20120014769STACKED PROCESS MODULES FOR A SEMICONDUCTOR HANDLING SYSTEM - Methods and systems are provided for a vacuum-based semiconductor handling system. The system may be a linear system with a four-link robotic SCARA arm for moving materials in the system. The system may include one or more vertically stacked load locks or vertically stacked process modules.01-19-2012
20120020759SEMICONDUCTOR MANUFACTURING SYSTEMS - Linear semiconductor handling systems provide more balanced processing capacity using various techniques to provide increased processing capacity to relatively slow processes. This may include use of hexagonal vacuum chambers to provide additional facets for slow process modules, use of circulating process modules to provide more processing capacity at a single facet of a vacuum chamber, or the use of wide process modules having multiple processing sites. This approach may be used, for example, to balance processing capacity in a typical process that includes plasma enhanced chemical vapor deposition steps and bevel etch steps.01-26-2012
20120027542Vacuum processor - A vacuum processor includes a first transfer vessel that is connected on the back of a lock chamber connected on the back of an atmospheric transfer vessel and has a first robot; a second transfer vessel that is arranged at the back of this first transfer vessel, connected to the first transfer vessel, and has a second robot; a repeating vessel that connects the transfer vessels, and has a storage section in which the wafer is transferred between the robots; and a processing vessel that is connected, on an almost perpendicular side, to the repeating vessel around the second transfer vessel and in which the wafer is processed at a processing chamber, wherein the first robot has two arms that are expanded and contracted to both directions across a pivot axis, and the second robot has two arms that are expanded and contracted to the same direction around the pivot axis.02-02-2012
20120027543METHOD AND DEVICE FOR INTRODUCING AND REMOVING SUBSTRATES - The invention describes a method and a device for introducing and removing substrates. Substrates (02-02-2012
20120034051LOAD PORT - A load port including a frame having an opening, a vessel receiving table that receives the wafer vessel, a door removably attached to the opening, a door opening and closing mechanism that opens and closes the door, and a closing mechanism that exerts force on the door when the door opening and closing mechanism closes the door.02-09-2012
20120039689METHODS FOR INTRODUCTION OF A REACTIVE MATERIAL INTO A VACUUM CHAMBER - A vacuum chamber assembly includes a vacuum chamber containing a reactive material, an inlet fill tube fixedly attached to the vacuum chamber, and an outlet fill tube fixedly attached to the vacuum chamber. The inlet fill tube has a first vacuum tight seal and the outlet fill tube has a second vacuum tight seal.02-16-2012
20120063869LOAD PORT APPARATUS - To prevent an overload from being imposed on a door drive mechanism when driving a door increased in weight due to upsizing so that reproducibility of a stop position of the door can be ensured, provided is a load port apparatus in which the door drive mechanism for driving the door in a direction perpendicular to an opening-portion forming plane is constituted by: a rotary cylinder capable of pivoting a cam follower from an angle of 0° to an angle of 180°; and a slider including a cam groove capable of housing the cam follower within a plane perpendicular to a rotational axis of the rotary cylinder, the cam groove extending in a vertical direction, and in which the door is supported by the slider.03-15-2012
20120076619TRANSPORT MECHANISM, TRANSPORT JIG AND TRANSPORT TRUCK - A transport mechanism that exchanges a workpiece between a processing chamber and a transport box arranged by mutually opposing openings while maintaining an airtight state, includes a processing chamber cap that freely opens/closes the opening of the processing chamber and also airtightly caps the opening and includes a recess in a side of the transport box, a guide mechanism that guides the processing chamber cap into the processing chamber, a workpiece support portion provided inside the processing chamber, a transport box cap that freely opens/closes the opening of the transport box and also airtightly caps the opening and is airtightly fitted into the recess, and a coupling portion that airtightly couples a front portion around the opening of the processing chamber and a front portion around the opening of the transport box.03-29-2012
20120093616PROCESSING THIN WAFERS - There is described a wafer processing system for thinned wafers that are easily broken during handling. The system protects against breakage during handling and provides for temperature controls during processing.04-19-2012
20120128450COAXIAL DRIVE VACUUM ROBOT - A robotic transport apparatus including a drive system including at least one harmonic motor assembly, at least one drive shaft coupled to the at least one harmonic motor assembly, at least one robotic arm mounted to the at least one drive shaft, where the robotic arm is located inside a sealed environment, and at least one atmospheric isolation seal seated on an output surface of the drive system and forming an atmospheric barrier disposed so that the at least one drive shaft extends through the atmospheric barrier into the sealed environment and the at least one harmonic motor assembly is located outside the sealed environment, wherein the robotic transport apparatus is a high capacity payload transport apparatus.05-24-2012
20120148374LINEAR SEMICONDUCTOR PROCESSING FACILITIES - Methods and systems are provided for handling materials, including materials used in semiconductor manufacturing systems. The methods and systems include linear semiconductor processing facilities for vacuum-based semiconductor processing and handling, as well as linkable or extensible semiconductor processing facilities that can be flexibly configured to meet a variety of constraints.06-14-2012
20120148375SUBSTRATE PROCESSING APPARATUS - The present invention provides an in-line type multi-chamber substrate processing apparatus which, with a simple configuration, can decrease influence of particles due to film peeling and enables installation of a number of processing chambers. In one embodiment of the present invention, a jointless arm of a transfer robot that has a substrate holding part 06-14-2012
20120155994VACUUM PROCESSING DEVICE AND VACUUM PROCESSING FACTORY - A vacuum processing device includes a first processing chamber for housing a workpiece and performing vacuum processing on the workpiece, an evacuatable second processing chamber for housing a workpiece to be vacuum-processed and a workpiece having been vacuum-processed, a gate unit provided between the first and second processing chambers so that the gate unit is attachable to and detachable from the first processing chamber, a transport device for loading the workpiece to be vacuum-processed from a loading unit to a vacuum processing unit through the gate unit, and unloading the workpiece having been vacuum-processed from the vacuum processing unit to an unloading unit through the gate unit, and a movement mechanism for separating the first and second processing chambers from each other.06-21-2012
20120163943VACUUM PROCESSING APPARATUS - The vacuum processing apparatus is comprised of two vacuum transfer vessels in which a wafer is transferred through; two vacuum process vessels connected to these vacuum transfer vessels respectively; an intermediate chamber vessel capable of storing thereinto the wafer connected between the vacuum transfer vessels; a lock chamber connected to one of the vacuum transfer vessels; and a plurality of valves disposed among the vacuum transfer vessels, the vacuum process vessels, the intermediate chamber vessel, and the lock chamber respectively, for airtightly opening/closing communications among these vessels and the chamber; in which any one of the valves disposed on both sides of the intermediate chamber vessel is closed before the valves disposed between processing chambers of the vacuum process vessels and vacuum transfer chambers of the vacuum transfer vessels is opened.06-28-2012
20120189406SUBSTRATE TRANSPORT APPARATUS WITH ACTIVE EDGE GRIPPER - In accordance with one aspect of the exemplary embodiments, a substrate transport apparatus is provided comprising a drive mechanism, a movable arm assembly connected to the drive mechanism, an end effector connected to the arm assembly. A chuck for holding a substrate is mounted on the end effector and having a movable edge gripper with a contact surface and an edge of the substrate may be gripped by actuating the movable edge gripper to engage the substrate with the contact surface. The apparatus further comprising a motion sensor for providing a signal to actuate the movable edge gripper to close and open the moveable edge gripper for capturing and releasing the substrate.07-26-2012
20120195717WORKPIECE HANDLING MODULES - A workpiece handling module including a first housing member and a second housing member pivotally movable relative to the first member forming a housing having an access side and a second side opposite the access side and side walls, a first portion of the side walls is carried by the first member and a second portion of the side walls is carried by the second member, and at least one of the first and second housing members includes at least one sealable opening for allowing ingress and egress of workpieces to and from an interior chamber formed by the first and second housing members in a closed configuration, and the second portion of the side walls adjacent the access side and carried by the second member is greater than the first portion of the side walls adjacent the access side and carried by the first member.08-02-2012
20120213614UNIVERSAL MODULAR WAFER TRANSPORT SYSTEM - The present invention is a wafer transfer system that transports individual wafers between chambers within an isolated environment. In one embodiment, a wafer is transported by a wafer shuttle that travel within a transport enclosure. The interior of the transport enclosure is isolated from the atmospheric conditions of the surrounding wafer fabrication facility. Thus, an individual wafer may be transported throughout the wafer fabrication facility without having to maintain a clean room environment for the entire facility. The wafer shuttle may be propelled by various technologies, such as, but not limited to, magnetic levitation or air bearings. The wafer shuttle may also transport more than one wafer simultaneously. The interior of the transport enclosure may also be under vacuum, gas-filled, or subject to filtered air.08-23-2012
20120213615TARGET OBJECT TRANSFER METHOD AND TARGET OBJECT PROCESSING APPARATUS - A target object transfer method overcomes the limits to productivity encounted even if a process time of various processes is shortened. In the transfer method, each of the load-lock chambers is configured to store target objects. First objects not having been processed are carried out into the load-lock chambers, and processed second objects are carried out at the same time from a plurality of processing chambers to a transfer chamber using a transfer device. The processed second objects are carried at the same time into the load-lock chambers from the transfer chamber, and the first objects not having been processed are carried out at the same time to the transfer chamber from the load-lock chambers using the transfer device, and the first object not having been processed are carried into the processing chambers at the same time from the transfer chamber.08-23-2012
20120219388SYSTEMS FOR THE TRANSFER BETWEEN A CHAMBER AND A CONTAINER - The chamber (08-30-2012
20120251271SYSTEMS AND METHODS FOR INHIBITING OXIDE GROWTH IN SUBSTRATE HANDLER VACUUM CHAMBERS - A substrate handling robot includes an arm section and a wrist portion connected to the arm section. An end effector is connected to the wrist portion and is configured to support a substrate. A housing is arranged adjacent to the end effector and includes a gas outlet that directs gas onto an exposed surface of the substrate during transport.10-04-2012
20120288347CONVEYING DEVICE AND VACUUM APPARATUS - A conveying device includes an extensible link mechanism having a plurality of arms receiving power from a drive source, a mounting section for mounting a substrate, connected to an operation tip section of the link mechanism through third left and right arms. A downstream-side pressing mechanism making contact with and pressing a side portion of the substrate toward the link mechanism in accordance with the operation of the link mechanism is provided in an area of the mounting section on the downstream side in the direction of substrate conveyance. An upstream-side pressing mechanism making contact with and pressing the side portion of the substrate in the direction of substrate conveyance in accordance with the operation of the link mechanism is provided in an area of the mounting section on the upstream side in the direction of substrate conveyance.11-15-2012
20120294697DISPOSABLE PRODUCTION LINE - The invention relates to a disposable production line. The invention relates notably to a process for manipulating, manufacturing or packaging products or devices under inert atmosphere, and/or sterile conditions and/or pyrogen free environment.11-22-2012
20120308341SUBSTRATE PROCESSING APPARATUS AND METHOD OF CONTROLLING SUBSTRATE PROCESSING APPARATUS - A substrate processing apparatus includes a conveying arm configured to convey a substrate and including an electrostatic chuck for attracting the substrate placed on the conveying arm; and a control unit configured to not apply a voltage for causing the electrostatic chuck to attract the substrate between electrodes of the electrostatic chuck when the substrate is placed on the conveying arm but the conveying arm is not moving, and to apply the voltage between the electrodes of the electrostatic chuck when the substrate is placed on the conveying arm and the conveying arm is moving.12-06-2012
20120315113SUBSTRATE HOLDER, SUBSTRATE TRANSFER APPARATUS, AND SUBSTRATE PROCESSING APPARATUS - In the substrate holder, while holding a periphery portion of a semiconductor wafer, some of protruding portions having a grass shape on a pad main body hide beneath the semiconductor wafer, and the others of the protruding portions are exposed outside the semiconductor wafer. Also, the protruding portions hiding beneath the semiconductor wafer contact a rear surface of the semiconductor wafer, and sink the semiconductor wafer to a suitable depth via gravity, thereby holding the semiconductor wafer mainly in a length direction. In addition, some of protruding portions exposed near the periphery portion of the semiconductor wafer contact a side surface of the semiconductor wafer, thereby holding the semiconductor wafer mainly in a width direction.12-13-2012
20120321417Narrow Width Loadport Mechanism for Cleanroom Material Transfer Systems - A system and method of transporting substrates includes a loadport system including a frame, an articulating arm, a mini environment and a tower substantially centered in the frame. The tower includes multiple motors, a first motor mechanically coupled to the mini environment for moving the mini environment vertically. A second motors mechanically coupled to the articulating arm for moving the articulating arm vertically. A tower enclosure is also included. The tower enclosure enclosing the motors separate from the mini environment.12-20-2012
20130004267GATE VALVE AND SUBSTRATE PROCESSING SYSTEM USING SAME - A gate valve includes a plurality of openings through which objects to be processed are loaded and unloaded; a valve body to be pressed toward the openings; a pressed portion provided to the valve body; a main slider slidable in parallel with a surface where the openings are formed; and a cam provided to the main slider and having a protrusion and an inclined portion inclined from the protrusion in a sloped shape, for pressing the pressed portion of the valve body in a state where the valve body faces the openings. The valve body has one or more slit-shaped openings serving as opening portions for opening the openings, and portions adjacent to the slit-shaped openings serving as blocking portions for blocking the openings.01-03-2013
20130028688LOAD PORT APPARATUS AND CLAMPING DEVICE TO BE USED FOR THE SAME - To suppress deformation of a portion to be clamped even when a load applied to the portion to be clamped is increased at the time of fixing a front opening unified pod (FOUP), a clamping arm pivotable between a retracting position and a clamping position about a rotational axis inclined relative to a bottom surface of the FOUP is arranged. The clamping arm is housed in a housing depression so that the clamping arm at the retracting position is situated below a surface of a mount base, and at the clamping position, protrudes from the surface of the mount base and becomes engageable with the portion to be clamped.01-31-2013
20130028689FAST SWAP DUAL SUBSTRATE TRANSPORT FOR LOAD LOCK - A load lock is provided for a semiconductor substrate processing system having a transport robot mounted therein. The load lock transport supplies substrates directly to a processing chamber without the need for a central transport robot. The load lock transport is a dual element robot designed for minimum clearance and space and operates within a matching load lock chamber of minimum volume.01-31-2013
20130058740Workpiece Transfer Apparatus - The vacuum seal unit of a workpiece transfer apparatus includes a plurality of seal rings and a cooling flow passage formed so as to circulate through inside a rotating shaft and a workpiece transfer mechanism. The seal rings adjacent to each other with a spacing therebetween, out of the plurality of seal rings, and the rotating shaft define a first space and a second space independent from each other and respectively surrounding the periphery of the rotating shaft. An end portion of the cooling flow passage communicates with the first space, and the other end of the cooling flow passage communicates with the second space. Even when the rotating shaft is rotating about the axial center, a coolant supplied to the second space can flow through the cooling flow passage and be supplied to the first space, thereby enabling cooling with high efficiency without restriction on the angle of rotation.03-07-2013
20130071208WAFER TRANSPORT SYSTEM - A substrate transport system includes a substrate cart inside a chamber and a linearly driven shuttle outside the chamber configured to levitate the substrate cart into a non contact, spaced relationship with respect to outwardly opposing sides of an interior wall of the chamber and to linearly drive the substrate cart within the chamber.03-21-2013
20130084147SEMICONDUCTOR WAFER TREATMENT SYSTEM - A wafer treatment system including a load lock chamber in communication with a process chamber. At least one cradle is provided in the load lock chamber, each cradle being adapted to receive a wafer-holding cassette. The wafers contained in the cassettes assume angularly justified positions. A multiaxial transfer unit picks up wafers from the cassettes and delivers them to the process chamber for treatment after which they are returned to the cassettes. Because all of the wafers lean in the same direction and to the same degree in their cassette slots, an end effector carried by the multiaxial transfer unit can reliably capture and release the wafers in order to consistently remove them from and place them into the cassettes.04-04-2013
20130101372METHOD AND APPARATUS FOR PROCESSING WAFER-SHAPED ARTICLES - A device for processing wafer-shaped articles comprises a closed process chamber. The closed process chamber has a side wall, a holder located within the closed process chamber adapted to receive a wafer shaped article, and a door for loading and unloading a wafer shaped article into and from the closed process chamber. The door in a first position blocks an opening in the side wall of the chamber and seals against an interior surface of the side wall of the chamber. The door is connected to an exterior of the chamber via a linkage that guides the door in a nonlinear translational movement between the first position and a second position in which the door is positioned interiorly of the chamber so as to permit loading and unloading of a wafer shaped article through the opening.04-25-2013
20130108400VACUUM PROCESSING DEVICE AND METHOD OF TRANSPORTING PROCESS SUBJECT MEMBER05-02-2013
20130108401WORKPIECE HANDLING SYSTEM AND METHODS OF WORKPIECE HANDLING05-02-2013
20130115028ROBOT SYSTEMS, APPARATUS, AND METHODS ADAPTED TO TRANSPORT DUAL SUBSTRATES IN ELECTRONIC DEVICE MANUFACTURING - Substrate transport systems and robot apparatus are described. The systems are adapted to efficiently pick or place a substrate at a destination by independently rotating an upper arm, a forearm, and dual wrist members relative to each other and a base. Methods of operating the robot apparatus are provided, as are numerous other aspects.05-09-2013
20130121792SEMICONDUCTOR MANUFACTURING PROCESS MODULE - A semiconductor handling system including a vacuum workpiece handling system having a vacuum environment therein, the vacuum workpiece handling system including at least two workpiece handling robotic facilities, a mid-entry station positioned between the at least two workpiece handling robotic facilities, the mid-entry station including vertically stacked load locks, where the at least two workpiece handling robotic facilities are configured to transfer workpieces between the vertically stacked load locks, at least one workpiece loading station connected to the vacuum handling system, and a workpiece delivery system having an internal environment different from the vacuum environment, the workpiece delivery system being configured to transport the workpieces between each of the vertically stacked load locks of the mid-entry station and the at least one workpiece loading station.05-16-2013
20130142594Methods for Transporting Wafers Between Wafer Holders and Chambers - An apparatus comprises a process chamber, and a loadlock connected to the process chamber. The loadlock is configured to have a wafer holder disposed therein. The wafer holder is configured to store a plurality of wafers, and is configured to transport the plurality of wafers away from the loadlock.06-06-2013
20130142595VACUUM PROCESSING APPARATUS AND OPERATING METHOD OF THE SAME - A vacuum processing apparatus includes a row of containers of vacuum transfer chambers connected to each other behind a lock chamber, a wafer being transferred through depressurized inside of the row of the containers of the vacuum transfer containers, an intermediate chamber disposed between the containers of the vacuum transfer chambers, a plurality of processing units including processing containers respectively connected to left or right side walls of the containers of the vacuum transfer chambers and the wafer is processed therein, and a bypass chamber which constitutes a bypass path connecting the processing units, where only either the wafer which is being transferred from the lock chamber toward one of the processing units or the wafer which was processed in one of the processing units and is being transferred toward the lock chamber is transferred through the containers of the vacuum transfer chambers.06-06-2013
20130149075HIGH THROUGHPUT LOAD LOCK FOR SOLAR WAFERS - A system for transporting substrates from an atmospheric pressure to high vacuum pressure and comprising: a rough vacuum chamber having an entry valve and an exit opening; a high vacuum chamber having an entry opening, the high vacuum chamber coupled to the rough vacuum chamber such that the exit opening and the entry opening are aligned; a valve situated between the exit opening and the entry opening; a first conveyor belt provided in the rough vacuum chamber; a second conveyor provided in the high vacuum chamber; a sensing element provided in the high vacuum chamber to enable detection of broken substrates on the second conveyor; and, a mechanism provided on the second conveyor belt enabling dumping of broken substrates onto the bottom of the high vacuum chamber.06-13-2013
20130149076FULLY-INDEPENDENT ROBOT SYSTEMS, APPARATUS, AND METHODS ADAPTED TO TRANSPORT MULTIPLE SUBSTRATES IN ELECTRONIC DEVICE MANUFACTURING - Electronic device processing systems and robot apparatus are described. The systems are adapted to efficiently pick or place a substrate at a destination by independently rotating an upper arm, a forearm, a first wrist member, and a second wrist member relative to each other through co-axial drive shafts. Methods of operating the robot apparatus are provided, as are numerous other aspects.06-13-2013
20130164101ROBOT ARM STRUCTURE AND ROBOT - An arm structure of a robot installed in a vacuum chamber kept in a depressurized state includes a first arm, a second arm, and an end effector configured to hold a workpiece. The first arm is provided with a specified drive system arranged in an inside of the first arm, and the inside of the first arm is kept in an atmospheric pressure state. The second arm has no drive system therein. A partition wall is provided near a connecting portion of the first arm and the second arm to isolate the atmospheric pressure state maintained within the first arm from the depressurized state. An airtight terminal is provided in the partition wall to electrically interconnect an atmosphere side and a vacuum side in an airtight state.06-27-2013
20130183121VACUUM PROCESSING APPARATUS - In a vacuum processing apparatus having a plurality of vacuum processing chambers at least one of which are coupled to each of a plurality of vacuum transfer chambers which are behind an atmospheric transfer chamber and have vacuum transfer robots in their interior to transfer a wafer, taking out a plurality of wafers in a cassette and transferring successively to the plurality of the vacuum processing chambers, and thereafter returning to the cassette, the wafers are controlled to be transferred to all of the vacuum processing chambers coupled to the backmost vacuum transfer chamber and thereafter a next wafer is transferred to a vacuum processing chamber which becomes possible for the next wafer to be transferred in before they are possible to be transferred out from the vacuum processing chambers coupled to the backmost vacuum transfer chamber and arranged backmost.07-18-2013
20130195584CONVEYING SYSTEM - A conveying system according to an embodiment includes a robot and a controller. The controller includes a switching unit. The robot includes an arm unit formed of a hand and a plurality of arms connected rotatably with respect to one another, and a base unit. An arm on a rear end side is connected to the base unit rotatably about a rotation axis, and the hand is rotatably connected to an arm on a front end side. The switching unit switches cylindrical coordinate control for controlling the arm unit such that a trajectory of the hand overlaps with any one of lines radiating from the rotation axis and rectangular coordinate control for controlling the arm unit such that the trajectory of the hand overlaps with none of the lines at a predetermined timing.08-01-2013
20130202387SUBSTRATE PROCESSING SYSTEM, TRANSFER MODULE, SUBSTRATE PROCESSING METHOD, AND METHOD FOR MANUFACTURING SEMICONDUCTOR ELEMENT - A substrate processing system is provided with: a first transfer unit, which extends from a loader module to a first processing chamber for processing substrates, to transfer the substrates; and a second transfer unit, which is provided below or above the first transfer unit and extends from the loader module to a second processing chamber for processing substrates, to transfer the substrates. The first processing chamber and the second processing chamber do not overlap in the vertical direction, and are disposed at positions separated from each other in a plan view. At the same time, at least a part of the first transfer unit and at least a part of the second transfer unit overlap each other in the vertical direction.08-08-2013
20130216335TRANSFER DEVICE, SUBSTRATE PROCESSING SYSTEM AND POSTURE CONTROL UNIT - A transfer device can control a posture of a holding table accurately and prevent the holding table from wobbling even when the holding table is moved at a high speed. A first posture holding link 08-22-2013
20130230369SUBSTRATE PROCESSING APPARATUS - A substrate processing apparatus including a transport chamber having an end and defining more than one substantially linear substrate transport zone where each transport zone extends longitudinally along the transport chamber between opposing walls of the transport chamber and at least one of the more than one substantially linear substrate transport zones is configured as a supply zone for enabling transport of substrates from the end and at least one of the more than one substantially linear substrate transport zones is configured as a return zone for enabling transport of substrates to the end, and at least one substrate transport located in and movably mounted to the transport chamber for transporting substrates along the more than one substantially linear substrate transport zone, where each substrate transport zone is configured to allow the at least one substrate transport to move from one transport zone to another transport zone.09-05-2013
20130230370LINEAR VACUUM ROBOT WITH Z MOTION AND ARTICULATED ARM - There is described apparatus and methods for transporting and processing substrates including wafers as to efficiently produce at reasonable costs improved throughput as compared to systems in use today. A linear transport chamber includes linear tracks and robot arms riding on the linear tracks to linearly transfer substrates along the sides of processing chambers for feeding substrates into a controlled atmosphere through a load lock and then along a transport chamber as a way of reaching processing chambers. A four-axis robot arm is disclosed, capable of linear translation, rotation and articulation, and z-motion.09-05-2013
20130243550Substrate Processing Apparatus, Method of Processing Substrate, Method of Manufacturing Semiconductor Device and Non Transitory Computer Readable Recording Medium on which Program for Performing Method of Manufacturing Semiconductor Device is Recorded - Provided are a substrate processing apparatus, a method of processing a substrate, a method of manufacturing a semiconductor device, and a non-transitory computer readable recording medium storing a program for performing the method of manufacturing the semiconductor device, that are capable of improving manufacturing throughput of the apparatus. The apparatus includes a substrate to be processed, a transfer chamber under a vacuum atmosphere, a substrate transfer unit installed at the transfer chamber and configured to transfer the substrate, at least two process chambers installed near the transfer chamber and configured to process the substrate, at least two gate valves installed between the transfer chamber and the at least two process chambers, and a control unit configured to control the substrate transfer unit and the at least two gate valves, wherein the control unit opens and closes the at least two gate valves while the substrate transfer unit transfers the substrate.09-19-2013
20130259610SYSTEM AND METHOD FOR GAPPING CONVEYED SUBSTRATES - A method for gapping substrates conveyed through a vacuum chamber is disclosed. The method may include positioning an upstream substrate outside the vacuum chamber as a downstream substrate is conveyed within the vacuum chamber, detecting a position of the downstream substrate within the vacuum chamber and conveying the upstream substrate into the vacuum chamber at a conveyance rate greater than a conveyance rate of the downstream substrate to set a gap between the downstream substrate and the upstream substrate.10-03-2013
20130266405WORK PIECE TRANSFER MECHANISMS - A work piece transfer mechanism for use in a chamber has at least one port through which a work piece may be passed along a linear work piece transfer path between a retracted location inside the chamber and an extended location outside the chamber. The chamber has a predetermined internal dimension of given axial extent in the direction of the transfer path, and the transfer mechanism includes a work piece support movable with a linear stroke. The work piece support is driven along the linear stroke by a drive lever pivotally attached to the work piece support by a pivot, and the drive lever is drivable such that the pivot is driven along a linear path to move the work piece support along the linear work piece transfer path. The linear work piece transfer path includes a portion beyond the port of axial extent greater than predetermined internal dimension.10-10-2013
20130272822TRANSFER ROBOT - A transfer robot includes a first arm having a base end portion rotatably connected to an arm base, a second arm having a base end portion rotatably connected to a tip end portion of the first arm, and a hand having a hand base rotatably connected to a tip end portion of the second arm, the hand serving to hold a substrate. The first arm includes a specified drive system arranged therein, and the second arm is driven by the first arm. A reflector plate is arranged between the first arm and the second arm and configured to upwardly reflect heat coming from the substrate held on the hand.10-17-2013
20130272823ROBOT SYSTEMS, APPARATUS, AND METHODS HAVING INDEPENDENTLY ROTATABLE WAISTS - Electronic device processing systems and robot apparatus are described. The systems and apparatus are adapted to efficiently pick or place substrates into twin chambers by having independently rotatable first and second booms, and independently rotatable first and second upper arms, wherein each upper arm has a forearm, a wrist member, and an end effector adapted to carry a substrate coupled thereto. The boom members and upper arms are driven through co-axial drive shafts in some embodiments. Co-axial and non-coaxial drive motors are disclosed. Methods of operating the robot apparatus and processing systems are provided, as are numerous other aspects.10-17-2013
20130287526SYSTEM ARCHITECTURE FOR VACUUM PROCESSING - A system for processing substrates in plasma chambers, such that all substrates transport and loading/unloading operations are performed in atmospheric environment, but processing is performed in vacuum environment. The substrates are transported throughout the system on carriers. The system's chambers are arranged linearly, such that carriers move from one chamber directly to the next. A conveyor, placed above or below the system's chambers, returns the carriers to the system's entry area after processing is completed. Loading and unloading of substrates may be performed at one side of the system, or loading can be done at the entry side and unloading at the exit side.10-31-2013
20130287527VACUUM TREATMENT APPARATUS AND A METHOD FOR MANUFACTURING - A vacuum treatment apparatus and method for manufacturing has a plurality of treatment chambers for treating workpieces, in particular silicon wafers, a transfer chamber attached to the treatment chambers communicating via respective openings and having handling zones located adjacent to each of the treatment chambers. A workpiece carrier is arranged within the transfer chamber and configured to transfer the workpieces between the handling zones, and one or more handlers for moving the workpieces between the handling zones and the treatment chambers. The transfer chamber is ring-shaped about an axis and the openings have opening substantially parallel thereto. This way, forces on the transfer chamber are redirected to a large support structure and thus, a cost-effective, light and still rigid mechanical construction can be achieved.10-31-2013
20130294870CARRIER DEVICE - There is provided a carrier device that has a linkage arm mechanism, in particular, a carrier device that cools the linkage arm mechanism and can reduce the impact of radiation heat from a work that is in a high temperature state. A carrier device is a carrier device that includes a linkage arm mechanism and a pivot shaft, and the linkage arm mechanism includes lower arms and upper arms, and one ends of which are respectively connected to the lower arms, and horizontal movement members that support a work that is connected to the other ends of the upper arms, and cooling plates are respectively arranged between the upper arms, and the horizontal movement members.11-07-2013
20130302115VACUUM PROCESSING APPARATUS - In this vacuum processing apparatus, four process modules and four load-rock modules are arranged in clusters around a two-stage conveyance vacuum chamber. In the apparatus, the entirety of the second stage of the vacuum conveyance chamber is a third vacuum conveyance area and the third vacuum conveyance area extends from the second stage to the first stage through an aperture to enter between first and second vacuum conveyance areas. A third vacuum conveyance robot has: left and right-side conveyance units, which can move straight in the depth direction in the second stage of the third vacuum conveyance area; and left and right-side conveyance units, which can move straight in the vertical direction, i.e. can move up and down, in the aperture of the vacuum conveyance area.11-14-2013
20130309045SUBSTRATE PROCESSING APPARATUS - Disclosed is a substrate processing apparatus including: a housing section configured to house a substrate; a transfer chamber that includes a plurality of airtight chambers connected to the periphery thereof, and a transfer mechanism provided therewithin, each of the plurality of airtight chambers being configured to process the substrate under an airtight state, and the transfer mechanism being configured to transfer the substrate to and from the airtight chambers; a carry-in section configured to carry the substrate into the transfer chamber via a first opening provided in the transfer chamber; and a carry-out section configured to carry out the substrate discharged from a second opening provided at a different position from that of the first opening of the transfer chamber, to the housing section, without returning the substrate to the transfer chamber.11-21-2013
20130309046DEVICE AND METHOD FOR PROCESSING OF WAFERS - The invention relates to a device for processing of substrates, especially wafers, with at least one pretreatment module, at least one aftertreatment module and at least one primary treatment module, and the pretreatment module and the aftertreatment module can be switched as a lock for the primary treatment module, and a corresponding method for processing of substrates, especially wafers.11-21-2013
20130309047SUBSTRATE RELAY APPARATUS, SUBSTRATE RELAY METHOD, AND SUBSTRATE PROCESSING APPARATUS - A substrate relay apparatus (11-21-2013
20130322990LOADPORT BRIDGE FOR SEMICONDUCTOR FABRICATION TOOLS - A wafer handling system with apparatus for transporting wafers between semiconductor fabrication tools. In one embodiment, the apparatus is a loadport bridge mechanism including an enclosure having first and second mounting ends, a docking port at each end configured and dimensioned to interface with a loadport of a semiconductor tool, and at least one wafer transport robot operable to transport a wafer between the docking ports. The wafer transport robot hands off or receives a wafer to/from a tool robot at the loadports of a first and second tool. The bridge mechanism allows one or more wafers to be transferred between loadports of different tools on an individual basis without reliance on the FAB's automated material handling system (AMHS) for bulk wafer transport inside a wafer carrier such as a FOUP or others.12-05-2013
20130336749SUBSTRATE LOADING AND UNLOADING STATION WITH BUFFER - A substrate processing apparatus having a station for loading and unloading substrates from the apparatus is provided. The station has a loading and unloading aperture, a magazine door drive for opening a substrate magazine by removing a door of a substrate magazine through the loading and unloading aperture, and a substrate magazine transport having a magazine support, the substrate magazine transport being configured to move the substrate magazine horizontally between a first position and a second position. When in the first position the substrate magazine is seated on the magazine support and communicates with the aperture and when moved to the second position the substrate magazine is offset from the first position, where the substrate magazine remains seated on the magazine support during horizontal transfer between the first and second positions and another substrate magazine is capable of being located at the first position in communication with the aperture.12-19-2013
20130343839TRANSPORT AND HANDING-OVER ARRANGEMENT FOR DISC-SHAPED SUBSTRATES, VACUUM TREATMENT INSTALLATION AND METHOD FOR MANUFACTURE TREATED SUBSTRATES - A transport and handing-over arrangement for disc shaped substrates, comprising a carrier (12-26-2013
20130343840TRANSPORT APPARATUS AND VACUUM SYSTEM - A transport apparatus that supports and moves a substrate by using a robot hand between a plurality of chambers includes a first detection unit that detects the substrate, in a first stop position of the robot hand, using a first detection position set on one surface of the substrate supported by the robot hand, and a second detection unit that detects the substrate, in a second stop position of the robot hand, using a second detection position set on the one surface of the substrate supported by the robot hand.12-26-2013
20130343841SEMICONDUCTOR MANUFACTURING SYSTEMS - Linear semiconductor handling systems provide more balanced processing capacity using various techniques to provide increased processing capacity to relatively slow processes. This may include use of hexagonal vacuum chambers to provide additional facets for slow process modules, use of circulating process modules to provide more processing capacity at a single facet of a vacuum chamber, or the use of wide process modules having multiple processing sites. This approach may be used, for example, to balance processing capacity in a typical process that includes plasma enhanced chemical vapor deposition steps and bevel etch steps.12-26-2013
20140003890TRANSFER ROBOT, ITS SUBSTRATE TRANSFER METHOD AND SUBSTRATE TRANSFER RELAY DEVICE01-02-2014
20140003891SUBSTRATE PROCESSING APPARATUS01-02-2014
20140003892GATE VALVE UNIT, SUBSTRATE PROCESSING DEVICE AND SUBSTRATE PROCESSING METHOD THEREOF01-02-2014
20140023460SLIT VALVE DOOR WITH MOVING MATING PART - Embodiments disclosed herein generally relate to a slit valve door assembly for sealing an opening in a chamber. A slit valve door that is pressed against the chamber to seal the slit valve opening moves with the chamber as the slit valve opening shrinks so that an o-ring pressed between the slit valve door and the chamber may move with the slit valve door and the chamber. Thus, less rubbing of the o-ring against the chamber may occur. With less rubbing, fewer particles may be generated and the o-ring lifetime may be extended. With a longer lifetime for the o-ring, substrate throughput may be increased.01-23-2014
20140044502VACUUM PROCESSING APPARATUS AND METHOD OF OPERATING THE SAME - In a vacuum processing apparatus including a plurality of vacuum transfer vessels arranged back and forth at the back of a lock chamber, an intermediate chamber arranged between them and capable of accommodating wafers, and processing units connected to respective vacuum transfer vessels, a wafer processed in a pre-processing vessel out of the processing units connected to the respective vacuum transfer vessels is transferred to a post-processing vessel connected to the same vacuum transfer vessel and post-processing is performed.02-13-2014
20140044503LINKED VACUUM PROCESSING TOOLS AND METHODS OF USING THE SAME - In some embodiments, a linked processing tool system is provided that includes (1) a first processing tool having at least a first transfer chamber configured to couple to a plurality of processing chambers; (2) a second processing tool having at least a second transfer chamber configured to couple to a plurality of processing chambers; (3) a third transfer chamber coupled between the first and second processing tools and configured to transfer substrates between the first and second processing tools; and (4) a single sequencer that controls substrate transfer operations between the first processing tool, the second processing tool and the third transfer chamber of the linked processing tool system. Numerous other aspects are provided.02-13-2014
20140044504COMPACT SUBSTRATE TRANSPORT SYSTEM - A substrate processing system including a load port module configured to hold at least one substrate container for storing and transporting substrates, a substrate processing chamber, an isolatable transfer chamber capable of holding an isolated atmosphere therein configured to couple the substrate processing chamber and the load port module, and a substrate transport mounted at least partially within the transfer chamber having a drive section fixed to the transfer chamber and having a SCARA arm configured to support at least one substrate, the SCARA arm being configured to transport the at least one substrate between the at least one substrate container and the processing chamber with but one touch of the at least one substrate, wherein the SCARA arm comprises a first arm link, a second arm link, and at least one end effector serially pivotally coupled to each other, where the first and second arm links have unequal lengths.02-13-2014
20140079514LOAD LOCK CHAMBER DESIGNS FOR HIGH-THROUGHPUT PROCESSING SYSTEM - Methods and apparatus for transferring one or more substrates from a first pressure environment to a second pressure environment is provided. In one embodiment, a load lock chamber is provided. The load lock chamber comprises a first circular housing, and a second circular housing disposed within and movable relative to the first circular housing, one of the first circular housing or the second circular housing comprising a plurality of discrete regions, wherein at least a portion of the plurality of discrete regions are in selective fluid communication with one of at least two vacuum pumps based on the angular position of the second circular housing relative to the first circular housing.03-20-2014
20140099176VACUUM PROCESSING APPARATUS AND VACUUM PROCESSING METHOD - A semiconductor processing apparatus is provided, which includes processing chambers coupled together by transport mechanisms having transfer robots. After having completed wafer processing in each processing chamber, the allowable value of a time permitted for a processing-completed wafer to continue residing within the processing chamber is set up. Then, a time consumed up to the completion of transportation of a wafer scheduled to be next processed is estimated, thereby controlling a transfer robot in a way such that, when the estimated transfer time exceeds the allowable value of the waiting time, priority is given to an operation for unloading a processed wafer from the processing chamber insofar as the processed wafer's transfer destination is already in its state capable of accepting such wafer.04-10-2014
20140119856SUBSTRATE TRANSPORT APPARATUS WITH ACTIVE EDGE GRIPPER - In accordance with one aspect of the exemplary embodiments, a substrate transport apparatus is provided comprising a drive mechanism, a movable arm assembly connected to the drive mechanism, an end effector connected to the arm assembly. A chuck for holding a substrate is mounted on the end effector and having a movable edge gripper with a contact surface and an edge of the substrate may be gripped by actuating the movable edge gripper to engage the substrate with the contact surface. The apparatus further comprising a motion sensor for providing a signal to actuate the movable edge gripper to close and open the moveable edge gripper for capturing and releasing the substrate.05-01-2014
20140140792ULTRA-HIGH VACUUM (UHV) WAFER PROCESSING - One or more techniques or systems for ultra-high vacuum (UHV) wafer processing are provided herein. In some embodiments, a vacuum system includes one or more cluster tools connected via one or more bridges. For example, a first cluster tool is connected to a first bridge. Additionally, a second cluster tool is connected to a second bridge. In some embodiments, the first bridge is configured to connect the second cluster tool to the first cluster tool. In some embodiments, the second cluster tool is connected to the first bridge, thus forming a ‘tunnel’. In some embodiments, the second bridge comprises one or more facets configured to enable a connection to an additional process chamber or an additional cluster tool. In this manner, a more efficient UHV environment is provided, thus enhancing a yield associated with wafer processing, for example.05-22-2014
20140154033DUAL ARM VACUUM ROBOT - A dual arm robot for a substrate processing system includes a base and a first arm having extended and retracted positions. Each of the first and second arms includes a first arm portion having one end rotatably connected to the base, a second arm portion having one end rotatably connected to another end of the first arm portion, and an end effector having one end rotatably connected to another end of the second arm portion and another end configured to support first and second substrates, respectively. When the first and second arms are arranged in the retracted position, connections between the second arm portions and the end effectors are located over or under the second and first substrates, respectively, and the first substrate is not located over or under the second substrate.06-05-2014
20140161570SUBSTRATE PROCESSING APPARATUS - A substrate processing apparatus is presented having a transport chamber defining substantially linear substrate transport paths, a linear array of substrate holding modules, each communicably connected to the chamber. The substrate transport has at least one transporter capable of holding and moving the substrate on more than one substantially linear substrate transport paths. The transport chamber having different transport tubes at least one of which is sealable at both ends of the transport tube and configured to hold an isolated atmosphere different from that of the transport tubes, each of the different transport tubes having one of the substrate transport paths located therein different from another of the transport paths located in another of the transport tubes, and being communicably connected to each other, where at least one of the transport tubes is configured to provide uninterrupted transit of the substrate transport through the transport tubes.06-12-2014
20140178156AUTOMATIC PREPARATION SYSTEM - An automatic preparation system according to an embodiment includes a case, a pressure regulator, and a plurality of robots. The case has a workspace inside thereof. The pressure regulator keeps a negative pressure inside the case relative to the outside. The robots are installed on a ceiling surface of the case and have respective arms. The robots bring the arms into cooperation to perform a preparation operation.06-26-2014
20140178157LOAD LOCK CHAMBER - A semiconductor processing tool is disclosed, the tool having a frame forming at least one chamber with an opening and having a sealing surface around a periphery of the opening, a door configured to interact with the sealing surface for sealing the opening, the door having sides perpendicular to the door sealing surface and perpendicular to a transfer plane of a substrate, and at least one drive located on the frame to a side of at least one of the sides that are substantially perpendicular to the door sealing surface and substantially perpendicular to the transfer plane of the substrate, the drive having actuators located at least partially in front of the sealing surface and the actuators being coupled to one of the sides of the door for moving the door from a sealed position. The at least one drive is located outside of a substrate transfer zone.06-26-2014
20140199138SEMICONDUCTOR WAFER HANDLING TRANSPORT - Modular wafer transport and handling facilities are combined in a variety of ways deliver greater levels of flexibility, utility, efficiency, and functionality in a vacuum semiconductor processing system. Various processing and other modules may be interconnected with tunnel-and-cart transportation systems to extend the distance and versatility of the vacuum environment. Other improvements such as bypass thermal adjusters, buffering aligners, batch processing, multifunction modules, low particle vents, cluster processing cells, and the like are incorporated to expand functionality and improve processing efficiency.07-17-2014
20140219749SUBSTRATE PROCESSING APPARATUS AND ROBOT CONTROLLER - A substrate processing apparatus includes a housing, a transfer robot, and a robot controller. The housing discharges downflow air from a bottom wall side. The transfer robot disposed inside the housing includes an elevating mechanism that vertically moves an arm section capable of holding a conveyed article. The robot controller disposed inside the housing has a cover whose two surfaces are opened, the opened two surfaces being a bottom surface and a side surface that faces a side surface on which a fan is disposed.08-07-2014
20140234057Apparatus And Methods For Moving Wafers - Provided are apparatus and methods for simultaneously swapping a processed wafer with an unprocessed wafer. A robot with a rotatable stage, a first blade assembly and second blade assembly extends both assemblies at the same time in opposite directions to pick up both a processed and unprocessed wafer. Rotation of the robot allows the unprocessed wafer to be placed in the position previously occupied by the processed wafer and vice versa.08-21-2014
20140271048High Throughput, Low Volume Clamshell Load Lock - A load lock having a reduced volume, thereby allowing faster pumping and venting, is disclosed. The load lock uses a movable bottom wall to modify the volume of the chamber to be pumped. In a first position, the movable wall is disposed so as to create a small internal volume. In a second position, the bottom wall is moved downward, allowing the workpiece to be in contact with a process chamber or an exit aperture. The bottom wall may be sealed in the first position through the use of a sealing mechanism, such as a magnetic clamp. The bottom wall may also include a workpiece holding mechanism. The top wall may be a removable cover, which is moved by an actuator. A robotic mechanism may supply workpieces to the load lock while the top wall is in the open position.09-18-2014
20140271049VACUUM PROCESSING APPARATUS AND OPERATING METHOD THEREOF - An operating ratio is improved in a vacuum processing apparatus to which a plurality of vacuum transfer chambers are connected through a vacuum transfer intermediate chamber.09-18-2014
20140271050WAFER HANDLING SYSTEMS AND METHODS - A wafer handling system may include upper and lower linked robot arms that may move a wafer along a nonlinear trajectory between chambers of a semiconductor processing system. These features may result in a smaller footprint in which the semiconductor processing system may operate, smaller transfer chambers, smaller openings in process chambers, and smaller slit valves, while maintaining high wafer throughput. In some embodiments, simultaneous fast wafer swaps between two separate chambers, such as load locks and ALD (atomic layer deposition) carousels, may be provided. Methods of wafer handling are also provided, as are other aspects.09-18-2014
20140271051SUBSTRATE PROCESSING APPARATUS AND SUBSTRATE PROCESSING METHOD - A substrate processing apparatus for processing a substrate includes a transfer device which has a drive motor and transfers a substrate, a peripheral device which has a drive motor and loads and unloads the substrate in substrate-transfer operation of the transfer device, and a control device which conducts drive control on the drive motor of the transfer device and drive control of the drive motor of the peripheral device.09-18-2014
20140271052SUBSTRATE TRANSFER DEVICE FOR SUBSTRATE PROCESSING SYSTEM - In one embodiment, a substrate transfer device is equipped with a chamber wall, a table, a linear motor transfer mechanism, an optical window, and a laser measuring instrument. The chamber wall defines a transfer space. The table is housed within the transfer space. It is possible for a substrate to be loaded on the table. The linear motor transfer mechanism moves the table within the transfer space, by a linear motor. The optical window is installed between the transfer space and the space to the outside of the transfer space. For example, the optical window is disposed so as to seal off an opening defined in the chamber wall. The laser measuring instrument irradiates a laser light through the optical window and towards the table, receives reflected light from the table, and measures the position of the table.09-18-2014
20140294541WORKPIECE TRANSFER SYSTEM - The present application provides a workpiece transfer system in which a production efficiency of a production line to be used can be improved. For example, a workpiece transfer system 10-02-2014
20140348618VACUUM ROBOT WITH LINEAR TRANSLATION CARRIAGE - A robot for use in vacuum chambers is disclosed. The robot may be mounted within an oblong transfer chamber and may be translated within the transfer chamber by an umbilical arm operating in conjunction with a linear motion guide and carriage. Motors or drive systems for the robot may be housed in atmospheric conditions, and the transfer chamber may be kept at a vacuum. The robot may include one or more arms configured for wafer handling. The robot may include one or more motors or drive systems and a multi-axial seal to realize independent extension/retraction of each arm and overall simultaneous rotation of the arm assembly.11-27-2014
20140363258LOAD PORT UNIT AND EFEM SYSTEM - A load port unit can prevent or control leakage of inert gas from an EFEM system to the outside. The load port unit used in the EFEM system is provided with an air inlet that opens on a side facing a mini-environment between the upper end of an opener driving unit and the lower end of the pod. The width of the air inlet opening is larger than the width of the opening of the pod. With this arrangement, surplus gas is sucked from the pod when gas purging is performed on the pod.12-11-2014
20150016926POD HAVING TOP COVER APERTURE FOR DETECTING SURROUNDING GAS WITHIN THE POD - A storage Pod for semiconductor substrates includes a top cover formed from a non-air permeable (NAP) material having faces including a top and a plurality of sides. A bottom base plate has a locking structure configured for providing a locking position for locking the sides, and for providing an unlocked position where the sides are detached from the bottom base plate. The top cover includes at least one aperture in the NAP material for allowing surrounding gases in an environment around the storage Pod to flow into the storage Pod to permit a gas sensor within the storage Pod to sense the target gas.01-15-2015
20150044001Reduced Footprint Substrate Transport Vacuum Platform - An apparatus has a vacuum transport chamber having first and second isolation valves coupled to first and second substrate processing locations, and third and fourth isolation valves coupled to a load lock. First and substrate transport vacuum robots are provided. The load lock is between the first and second substrate transport vacuum robots, and has an atmospheric isolation valve. The atmospheric isolation valve, the third and the fourth isolation valves are arranged in a spaced triangular relationship. The first substrate transport vacuum robot transports a processed substrate from the first processing location to the load lock and transports an unprocessed substrate from the load lock to the first processing location substantially simultaneously as the second substrate transport vacuum robot transports a different processed substrate from the second processing location to the load lock and transports a different unprocessed substrate from the load lock to the second processing location.02-12-2015
20150056043WORKPIECE ORIENTING AND CENTERING WITH A FACTORY INTERFACE - A workpiece orientation is determined by camera during transfer to a load lock, and the orientation is corrected during load lock pump down.02-26-2015
20150063954HIGH THROUGHPUT SUBSTRATE HANDLING ENDSTATION AND SEQUENCE - Systems and methods for facilitating expeditious handling and processing of semiconductor substrates with a minimal number of handling devices. Such a system may include an entry load-lock configured to transfer substrates from an atmospheric environment to a vacuum chamber, an alignment station disposed in the vacuum chamber and configured to adjust orientations of substrates, a first vacuum robot configured to move substrates from the entry load-lock to the alignment station, a process station disposed in the vacuum chamber and configured to perform a designated process on substrates, first and second exit load-locks configured to transfer substrates from the vacuum chamber to the atmospheric environment, and a second vacuum robot configured to move substrates from the alignment station to the process station and further configured to move substrates from the process station to the first exit load-lock and to the second exit load-lock in an alternating fashion.03-05-2015
20150063955LOAD PORT DEVICE AND SUBSTRATE PROCESSING APPARATUS - A load port device transfers a semiconductor wafer between a substrate processing apparatus and a container accommodating the semiconductor wafer. The load port device includes: a door for opening and closing the opening from the inside of the substrate processing apparatus, and attaching and detaching a lid of the container to and from the container while holding the lid; a door driving unit for driving the door to open and close the opening; and an elastic body disposed at a surface of the door to correct inclination of the door with respect to an opening surface of the container when the lid held by the door is attached to the container and receives a reactive force from the semiconductor wafer in the container.03-05-2015
20150071737Substrate Transport Vacuum Platform - A transport apparatus including a robot drive; an arm having a first end connected to the robot drive; and at least one end effector connected to a second end of the arm. The arm includes at least three links connected in series to form the arm. The arm is configured to be moved by the robot drive to move the at least one end effector among load locks and two or more sets of opposing process modules.03-12-2015
20150071738MANIPULATOR APPARATUS - Disclosed herein is a manipulator apparatus. The manipulator apparatus includes a jig unit provided with a manipulator. The jig unit includes a first member provided with the manipulator, a second member configured to move the first member, and a third member configured to move the second member.03-12-2015
20150078863CONVEYOR - A transfer device configured by connecting a plurality of housing-shaped transfer units in series includes: a pair of coil arrays including a plurality of coils arranged in the transfer units along an arrangement direction of the transfer units; a transfer base disposed between the coil arrays; and a plurality of fitting parts installed in one to one correspondence with the coils, the fitting parts being interposed between the coils and inner wall surfaces of the transfer units, wherein the transfer base has magnets facing the coil arrays, a plurality of through holes are formed in one to one correspondence with the coils in each of the transfer units, each of the fitting parts has a bar-shaped protrusion configured to be inserted into a corresponding one of the through holes, and a sealing member is interposed between the protrusion and the corresponding one of the through holes.03-19-2015
20150086300SYSTEM AND METHOD FOR TRANSFERRING ARTICLES BETWEEN VACUUM AND NON-VACUUM ENVIRONMENTS - A system for transferring articles between an atmospheric pressure environment and a vacuum pressure environment. The system may include a vacuum enclosure having a wall separating the atmospheric pressure environment from the vacuum pressure environment. A transfer shaft may extend through the wall from the atmospheric pressure environment to the vacuum pressure environment. The transfer shaft may include an atmospheric transfer port disposed within the atmospheric pressure environment, a vacuum transfer port disposed within the vacuum pressure environment, and an intermediate port disposed adjacent a channel in the wall. The system may further include a movable transfer carriage disposed within the transfer shaft, the transfer carriage having an access port for providing access to an interior of the transfer carriage. The system may further include an air bearing on the transfer carriage configured to expel gas for maintaining a gap between the transfer carriage and the transfer shaft.03-26-2015
20150086301METHOD AND CARRIER FOR HANDLING A SUBSTRATE - There is disclosed a carrier and method for handling and/or transport of a substrate, such as during processing of the substrate, for example, back-thinning. The carrier and method provide support for the substrate. The process is particularly suited to thinning of substrates for use in 3D integrated circuits. The carrier comprises: a contact surface with one or more recesses therein for trapping a volume when the contact surface is brought towards the substrate, the contact surface for supporting the substrate; a sealing surface at the periphery of the contact surface and offset from the contact surface; and the sealing member seating on the sealing surface and arranged to be compressed to form a seal to the substrate when a substrate is in contact with the contact surface, the seal sealing the trapped volume between the substrate and carrier.03-26-2015
20150311100LOAD PORT UNIT AND EFEM SYSTEM - To suppress dust or the like from being drawn into a delivery zone when opening a lid of a pod in an EFEM system, a load port unit in the EFEM system includes a sealing member arranged on an external space side of a base, which defines a delivery zone and has an opening portion formed therein, and a sealing member arranged on the delivery zone side. A surface of a door that closes the opening portion on an external opening side protrudes toward the external space side with respect to an imaginary plane defined by a sealing region of the sealing member on the external opening side.10-29-2015
20150321356ENCLOSURE FOR A TARGET PROCESSING MACHINE - The invention relates to an assembly for enclosing a target processing machine. The assembly comprises an enclosure and a transfer unit. The enclosure comprises a base plate for arranging said target processing machine thereon, side wall panels, which are fixed to said base plate, and a top wall panel which is fixed to said side wall panels. In addition, the enclosure comprises an access opening in a side wall of the enclosure. The transfer unit comprising one or more transfer elements for moving the transfer unit with respect to the base plate. The transfer unit further comprises a door panel which is arranged for closing the access opening, wherein the door panel is movably mounted to the transfer unit by means of a flexible coupling which allows a movement of the door panel with respect to the transfer unit at least in a direction towards and/or away from the enclosure.11-12-2015
20150348811SUBSTRATE PROCESSING SYSTEM AND METHOD OF PROCESSING SUBSTRATES - A substrate processing system is provided. The substrate processing system includes a front end module, a load module, and a process module. The modules are arranged for substrate transfer between these modules along a transport direction. At least one of the front end module, load module and process module includes a transfer device providing at least two individual tracks for supporting a substrate or substrate carrier. Two or more of the at least two tracks of the transfer device may be movable relatively to each other in a switch direction perpendicular to the transport direction. At least the first load module, the second load module and the process module may each include a dual-track transfer device.12-03-2015
20160035604Substrate Processing Device and Substrate Processing Device-Use Coupling Member - A substrate processing device to suppress contamination inside a transfer chamber, suppresses heat conduction from a processing chamber to a transfer chamber with a simple structure, and reduce cost is disclosed. The substrate processing device includes a process module maintained in a vacuum atmosphere to perform plasma process on a wafer, a transfer module maintained in a vacuum atmosphere to transfer the wafer into/out of the process module; and a coupling member connects the process module and the transfer module. The coupling member has a metal frame member interposed between a vacuum chamber of the process module and a transfer module housing part, and that separates the transfer module having a vacuum atmosphere and an exterior of the substrate processing device having an air atmosphere; and a plurality of spherical members that is in contact with an inner surface of the frame member inside the frame member.02-04-2016
20160035608SIDE OPENING UNIFIED POD - A substrate processing system including a processing section arranged to hold a processing atmosphere therein, a carrier having a shell forming an internal volume for holding at least one substrate for transport to the processing section, the shell being configured to allow the internal volume to be pumped down to a predetermined vacuum pressure that is different than an exterior atmosphere outside the substrate processing system, and a load port communicably connected to the processing section to isolate the processing atmosphere from the exterior atmosphere, the load port being configured to couple with the carrier to pump down the internal volume of the carrier and to communicably connect the carrier to the processing section, for loading the substrate into the processing section through the load port.02-04-2016
20160079104TRANSPORT MODULE FOR A SEMICONDUCTOR FABRICATION DEVICE OR COUPLING DEVICE - The invention concerns a transport module (03-17-2016
20160086835COVER OPENING/CLOSING APPARATUS AND COVER OPENING/CLOSING METHOD - Disclosed is a cover opening/closing apparatus including a plurality of placement tables provided in a vertical direction. Each placement table is configured to place thereon a substrate accommodation container including a takeout port in one side surface and a cover that covers the takeout port. The apparatus includes a cover opening/closing mechanism provided to face the cover of the substrate accommodation container placed on each of the placement tables. At least the cover opening/closing mechanism at a placement table side of an upper stage includes: a latch key driven at a time of mounting/removing the cover; a suction port configured to suck gas within a space between the cover opening/closing mechanism and the cover; a cover holding unit configured to suck and hold the cover; and a packing provided at a more outer periphery side than the latch key and the suction port.03-24-2016
20160111309EQUIPMENT FRONT END MODULE FOR TRANSFERRING WAFERS AND METHOD OF TRANSFERRING WAFERS - An EFEM useful for transferring wafers to and from wafer processing modules comprises an enclosure having a controlled environment therein bounded by a front wall, a back wall, first and second side walls, a top wall, and a bottom wall. The first side wall and the second side wall include two or more wafer load ports wherein each wafer load port is adapted to receive a FOUP. The front wall includes wafer ports configured to attach to respective load locks operable to allow a wafer to be transferred to a front wall cluster processing tool. The back wall includes a wafer port adapted to be in operational relationship with a back wall cluster processing tool. A robot in the EFEM enclosure is operable to transfer wafers through the wafer load ports, the first front wall wafer port, the second front wall wafer port, and the back wall wafer port.04-21-2016
20160111310Wafer Transfer System - A wafer transport system includes a pod that contains one or more wafers in an enclosed environment, a substrate transport surface that extends substantially along a plane, intersecting at least first and second loading/unloading locations, and a pod controller that is configured to control movement of the pod by selecting a pathway from a plurality of available pathways extending along the substrate transport surface from the first loading/unloading location to the second loading/unloading location.04-21-2016
20160121485CARRIER DEVICE - There is provided a carrier device that has a linkage arm mechanism, in particular, a carrier device that cools the linkage arm mechanism and can reduce the impact of radiation heat from a work that is in a high temperature state. A carrier device is a carrier device that includes a linkage arm mechanism and a pivot shaft, and the linkage arm mechanism includes lower arms and upper arms, and one ends of which are respectively connected to the lower arms, and horizontal movement members that support a work that is connected to the other ends of the upper arms, and cooling plates are respectively arranged between the upper arms, and the horizontal movement members.05-05-2016
20160133494SUBSTRATE TRANSFER CHAMBER - Embodiments of substrate transfer chambers are provided herein. In some embodiments, a substrate transfer chamber includes a body having an interior volume, wherein a bottom portion of the body includes a first opening; an adapter plate coupled to the bottom portion of the body to couple the substrate transfer chamber to a load lock chamber of a substrate processing system; wherein the adapter plate includes a second opening aligned with the first opening to fluidly couple the interior volume with an inner volume of the load lock chamber; a cassette support disposed in the interior volume to support a substrate cassette; and a lift actuator coupled to the cassette support to lower or raise the substrate cassette into or out of the load lock chamber.05-12-2016
20160137427LOAD LOCK SYSTEM AND METHOD FOR TRANSFERRING SUBSTRATES IN A LITHOGRAPHY SYSTEM - The present invention relates to an apparatus and a method for transferring substrates into and from a vacuum chamber in a lithography apparatus. The load lock system comprises: a load lock chamber provided with an opening for allowing passage of a substrate in and out of the load lock chamber, and a transfer apparatus comprising a sub-frame at least partially arranged in the load lock chamber, an arm which is, with a proximal end thereof, connected to the sub-frame, and a substrate receiving unit which is connected to a distal end of the arm. The arm comprises at least three hinging arm parts, wherein a first and a second arm part are hingedly connected to the sub-frame with a proximal end thereof. A third arm part is hingedly connected to the distal ends of the first and second arm parts. The arm parts are arranged to form a four-bar linkage.05-19-2016
20160141190EQUIPMENT PLATFORM SYSTEM AND WAFER TRANSFER METHOD THEREOF - An equipment platform system and a wafer transfer method used to a wafer processing is provided. The equipment platform system comprises: a working platform, each side of the working platform is used to mount process chamber; a top-loading wafer device fixed on the top surface of working platform, the top-loading wafer device includes: a cassette or FOUP loading unit, a wafer loading unit installed disposed opposite the cassette or FOUP loading unit, the wafer loading unit has an inside cavity; a central robot, located between the cassette or FOUP loading unit and the wafer loading unit; a loading gate used to open or close the inside cavity; a wafer tray, which is in the inside cavity; a shutoff gate, which is at the bottom of the inside cavity, used to open or close the internal of the working platform; there is an opening at the top of the working platform, the opening is located at the lower part of the inside cavity, and disposed opposite the shutoff gate, the shutoff gate can seal the opening. The equipment platform system of the invention can decrease the floor space, increase the space efficiency, and the wafer transfer efficiency.05-19-2016
20160163570SYSTEM AND METHOD FOR FORMING A SEALED CHAMBER - According to an embodiment of the invention, there is provided a system, comprising: a first chamber; a second chamber; a chuck; a movement system; wherein the first chamber comprises: a first element that has a first surface; a first chamber housing that comprises a second surface; wherein the first surface and the second surface come into proximity with each other at a first interface; a supporting element for supporting the chuck when the chuck is positioned within the first chamber; and a first dynamic seal formed at the first interface and is arranged to seal the first chamber from the movement system; wherein the second chamber comprises: a second chamber housing; a movement system that is arranged to introduce movement between (a) the first chamber housing and (b) the first element and the chuck; and a movement control element for mechanically coupling the first element to the movement system.06-09-2016
20160181135SYSTEM AND METHOD FOR MOVING WORKPIECES BETWEEN MULTIPLE VACUUM ENVIRONMENTS06-23-2016
20160376099CONTAINER HANDLING SYSTEM WITH STERILE ROOM AND LIQUID DISCHARGE FROM SAID STERILE ROOM AND METHOD OF HANDLING CONTAINERS - System for handling containers having a movable carrier on which at least one handling station for handling the containers is arranged, wherein the handling station is transported along a predetermined transport path, and wherein the system has a clean room inside which the containers are handled, wherein this clean room is delimited relative to an unsterile environment by at least one wall. According to the invention at least one wall of the clean room is at least in sections inclined relative to a horizontal plane in such a way that a liquid can be led out of the clean room along this wall.12-29-2016
20160379855STORAGE UNIT, TRANSFER APPARATUS, AND SUBSTRATE PROCESSING SYSTEM - A storage unit of an embodiment includes a container, a rectifying plate, and an exhaust duct. The container provides a first space for storing a plurality of substrates therein, and a second space behind the first space. The rectifying plate is provided between the first and second spaces. The exhaust duct communicates with the second space. The rectifying plate has an effective region facing the first space. The effective region includes a first region and a second region. The first region faces a center of the first space. The second region extends on one side or both sides of the first region. In the first region, a plurality of through holes are formed to be distributed over the first region. The second region has a conductance lower than a conductance of the first region.12-29-2016
20220139729LOAD LOCK DEVICE - A load lock device includes a load lock chamber including a first conveyance port connected to a transfer chamber connected to a reduced-pressure processing device, and a second conveyance port connected to a loader chamber; a substrate holder configured to hold a substrate in the load lock chamber; a driving mechanism arranged below the load lock chamber to move the substrate holder up and down and connected to the substrate holder via a connecting member; an extension chamber extended from a lower portion of the load lock chamber to a side; and a pump arranged below the extension chamber and configured to discharge a gas in the load lock chamber via the extension chamber. The extension chamber includes a bottom surface with an opening at a position deviated from a vertically lower position of the substrate holder, and the pump is connected to the opening.05-05-2022
20220139757WAFER FRAME SORTER AND STOCKER - A wafer sorting and stoking system provides automated storage and retrieval of wafer frames carrying semiconductor wafers. A wafer frame cassette is received at a transfer port from a transfer system. A robot arm retrieves the wafer frames from the cassette and stores each wafer frame in a respective storage slot in one of a plurality of storage towers. The storage location of each wafer frame is recorded. Each wafer frame can be selectively retrieved and loaded into a wafer frame cassette by the robot arm for further processing.05-05-2022
20220139761LOAD LOCK DEVICE - A load lock device includes a load lock chamber, and a substrate holding structure configured to hold a substrate in the load lock chamber, wherein the substrate holding structure includes a facing surface facing the substrate, and is configured to allow a gas to flow through a space between the substrate and the facing surface, and in a state in which the substrate is held by the substrate holding structure, a distance between the substrate and a portion located inside an outer edge of the facing surface is larger than a distance between the substrate and the outer edge of the facing surface.05-05-2022

Patent applications in class APPARATUS FOR MOVING MATERIAL BETWEEN ZONES HAVING DIFFERENT PRESSURES AND INHIBITING CHANGE IN PRESSURE GRADIENT THEREBETWEEN

Patent applications in all subclasses APPARATUS FOR MOVING MATERIAL BETWEEN ZONES HAVING DIFFERENT PRESSURES AND INHIBITING CHANGE IN PRESSURE GRADIENT THEREBETWEEN

Website © 2025 Advameg, Inc.