Class / Patent application number | Description | Number of patent applications / Date published |
118723000 | Radio frequency antenna or radio frequency inductive coil discharge means | 36 |
20080202422 | Plasma gun - Provided is a plasma gun including: a cathode unit generating plasma; and a return electrode unit which ejects plasma generated in the cathode unit toward a target and returns electrons reflected from the target to a power source. The return electrode unit includes a frame, an insulating tube, and a gas flow unit. The frame includes a plasma outlet. The insulating tube electrically insulates the surface of the plasma outlet. The gas flow unit is formed to eject gases toward a target side end of the insulating tube. | 08-28-2008 |
20080210167 | IMPURITY INTRODUCING APPARATUS AND IMPURITY INTRODUCING METHOD - It is an object to prevent functions expected originally from being unexhibited when impurities to be introduced into a solid sample are mixed with each other, and to implement plasma doping with high precision. In order to distinguish impurities which may be mixed from impurities which should not be mixed, first of all, an impurity introducing mechanism of a core is first distinguished. In order to avoid a mixture of the impurities in very small amounts, a mechanism for delivering a semiconductor substrate to be treated and a mechanism for removing a resin material to be formed on the semiconductor substrate are used exclusively. | 09-04-2008 |
20080216745 | Arc Suppression - An arc suppression arrangement suppresses arcs in a gas discharge device that is operated with an alternating voltage from a power supply. The arc suppression arrangement includes an arc suppression device and an arc identification device that controls the arc suppression device. The arc suppression device includes at least one controllable resistor that is connected in series in an electrical line that extends from an alternating voltage source to an electrode of the gas discharge device. An arc can thereby be prevented from being provided with energy. | 09-11-2008 |
20080216746 | Method of manufacturing semiconductor device - A method of manufacturing a semiconductor device bakes a first semiconductor substrate on which a sacrifice film is formed in a reaction chamber to preliminarily coat an inner wall of the reaction chamber with a component of a gas generated by the sacrifice film, and bakes a second semiconductor substrate on which a predetermined film including the same component as that of the sacrifice film is formed in the preliminarily coated reaction chamber, while irradiating electron beams on the predetermined film to change quality of the predetermined film. | 09-11-2008 |
20080216747 | Coating Installation And Gas Piping - A coating installation coating installation includes a process chamber and a gas line system for supplying a gas into the process chamber. The gas line system has at least one feed opening for feeding gases into the gas line system and outlet openings for letting the gas out of the gas line system. Lines are each arranged between the feed opening(s) and the outlet openings. The flow resistance of the lines between the at least one feed opening and the outlet openings is essentially equally large. The gas line system has at least one branch point at which a first line section opens into at least three second line sections connected to the first line section. The first and second line sections are arranged in different levels and branch out like a tree structure. The line sections may be milled as a recess and/or depression in plates. | 09-11-2008 |
20080216748 | METHOD AND APPARATUS FOR FORMING DEPOSITED FILM - A method of forming a deposited film according to the present invention includes: introducing a starting gas into a discharge space in a reaction vessel; and applying electric power to generate discharge to decompose the starting gas, wherein, when a self-bias voltage value which is generated at an electrode applied with first electric power reaches a preset threshold, second electric power higher than the first electric power is applied to the electrode to change the self-bias voltage value to another self-bias voltage value larger in absolute value than the threshold, and the deposited film is formed. | 09-11-2008 |
20080236494 | PLASMA PROCESSING APPARATUS - A plasma processing apparatus, comprising: a processing chamber arranged within a vacuum vessel; a sample table arranged within the processing chamber on which a sample to be processed is placed; electric field supplying means for supplying an electric field to form plasma within the processing chamber; a plate member formed of a dielectric material for constituting a ceiling plane of the processing chamber and transmitting the electric field; a cover member formed of a dielectric material for constituting a part of a side wall for the entire circumference of the processing chamber, facing the plasma, and propagating the electric field radiated from the plate member; and a conductive member internally arranged for almost the entire circumference of the cover member. | 10-02-2008 |
20080257263 | COOLING SHIELD FOR SUBSTRATE PROCESSING CHAMBER - A process kit comprises an upper shield to encircle a sputtering target in a substrate processing chamber, to reduce deposition of process deposits on the chamber components and the overhanging edge of the substrate. The shield described is of unitary construction with a top ring, support ledge and cylindrical band having a plurality of steps. | 10-23-2008 |
20080282981 | Method and system for forming thin films - An object of the present invention is to form a thin film reproducibly in a process for forming the thin film on the inner wall surface facing a space formed in a substrate by plasma CVD. A thin film | 11-20-2008 |
20080282982 | APPARATUS AND METHOD FOR DEPOSITION OVER LARGE AREA SUBSTRATES - The present invention generally relates to an inductively coupled plasma apparatus. When depositing utilizing a plasma generated from a showerhead, the plasma may not be evenly distributed to the edge of the substrate. By inductively coupling plasma to the chamber in an area corresponding to the chamber walls, the plasma distribution within the chamber may be evenly distributed and deposition upon the substrate may be substantially even. By vaporizing the processing gas prior to entry into the processing chamber, the plasma may also be even and thus contribute to an even deposition on the substrate. | 11-20-2008 |
20080302303 | METHODS AND APPARATUS FOR DEPOSITING A UNIFORM SILICON FILM WITH FLOW GRADIENT DESIGNS - Methods and apparatus having a flow gradient created from a gas distribution plate are provided. In one embodiment, the method and apparatus are particularly useful for, but not limited to, depositing a silicon film for solar cell applications. The apparatus for depositing a uniform film for solar cell applications includes a processing chamber, and a quadrilateral gas distribution plate disposed in the processing chamber and having at least four corners separated by four sides. The gas distribution plate further includes a first plurality of chokes formed through the gas distribution plate, the first plurality of chokes located in the corners, and a second plurality of chokes formed through the gas distribution plate, the second plurality of chokes located along the sides of the gas distribution plate between the corner regions, wherein the first plurality of chokes have a greater flow resistance than that of the second plurality of chokes. | 12-11-2008 |
20080314318 | Plasma processing apparatus and method thereof - Disclosed is a plasma processing apparatus and a method thereof. A plasma processing apparatus includes a chamber for processing a semiconductor substrate by generating plasma, upper and lower electrodes installed in the chamber, a high frequency power supply for supplying high frequency power to the upper and lower electrodes, and a phase controller adjusting a phase difference of the high frequency power supplied to the upper and lower electrodes. | 12-25-2008 |
20090000551 | METHODS AND APPARATUS FOR DEPOSITING A UNIFORM SILICON FILM WITH FLOW GRADIENT DESIGNS - Methods and apparatus having a flow gradient created from a gas distribution plate are provided. In one embodiment, the method and apparatus are particularly useful for, but not limited to, depositing a silicon film for solar cell applications. The apparatus for depositing a uniform film for solar cell applications includes a processing chamber, and a quadrilateral gas distribution plate disposed in the processing chamber and having at least four corners separated by four sides. The gas distribution plate further includes a first plurality of chokes formed through the gas distribution plate, the first plurality of chokes located in the corners, and a second plurality of chokes formed through the gas distribution plate, the second plurality of chokes located along the sides of the gas distribution plate between the corner regions, wherein the first plurality of chokes have a greater flow resistance than that of the second plurality of chokes. | 01-01-2009 |
20090031953 | CHEMICAL VAPOR DEPOSITION OF HIGH QUALITY FLOW-LIKE SILICON DIOXIDE USING A SILICON CONTAINING PRECURSOR AND ATOMIC OXYGEN - Methods of depositing a silicon oxide layer on a substrate are described. The methods may include the steps of providing a substrate to a deposition chamber, generating an atomic oxygen precursor outside the deposition chamber, and introducing the atomic oxygen precursor into the chamber. The methods may also include introducing a silicon precursor to the deposition chamber, where the silicon precursor and the atomic oxygen precursor are first mixed in the chamber. The silicon precursor and the atomic oxygen precursor react to form the silicon oxide layer on the substrate, and the deposited silicon oxide layer may be annealed. Systems to deposit a silicon oxide layer on a substrate are also described. | 02-05-2009 |
20090044754 | SUCTION DEVICE FOR PLASMA COATING CHAMBER - A device is disclosed for supporting a plasma-enhanced coating process. The device is disposed in the vicinity of a plasma and/or a substrate to be coated and/or an electrode provided for plasma generation. The device at least partially surrounds or limits a side or a plane of the plasma area or a plane in which the substrate or a carrying element carrying the substrate can be arranged, or of one of the electrodes or parts. The device comprises a cavity or a suction channel with one or several suction openings through which a gaseous medium can be suctioned off. | 02-19-2009 |
20090056629 | Cathode liner with wafer edge gas injection in a plasma reactor chamber - The disclosure concerns a wafer support for use in a plasma reactor chamber, in which the wafer support has a wafer edge gas injector adjacent and surrounding the wafer edge. | 03-05-2009 |
20090064933 | FILM COATING SYSTEM AND ISOLATING DEVICE THEREOF - A film coating system for coating an object includes a working station and an isolating device. The object is disposed on the working station, and the isolating device is utilized to isolate the object. The isolating device includes a body generating a first power, a first working fluid, a second working fluid, a first guiding portion and a second guiding portion. The first guiding portion guides the first working fluid to pass through the body, thereby forming a first working region to coat the object thereon. The second guiding portion guides the second working fluid excited by the first power of the body to pass through the body, thereby forming a second working region to separate the first working region from the object. | 03-12-2009 |
20090064934 | SOURCE GAS FLOW PATH CONTROL IN PECVD SYSTEM TO CONTROL A BY-PRODUCT FILM DEPOSITION ON INSIDE CHAMBER - The present invention generally comprises a method and an apparatus for guiding the flow of processing gases away from chamber walls and slit valve opening. By controlling the flow path of the process gases within a processing chamber, undesirable deposition upon chamber walls and within slit valve openings may be reduced. By reducing deposition in slit valve openings, flaking may be reduced. By reducing deposition on chamber walls, the time between chamber cleaning may be increased. Thus, guiding the flow of processing gases within the processing chamber may increase substrate throughput. | 03-12-2009 |
20090071405 | Substrate Processing Apparatus - Disclosed is a substrate processing apparatus, comprising a processing chamber to accommodate one or more substrates, a gas supply section to supply processing gas into the processing chamber, a gas discharge section to discharge the processing gas from the processing chamber, at least a pair of electrodes provided inside the heating section to plasma-excite the processing gas, a protection container made of dielectric to air-tightly accommodate the electrodes, an electricity-receiving section which is electrically connected to the electrodes and which is accommodated in the protection container, and an electricity-feeding section to which high frequency electric power is applied and which is provided near the electricity-receiving section in a state in which at least a wall of the protection container is interposed between the electricity-receiving section and the electricity-feeding section, wherein electric power is supplied from the electricity-feeding section to the electricity-receiving section by electromagnetism coupling. | 03-19-2009 |
20090095222 | MULTI-GAS SPIRAL CHANNEL SHOWERHEAD - A method and apparatus that may be utilized for chemical vapor deposition and/or hydride vapor phase epitaxial (HVPE) deposition are provided. In one embodiment, a metal organic chemical vapor deposition (MOCVD) process is used to deposit a Group III-nitride film on a plurality of substrates. A Group III precursor, such as trimethyl gallium, trimethyl aluminum or trimethyl indium and a nitrogen-containing precursor, such as ammonia, are delivered to a plurality of spiral channels which isolate the precursor gases. The precursor gases are injected into a mixing channel where the gases are mixed before entering a processing volume containing the substrates. | 04-16-2009 |
20090101070 | Member for a Plasma Processing Apparatus and Method of Manufacturing the Same - A member for a plasma processing apparatus, which is excellent in film-formability, durability, and reliability, is provided. | 04-23-2009 |
20090126634 | PLASMA PROCESSING APPARATUS - A plasma processing apparatus includes a processing chamber; a first electrode for mounting thereon a target substrate in the processing chamber; a second electrode which faces the first electrode obliquely or in parallel thereto to form a high frequency discharging capacitor; a processing gas supply unit for supplying a processing gas to a processing space in the processing chamber; a first high frequency power supply for applying a first high frequency power to at least one of the first and the second electrode to generate a plasma by injecting the processing gas into the processing space; and an electrode position varying mechanism for varying a position of the second electrode in a predetermined direction to vary a capacitance of the capacitor. The apparatus further includes a dielectric partition wall for separating the processing space from an electrode moving space surrounding the second electrode and the electrode position varying mechanism. | 05-21-2009 |
20090133631 | COATING DEVICE AND METHOD OF PRODUCING AN ELECTRODE ASSEMBLY - An electrode assembly | 05-28-2009 |
20090151638 | PLASMA PROCESSING APPARATUS - A plasma processing apparatus includes: a lift mechanism which, in a process vessel, moves down a stage to a standby position when plasma processing is not performed and moves up the stage to a processing position when the plasma processing is performed; a holding member detachably holding a mask which is to cover an outer peripheral edge portion of the substrate, between the standby position and the processing position; and a positioning mechanism positioning the mask on the stage, wherein: the mask is held while being horizontally movable without being positioned by the holding member; and when the stage is moved up from the standby position toward the processing position, the mask is transferred from the holding member onto the stage, and the mask is positioned on the stage by the positioning mechanism. | 06-18-2009 |
20090165715 | VAPOR DEPOSITION REACTOR - A vapor deposition reactor has a configuration where a substrate or a vapor deposition reactor moves in a non-contact state with each other to allow the substrate to pass by the reactor and an injection unit and an exhaust unit are installed as a basic module of the reactor for receiving a precursor or a reactant and for receiving and pumping a purge gas, respectively. With the use of a small-size inlet for the reactor, homogeneous film properties are obtained, the deposition efficiency of precursors is improved, and an amount of time required for a purge/pumping process can be reduced. In addition, since the reactor itself is configured to reflect each step of ALD, it does not need a valve. Moreover, the reactor makes it easier for users to apply remote plasma, use super high frequencies including microwave, and UV irradiation. | 07-02-2009 |
20090165716 | Method and system for plasma enhanced chemical vapor deposition - Configurations for processing substrates are disclosed, where the substrates or workpieces may be used for manufacturing solar panels. According to one aspect of the present invention, a configuration includes a plurality of slender electrodes, and an injection panel including a plurality of holes. Each of the holes is provided to correspond to one of the slender electrodes with an opening. As a result, a type of chemical is injected through the opening when the electrode and a base are applied with a RF source. | 07-02-2009 |
20090178618 | MULTILAYERED BORON NITRIDE/SILICON NITRIDE FIBER COATINGS - A composite is described which has particular utility in the formation of components for gas turbine engines. The composite broadly comprises a substrate having a surface and at least one layer of a BN/Si | 07-16-2009 |
20090241836 | SUBSTRATE STAGE OF SUBSTRATE PROCESSING APPARATUS AND SUBSTRATE PROCESSING APPARATUS - Provided is a substrate processing apparatus including a partitioned susceptor and configured to heat a substrate uniformly for improving process quality and yield. The substrate stage comprises a plurality of susceptor segments embedded with heating units, a substrate stage unit comprising the susceptor segments arranged in a flat configuration to define a substrate placement surface, and a uniform heat part mounted at the substrate placement surface. | 10-01-2009 |
20090260570 | OXYGEN ION IMPLANTATION EQUIPMENT - In oxygen ion implantation equipment, a chamber has a bottom wall on one end face thereof and is open in the other end face thereof. A wafer holder rotatably holding a plurality of wafers on the same circumference of a circle is housed in the chamber. Inside a cap closing an opening of the chamber while making the chamber airtight with a sealing member, a coolant passage is formed near the sealing member. A plurality of lamp heaters are disposed so as to extend in the direction of the tangent to the circumference of the cap and align parallel to the direction of the radius of the cap, in such a way as to face one wafer held by the wafer holder. When the plurality of lamp heaters are divided into inner lamps located on the inner side of the cap in the direction of the radius thereof and outer lamps located on the outer side of the cap in the direction of the radius thereof, the amount of heat applied to the wafer per unit time by the outer lamps is made larger than the amount of heat applied to the wafer per unit time by the inner lamps. | 10-22-2009 |
20090266299 | LOW PROFILE PROCESS KIT - Embodiments of process kits for substrate supports of semiconductor substrate process chambers are provided herein. In some embodiments, a process kit for a semiconductor process chamber may include an annular body being substantially horizontal and having an inner and an outer edge, and an upper and a lower surface; an inner lip disposed proximate the inner edge and extending vertically from the upper surface; and an outer lip disposed proximate the outer edge and on the lower surface, and having a shape conforming to a surface of the substrate support pedestal. In some embodiments, a process kit for a semiconductor process chamber my include an annular body having an inner and an outer edge, and having an upper and lower surface, the upper surface disposed at a downward angle of between about 5-65 degrees in an radially outward direction from the inner edge toward the outer edge. | 10-29-2009 |
20090272322 | FILM DEPOSITING APPARATUS - A film depositing apparatus comprises: a transport unit that transports a substrate along a predetermined transport path; a first film deposition compartment that is provided with a first film depositing unit for forming an organic layer on a surface of the substrate; a second film deposition compartment that is disposed downstream of the first film deposition compartment in the transport path and which is provided with a second film depositing unit for forming an inorganic layer on top of the organic layer; and an evacuating unit that reduces the pressures within the first film deposition compartment and the second film deposition compartment. | 11-05-2009 |
20090283039 | ROBUST OUTLET PLUMBING FOR HIGH POWER FLOW REMOTE PLASMA SOURCE - The present invention generally includes a coupling between components. When igniting a plasma remote from a processing chamber, the reactive gas ions may travel to the processing chamber through numerous components. The reactive gas ions may be quite hot and cause the various components to become very hot and thus, the seals between apparatus components may fail. Therefore, it may be beneficial to cool any metallic components through which the reactive gas ions may travel. However, at the interface between the cooled metallic component and a ceramic component, the ceramic component may experience a temperature gradient sufficient to crack the ceramic material due to the heat of the reactive gas ions and the coolness of the metallic component. Therefore, extending a flange of the metallic component into the ceramic component may lessen the temperature gradient at the interface and reduce cracking of the ceramic component. | 11-19-2009 |
20090308318 | APPARATUS AND METHOD FOR HYBRID CHEMICAL PROCESSING - In one embodiment, an apparatus for performing an atomic layer deposition (ALD) process is provided which includes a chamber body containing a substrate support, a lid assembly attached to the chamber body, a remote plasma system (RPS) in fluid communication with the reaction zone, a centralized expanding conduit extending through the lid assembly and expanding radially outwards, a first gas delivery sub-assembly configured to deliver a first process gas, and a second gas delivery sub-assembly configured to deliver a second process gas into the centralized expanding conduit. The first gas delivery sub-assembly contains an annular channel encircling and in fluid communication with the centralized expanding conduit, wherein the annular channel is adapted to deliver the first process gas through a plurality of passageways and nozzles and into the centralized expanding conduit. The second gas delivery sub-assembly contains a gas inlet in fluid communication to the centralized expanding conduit. | 12-17-2009 |
20090314206 | Sheet Plasma Film-Forming Apparatus - A sheet plasma film forming apparatus ( | 12-24-2009 |
20090314207 | APPARATUS FOR PRODUCING POLYCRYSTALLINE SILICON - An apparatus for producing polycrystalline silicon which heats a silicon seed rod in a reactor to which a raw material gas is supplied, and deposits polycrystalline silicon on the surface of the silicon seed rod, includes an electrode extending in a vertical direction to hold the silicon seed rod, an electrode holder having a cooling flow passage circulating a cooling medium formed therein, and inserted into a through-hole formed in a bottom plate of the reactor to hold the electrode, and an annular insulating material arranged between an inner peripheral surface of the through-hole and an outer peripheral surface of the electrode holder to electrically insulate the bottom plate and the electrode holder from each other. | 12-24-2009 |
20090314208 | PEDESTAL HEATER FOR LOW TEMPERATURE PECVD APPLICATION - A method and apparatus for providing power to a heated support pedestal is provided. In one embodiment, a process kit is described. The process kit includes a hollow shaft made of a conductive material coupled to a substrate support at one end and a base assembly at an opposing end, the base assembly adapted to couple to a power box disposed on a semiconductor processing tool. In one embodiment, the base assembly comprises at least one exposed electrical connector disposed in an insert made of a dielectric material, such as a plastic resin. | 12-24-2009 |
20100006031 | GAS DISTRIBUTION PLATE AND SUBSTRATE TREATING APPARATUS INCLUDING THE SAME - A gas distribution plate that is installed in a chamber providing a reaction space and supplies a reaction gas onto a substrate placed on a substrate placing plate, wherein the gas distribution plate includes: first and second surfaces opposing to each other, wherein the second surface faces the substrate placing plate and has a recess shape; and a plurality of injection holes each including: an inflow portion that extends from the first surface toward the second surface; a diffusing portion that extends from the second surface toward the first surface; and an orifice portion between the inflow portion and the diffusing portion, wherein the plurality of inflow portions of the plurality of injection holes decrease in gas path from edge to middle of the gas distribution plate, and wherein the plurality of diffusing portions of the plurality of injection holes have substantially the same gas path. | 01-14-2010 |
20100012033 | Sheet Plasma Film Forming Apparatus - A sheet plasma film forming apparatus includes: a pressure reducing container; a plasma gun; an anode; plasma flowing means; a sheet plasma converting chamber as part of the pressure reducing container; a pair of permanent magnets which forms a sheet-shaped plasma; and a film forming chamber as a part of the pressure reducing container. The pressure reducing container includes first and second bottle neck portions that are openings of the film forming chamber formed such that the plasma flows from the sheet plasma converting chamber through the first bottle neck portion to the film forming chamber, and the flown sheet-shaped plasma flows through the second bottle neck portion to the anode. In the thickness direction of the sheet-shaped plasma, a size of the first and second bottle neck portions is smaller than an internal size of the film forming chamber. | 01-21-2010 |
20100012034 | Process And Apparatus For Depositing Semiconductor Layers Using Two Process Gases, One Of Which is Preconditioned - A method and device for depositing at least one layer, particularly a semiconductor layer, onto at least one substrate, which is situated inside a process chamber of a reactor while being supported by a substrate holder, is provided. The layer includes of at least two material components provided in a fixed stoichiometric ratio, which are each introduced into the reactor in the form of a first and a second reaction gas, and a portion of the decomposition products form the layer, whereby the supply of the first reaction gas, which has a low thermal activation energy, determines the growth rate of the layer, and the second reaction gas, which has a high thermal activation energy, is supplied in excess and is preconditioned, in particular, by an independent supply of energy. | 01-21-2010 |
20100037823 | SHOWERHEAD AND SHADOW FRAME - The present invention generally relates to a gas distribution showerhead and a shadow frame for an apparatus. By extending the corners of the gas distribution showerhead the electrode area may be expanded relative to the anode and thus, uniform film properties may be obtained. Additionally, the expanded corners of the gas distribution showerhead may have gas passages extending therethrough. In one embodiment, hollow cathode cavities may be present on the bottom surface of the showerhead without permitting gas to pass therethrough. The shadow frame in the apparatus may also have its corner areas extended out to enlarge the anode in the corner areas of the substrate being processed and thus, may lead to deposition of a material on the substrate having substantially uniform properties. | 02-18-2010 |
20100037824 | Plasma Reactor Having Injector - A plasma reactor includes a plasma generator configured to spray plasma, and an injector located adjacent to the plasma generator and configured to inject a precursor to the plasma sprayed from the plasma injector. The injector includes a platform having an opening, at least one injection hole formed in the platform to inject the precursor to the opening, and a channel formed in the platform to connect with the at least one injection hole to carry the precursor. The plasma reactor may allow supply of the plasma together with the precursor. In case corona plasma is used where a vacuum state is not needed, a wider process window may be ensured. | 02-18-2010 |
20100083902 | Plasma generating device - A plasma generating device is disclosed, which generates plasma by supplying a bias RF power in the initial state in an inductive coupled plasma (ICP) system. Especially, an insulator which insulates a ground member from a susceptor supplied with the bias RF power is separated into at least two pieces such that the thermal expansion of the insulator can be generated similarly to adjoining parts. | 04-08-2010 |
20100089321 | GENERATION AND DISTRIBUTION OF A FLUORINE GAS - Molecular fluorine may be generated and distributed on-site at a fabrication facility. A molecular fluorine generator may come in a variety of sizes to fit better the needs of the particular fabrication facility. The generator may service one process tool, a plurality of process tool along a process bay, the entire fabrication facility, or nearly any other configuration within the facility. The process can obviate the need and inherent risks with transporting or handling gas cylinders. The process can be used in conjunction with a cleaning or fabrication operation used in the electronics fabrication industry. | 04-15-2010 |
20100089322 | PLASMA COATING SYSTEM FOR ACCOMMODATING SUBSTRATES OF DIFFERENT SHAPES - A plasma coating system includes at least one coating station with a first side and a second side defining a pathway with at least one bend. The coating station also includes a first plasma arc that provides a plasma jet directed towards a substrate. The first plasma arc is positioned on either the first side or the second side of the bend. | 04-15-2010 |
20100132614 | FILM DEPOSITION APPARATUS - A film deposition apparatus includes a rotary table having a substrate placement area to support a substrate, a vacuum container including a container and a top panel, an open-and-close mechanism configured to open and close the top panel, reactant gas nozzles disposed through and supported by an outer wall of the container to be situated at different angular positions with respect to a rotation center of the rotary table to face areas in which the substrate placement area passes, the reactant gas nozzles having gas discharge ports arranged in radial directions to supply respective reactant gases to the wafer thereby to form respective process areas, a discharge gas supply unit situated at an angular position between the process areas to supply purge gas to form an isolation area that isolates atmospheres of the process areas from each other, and an exhaustion unit configured to exhaust atmosphere inside the vacuum container. | 06-03-2010 |
20100147219 | HIGH TEMPERATURE AND HIGH VOLTAGE ELECTRODE ASSEMBLY DESIGN - A chemical vapor deposition apparatus is disclosed. The chemical vapor deposition apparatus comprises a chamber having a base plate, a chamber wall, a gas inlet and a gas outlet. The base plate has holes therethrough. A plurality of electrodes extend through the holes of the base plate. The plurality of electrodes are capable of being attached to a power source. At least two of the plurality of electrodes are capable of being electrically coupled to a silicon rod positioned in the chamber. An electrical isolation bushing can be positioned between each of the plurality of electrodes and the base plate. The electrical isolation bushing comprises a sleeve portion surrounding a portion of the electrodes that extends through the base plate and a collar portion surrounding the holes at a surface of the base plate. In some instances, the collar portion can comprise a different material than the sleeve portion. In some instances, an isolation layer can be employed in addition to the isolation bushing, the isolation layer surrounding the holes at the surface of the base plate. In some instances, the collar portion and the sleeve portion are both ceramic. | 06-17-2010 |
20100162954 | Integrated facility and process chamber for substrate processing - In accordance with some embodiments described herein, a process module facility is provided, comprising: at least one process chamber carried in frame, a subfloor adjacent the process module, a stationary pump and electrical box positioned atop the subfloor; and gas control lines and vacuum exhaust lines housed within the subfloor and coupled the process chamber. The process module facility may be integrated with a larger system for processing substrates which includes two or more process module facilities, a substrate handling robot, a load lock chamber, and a transverse substrate handler. The transverse substrate handler includes mobile transverse chambers configured to convey substrates to process modules, wherein each mobile transverse chamber is configured to maintain a specified gas condition during the conveyance of the substrates. The transverse substrate handler further includes a rail for supporting the mobile transverse chambers, wherein the rail is positioned adjacent to entry of the process modules, and drive systems for moving the mobile transverse chambers on the rail. | 07-01-2010 |
20100162955 | Systems and methods for substrate processing - In accordance with some embodiments described herein, a system for processing substrates includes two or more process modules, a substrate handling robot, a load lock chamber, and a transverse substrate handler. The transverse substrate handler includes mobile transverse chambers configured to convey substrates to process modules, wherein each mobile transverse chamber is configured to maintain a specified gas condition during the conveyance of the substrates. The transverse substrate handler further includes a rail for supporting the mobile transverse chambers, wherein the rail is positioned adjacent to entry of the process modules, and drive systems for moving the mobile transverse chambers on the rail. | 07-01-2010 |
20100170442 | REMOTE PLASMA APPARATUS FOR PROCESSING SUBSTRATE WITH TWO TYPES OF GASES - In a plasma CVD apparatus, a plate formed with a plurality of perforated holes is arranged to separate a plasma generation region and a processing region. The aperture ratio of the perforated holes to the plate is not greater than five percent. Plasma including radicals and excited species is generated from an oxygen (O | 07-08-2010 |
20100175622 | SUBSTRATE PROCESSING APPARATUS - A substrate processing apparatus includes a chamber defining an inner space where a process is carried out with respect to a substrate, a support member disposed in the chamber for supporting the substrate, and a guide tube disposed above the support member for guiding plasma generated in the inner space to the substrate on the support member. The guide tube is configured in the shape of a cylinder having a sectional shape substantially corresponding to the shape of the substrate, and the guide tube discharges the plasma introduced through one end thereof to the support member through the other end thereof. The chamber includes a process chamber in which the support member is disposed and a generation chamber disposed above the process chamber. The process is carried out by the plasma in the process chamber, and the plasma is generated by a coil in the generation chamber. | 07-15-2010 |
20100180820 | APPARATUS FOR TREATING POWDER - This invention provides an apparatus for treating powder that can enhance the efficiency of powder stirring by a simple mechanism and can uniformly coat all the powder surfaces with a metal catalyst and the like. The apparatus | 07-22-2010 |
20100186672 | Plasma processing apparatus - A plasma processing apparatus composed of a processing chamber in a vacuum vessel to which a gas is fed to form a plasma, a sample stage in which a channel for a heat exchange medium is formed, beams for supporting the sample stage in the horizontal direction, a cylindrical space at atmospheric pressure formed below the channel in the sample stage, coupling paths for communicating the inner wall of the cylindrical space with the exterior of the vacuum vessel, a piping conduit for medium formed in the coupling path, a drive mechanism to drive pins for a wafer, and metal blocks covering junctions between the piping conduits for medium and the sample stage, whereby a gas at high temperature is supplied to between the metal blocks and is exhausted through the coupling path. | 07-29-2010 |
20100192857 | VACUUM PROCESSING APPARATUS - A vacuum processing apparatus includes a member having a gas passage formed in a center between the pressure adjusting valve of an exhaust system and a turbo-molecular pump, and a particle dispersion prevention unit having plural stationary blades formed to be tilted in a direction opposite the direction of the rotary blade of the turbo-molecular pump on the outer circumference of the member. | 08-05-2010 |
20100206232 | MACHINE FOR THE PLASMA TREATMENT OF CONTAINERS, COMPRISING OFFSET DEPRESSURIZATION/PRESSURIZATION CIRCUITS | 08-19-2010 |
20100212592 | VACUUM PROCESSING APPARATUS - An annular groove ( | 08-26-2010 |
20100224129 | SYSTEM AND METHOD FOR SURFACE TREATMENT AND BARRIER COATING OF FIBERS FOR IN SITU CNT GROWTH - A system for synthesizing carbon nanotubes (CNT) on a fiber material includes a surface treatment system adapted to modify the surface of the fiber material to receive a barrier coating upon which carbon nanotubes are to be grown, a barrier coating application system downstream of the surface treatment system adapted to apply the barrier coating to the treated fiber material surface, and a barrier coating curing system downstream of the barrier coating application system for partially curing the applied barrier coating to enhance reception of CNT growth catalyst nanoparticles. | 09-09-2010 |
20100236479 | PLASMA DEPOSITION APPARATUS - Apparatus ( | 09-23-2010 |
20100269753 | METHOD AND APPARATUS FOR TREATING A GAS STREAM - Apparatus is described for treating a gas stream. The apparatus comprises a gas passage ( | 10-28-2010 |
20100282168 | PLASMA PROCESSING APPARATUS, HEATING DEVICE FOR PLASMA PROCESSING APPARATUS, AND PLASMA PROCESSING METHOD - To provide a plasma processing apparatus having relatively a reduced manufacturing cost, and excellent in a heating efficiency and a cooling efficiency. | 11-11-2010 |
20100282169 | SUBSTRATE-SUPPORTING DEVICE, AND A SUBSTRATE-PROCESSING DEVICE HAVING THE SAME - A substrate support apparatus includes an upper plate supporting a substrate, a lower plate disposed under the upper plate, an insulating member interposed between the upper plate and the lower plate, an electrode interposed between the upper plate and the insulating member to direct plasma onto the substrate supported by the upper plate, and a heater interposed between the insulating member and the lower plate to heat the substrate supported by the upper plate. The insulating member includes a material having a volume resistance greater than or equal to about 10 | 11-11-2010 |
20100288196 | Apparatus for forming a flexible nanostructured material for photovoltaic panels - Provided is an apparatus for manufacturing a composite material consisting of a flexible substrate and a multilayer nanostructured PV-active film supported by the substrate. The apparatus comprises a sealable chamber, the cylindrical inner wall of which is used as a support for a flexible substrate. The chamber contains a rotating crucible having a recess in its center and flat margins. The apparatus is provided with a power laser capable of generating an annular beam focused on the aforementioned flat surface. In operation, a nanoparticle-containing colloidal solution is supplied to the crucible. During rotation, the solution moves to the flat margins where it forms a thin layer that is evaporated by means of the annular laser beam. As the solution evaporates, the nanoparticles, which remain on the surface, fly out by inertia from the edges of the crucible to the flexible substrate. The deposition process can be controlled so that the deposited layers form a PV-active film. | 11-18-2010 |
20100288197 | ANODIZED SHOWERHEAD - Embodiments disclosed herein generally relate to an apparatus having an anodized gas distribution showerhead. In large area, parallel plate RF processing chambers, mastering the RF return path can be challenging. Arcing is a frequent problem encountered in RF processing chambers. To reduce arcing in RF processing chambers, straps may be coupled to the susceptor to shorten the RF return path, a ceramic or insulating or anodized shadow frame may be coupled to the susceptor during processing, and an anodized coating may be deposited onto the edge of the showerhead that is nearest the chamber walls. The anodized coating may reduce arcing between the showerhead and the chamber walls and therefore enhance film properties and increase deposition rate. | 11-18-2010 |
20100294199 | CVD APPARATUS FOR IMPROVED FILM THICKNESS NON-UNIFORMITY AND PARTICLE PERFORMANCE - Embodiments of the invention provide improved apparatus for depositing layers on substrates, such as by chemical vapor deposition (CVD). The inventive apparatus disclosed herein may advantageously facilitate one or more of depositing films having reduced film thickness non-uniformity within a given process chamber, improved particle performance (e.g., reduced particles on films formed in the process chamber), chamber-to-chamber performance matching amongst a plurality of process chambers, and improved process chamber serviceability. | 11-25-2010 |
20100300358 | APPARATUS FOR PRODUCING SINGLE-WALL CARBON NANOTUBES - There is provided an apparatus for producing single-wall carbon nanotubes. The apparatus comprises a plasma torch having a plasma tube adapted to receive an inert gas and form an inert gas plasma; a feeder adapted to direct a carbon-containing substance and a metal catalyst towards said inert gas plasma so that the carbon-containing substance and the metal catalyst contact said inert gas plasma downstream of where said inert gas is introduced in said plasma tube, to thereby form a plasma comprising atoms or molecules of carbon and the atoms of said metal; and a condenser for condensing the atoms or molecules of carbon and the atoms of said metal to form single-wall carbon nanotubes. | 12-02-2010 |
20100319621 | PLASMA PROCESSING APPARATUS AND PLASMA PROCESSING METHOD - A plasma processing apparatus includes a chamber providing an interior space where a process is performed upon a target; and a plasma generating unit generating an electric field in the interior space to generate plasma from a source gas supplied to the interior space. The plasma generating unit includes an upper source disposed substantially parallel to an upper surface of the chamber, an upper generator connected to the upper source to supply a first current to the upper source, a lateral source surrounding a lateral side of the chamber, and a lateral generator connected to the lateral source to supply a second current to the lateral source. The plasma generating unit further includes an upper matcher disposed between the upper generator and the upper source, and a lower matcher disposed between the lateral generator and the lateral source. | 12-23-2010 |
20110000433 | PLASMA, UV AND ION/NEUTRAL ASSISTED ALD OR CVD IN A BATCH TOOL - A batch processing chamber includes a chamber housing, a substrate boat for containing a batch of substrates in a process region, and an excitation assembly for exciting species of a processing gas. The excitation assembly is positioned within the chamber housing and may include plasma, UV, or ion assistance. | 01-06-2011 |
20110023781 | DEVICE FOR THE PLASMA TREATMENT OF WORKPIECES - The device serves for the plasma treatment of workpieces. The workpiece is placed in the chamber of a treatment station which can at least partially be evacuated. The plasma chamber is defined by a chamber bottom, a chamber cover, as well as a lateral chamber wall, and has a positionable gas lance. The gas lance is constructed at least partially of a dielectric. | 02-03-2011 |
20110030617 | PLASMA SYSTEM - System and technique for plasma enhanced chemical deposition (PECVD) wherein selective surfaces of tubular substrates may be treated to deposit thin films of a desired matter, wherein one of the electrodes employed in the plasma system is conformed by the substrate or workpiece without the need of bulky plasma reactors. | 02-10-2011 |
20110048326 | FILM FORMATION APPARATUS FOR SEMICONDUCTOR PROCESS - A film formation apparatus for a semiconductor process for forming a thin film on a target object by use of first and second reactive gases includes a vacuum container, an exhaust system, a rotary table configured to place the target object thereon, a rotating mechanism configured to rotate the rotary table, and a temperature adjusting mechanism configured to set the target object to a temperature at which the first reactive gas is condensed. Inside the vacuum container, a first reactive gas supply section configured to adsorb a condensed substance of the first reactive gas onto the target object, a vaporizing section configured to partly vaporize the condensed substance, and a second reactive gas supply section configured to cause the second reactive gas to react with the condensed substance are disposed in this order in a rotational direction of the rotary table. | 03-03-2011 |
20110056433 | Device for forming diamond film - A device for forming diamond films includes a reactor chamber, a supporter, a vacuum pump, at least one hot filament, a first electrode and a second electrode. The supporter, the vacuum pump, the at least on hot filament, and the first and second electrodes are received in the reactor chamber. The reactor chamber includes an inlet and an outlet. The vacuum pump is connected with the rector chamber via the inlet. The hot filament includes at least one carbon nanotube wire. The carbon nanotube wire includes a plurality of carbon nanotubes. | 03-10-2011 |
20110056434 | HEAT TREATMENT APPARATUS - Provided is a heat treatment apparatus in which the temperature of an insulator heated by an induction current can be kept low and a susceptor can be efficiently heated. The heat treatment apparatus is provided for growing silicon carbide single crystal films or silicon carbide polycrystal films on a plurality of silicon carbide substrates. The heat treatment apparatus comprises a coil installed around an outside of a reaction tube to generate a magnetic field, a susceptor installed in the reaction tube and configured to be heated by an induction current, and an insulator installed between the susceptor and the reaction tube. The insulator is divided into parts in a circumferential direction, and an insulating material is inserted between the divided parts of the insulator. | 03-10-2011 |
20110056435 | PLASMA CVD APPARATUS, METHOD FOR MANUFACTURING MICROCRYSTALLINE SEMICONDUCTOR LAYER, AND METHOD FOR MANUFACTURING THIN FILM TRANSISTOR - As an electrode area of a plasma CVD apparatus is enlarged, influence of the surface standing wave remarkably appears, and there is a problem in that in-plane uniformity of quality and a thickness of a thin film formed over a glass substrate is degraded. Two or more high-frequency electric powers with different frequencies are supplied to an electrode for producing glow discharge plasma in a reaction chamber. With glow discharge plasma produced by supplying the high-frequency electric powers with different frequencies, a semiconductor thin film or an insulating thin film is formed. High-frequency electric powers with different frequencies (different wavelengths), which are superimposed on each other, are applied to an electrode in a plasma CVD apparatus, so that increase in plasma density and uniformity for preventing effect of surface standing wave of plasma are attained. | 03-10-2011 |
20110094446 | THIN-FILM SOLAR CELL MANUFACTURING APPARATUS - A thin-film solar cell manufacturing apparatus, includes: a film formation space in which a substrate is disposed so that a film formation face of the substrate is substantially parallel to a direction of gravitational force, and in which a desired film is formed on the film formation face by a CVD method; a cathode unit including cathodes to which a voltage is applied, and two or more power feeding points, the cathodes being disposed at both sides of the cathode unit; and an anode distantly disposed so as to face the cathodes that are disposed at both sides of the cathode unit. | 04-28-2011 |
20110114021 | PLANAR ANTENNA MEMBER AND PLASMA PROCESSING APPARATUS INCLUDING THE SAME - The present invention is a planar antenna member configured to introduce electromagnetic waves generated by an electromagnetic-wave generating source into a processing vessel of a plasma processing apparatus, the planar antenna member comprising: a base member of a circular plate shape, made of a conductive material; and a plurality of through-holes formed in the base member of a circular plate shape, the through-holes being configured to radiate the electromagnetic waves; wherein: the through-holes include a plurality of first through-holes which are arranged on a circumference of a circle whose center corresponds to a center of the planar antenna member, and a plurality of second through-holes which are arranged concentrically with the circle outside the first through-holes; a ratio L | 05-19-2011 |
20110120377 | REMOTE PLASMA PROCESSING OF INTERFACE SURFACES - Embodiments related to the cleaning of interface surfaces in a semiconductor wafer fabrication process via remote plasma processing are disclosed herein. For example, in one disclosed embodiment, a semiconductor processing apparatus comprises a processing chamber, a load lock coupled to the processing chamber via a transfer port, a wafer pedestal disposed in the load lock and configured to support a wafer in the load lock, a remote plasma source configured to provide a remote plasma to the load lock, and an ion filter disposed between the remote plasma source and the wafer pedestal. | 05-26-2011 |
20110146577 | SHOWERHEAD WITH INSULATED CORNER REGIONS - Embodiments of the present invention generally relate to a gas distribution showerhead having insulated corner regions to reduce arcing and improve deposition uniformity control. In one embodiment, the gas distribution showerhead is formed of a conductive material with material from the corner regions removed. Corner members formed substantially in the shape of the removed portion of corner regions are attached to the conductive showerhead. The corner members may be made of a material having electrical insulating properties, such as a ceramic or insulating polymer. | 06-23-2011 |
20110155060 | Method And Apparatus To Apply Surface Release Coating For Imprint Mold - A surface coating apparatus for preparing a work piece having a working surface for imprint lithography, wherein the work piece comprises either a mold or a substrate. The apparatus includes a vacuum chamber and a generator to produce chemical reaction radicals for cleaning the working surface. The generator may be located inside said vacuum chamber and connected to an inner surface of said vacuum chamber or external to the vacuum chamber and connected thereto via suitable couplings. A fixture within the vacuum chamber is configured to hold the work piece with the working surface accessible by the chemical reaction radicals, and a means is provided for depositing a molecular layer of surfactant on the working surface inside the vacuum chamber. | 06-30-2011 |
20110168094 | PLASMA FILM FORMING APPARATUS - The present invention relates to a plasma film forming apparatus. In the plasma film forming apparatus, a flow control jig is disposed between a plasma nozzle and a film formation region of a substrate. The flow control jig has a plasma supply path, a raw material supply path, a film formation joined path formed by combining the plasma supply path and the raw material supply path, an exhaust path for discharging a plasma discharge gas and an unreacted raw material transported from the film formation region, and a recovery path for returning the unreacted raw material in the exhaust path to the plasma supply path. | 07-14-2011 |
20110174220 | Device for Plasma-Assisted Coating of the Inner Side of Tubular Components - A device for plasma-assisted coating the inner side of tubular components by a high frequency magnetic field. At least two receiving bodies accommodating a tubular component by the ends, supporting and sealing the inside of the tubular component, an inflatable seal on the receiving body that is arranged about the outer side and/or the front side of a tubular component, the seal sealing the transition between the receiving body and the tubular component in relation to the surroundings, a high frequency circuit for producing a high frequency magnetic field, a coil of the high frequency circuit; the windings being coaxial to the longitudinal axis of said device. The longitudinal axis is a straight line extending through both receiving bodies, and a drive for the coil and/or the receiving body, the drive displacing the coil in relation to the receiving bodies or the receiving body in relation to the coil. | 07-21-2011 |
20110174221 | Surface processing apparatus - This surface processing apparatus has a reactor in which plasma is generated and a substrate whose surface is to be processed by the plasma is arranged, and a magnet plate for creating a point-cusp magnetic field distributed in an inner space of the reactor, in which the plasma is generated. The magnet plate has a plurality of magnets. These magnets are arranged by a honeycomb lattice structure in a circular plane facing in parallel a surface of the substrate. One magnetic pole end face of each of magnets is arranged at a position of each of the lattice points forming hexagonal shapes on the circular plane. The polarities of the magnetic pole end faces of two adjoining magnets are arranged to become opposite alternately. The magnet plate may be provided with a plurality of magnets arranged by a lattice structure forming a square and the magnetic force (coercive force) of some of the magnets arranged at the outermost region is reduced. Thereby, the periodicity of the point-cusp magnetic field in the inside space is maintained as much as possible even at the peripheral edge and the asymmetry of the distribution of the magnetic field at the region where the periodicity is disturbed at the peripheral edge is reduced. | 07-21-2011 |
20110185972 | BALANCING RF BRIDGE ASSEMBLY - Embodiments disclosed herein generally relate to a PECVD apparatus. When the RF power source is coupled to the electrode at multiple locations, the current and voltage may be different at the multiple locations. In order to ensure that both the current and voltage are substantially identical at the multiple locations, an RF bridge assembly may be coupled between the multiple locations at a location just before connection to the electrode. The RF bridge assembly substantially equalizes the voltage distribution and current distribution between multiple locations. Therefore, a substantially identical current and voltage is applied to the electrode at the multiple locations. | 08-04-2011 |
20110197815 | METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE, FILM DEPOSITION METHOD, AND FILM DEPOSITION APPARATUS - An object is to provide a film deposition apparatus in which the amount of leakage from the outside of the chamber to the inside of the chamber is reduced. Even if leakage occurs from the outside of the chamber to the inside of the chamber, oxygen and nitrogen included in an atmosphere that surrounds the outer wall of the chamber are reduced as much as possible and the atmosphere is filled with a noble gas or hydrogen, whereby the inside of the chamber is kept cleaner at 1/100 or less, preferably, 1/1000 or less of oxygen concentration and nitrogen concentration than those in the air. Since the space with high airtightness is provided adjacent to the outside of the chamber, the chamber is covered with a bag and a high-purity argon gas is supplied to the bag. | 08-18-2011 |
20110220027 | MULTI-NOZZLE TUBULAR PLASMA DEPOSITION BURNER FOR PRODUCING PREFORMS AS SEMI-FINISHED PRODUCTS FOR OPTICAL FIBERS - The invention relates to a multi-nozzle, tubular plasma deposition burner ( | 09-15-2011 |
20110247558 | COATING HOLDER AND COATING DEVICE HAVING SAME - A coating holder for holding a plurality of workpieces includes a rotating shaft, a first driving member, a plurality of hanging arms, a plurality of supporting trays, and a plurality of second driving members. The first driving member is configured for driving the rotating shaft to rotate. The hanging arms extend from the rotating shaft. Each hanging arm includes a free end distal from the rotating shaft. The supporting trays are configured for holding the workpieces. The second driving members are fixed in the respective free ends and are connected to the respective supporting trays. The second driving members are configured for driving the supporting trays to rotate. | 10-13-2011 |
20110247559 | GAS DISTRIBUTION SHOWER MODULE AND FILM DEPOSITION APPARATUS - A gas distribution shower module and a film deposition apparatus are provided. The gas distribution shower module includes a first distributor, a second distributor, a third distributor and a fourth distributor. The second distributor is under the first distributor, the third distributor is under the second distributor, the fourth distributor is under the third distributor, and a distance is between the fourth distributor and the third distributor. The third distributor is divided into an inner region and an outer region, and an area ratio of the inner region to the outer region is from 1:1 to 1:5. Furthermore, the third distributor has a plurality of gas holes in the inner region and the outer region, and an area ratio of the gas holes in the inner region to the gas holes in the outer region is from 1:1 to 1:5. | 10-13-2011 |
20110253047 | System and Method for Improved Thin Film Deposition - A system and method for improved thin film deposition is described. One embodiment includes a perimeter mask for thin film deposition, the perimeter mask comprising an at least one mask surface, the at least one mask surface comprising a mask edge, wherein the mask edge is configured to be positioned proximate to a deposition surface; and wherein the at least one mask surface undercuts away from the mask edge. In various embodiments, the perimeter mask may comprise a bezel shape, a parabolic-curve shape, or a segmented curve shape. In some embodiments, the perimeter mask may partially surround a deposition source, such as a heated-pocket deposition source, a PECVD deposition source, or a sputter deposition source. The perimeter mask can assist in achieving more uniform layers of deposition material and improve processing by containing deposition material and reducing cleaning time. In addition, the perimeter mask itself may be heated in order to prevent deposition on the mask itself and further reduce off-time required for cleaning. | 10-20-2011 |
20110253048 | WAFER HOLDER AND METHOD OF HOLDING A WAFER - A wafer holder including a wafer stage and a wafer stage outer-ring surrounding the wafer stage wherein the wafer stage has a diameter smaller than the diameter of a wafer loaded on the wafer stage, the wafer stage outer-ring has an inner diameter at the upper side of the outer-ring which is larger than the diameter of the wafer loaded on the wafer stage, and the upper surface of the outer-ring lies above the upper surface of the wafer loaded on the wafer stage. | 10-20-2011 |
20110290184 | POLY SILICON DEPOSITION DEVICE - Provided is a poly silicon deposition device, which includes an electrode part, a silicon core rod part, a silicon core rod heating part, a gas supply pipe, and a gas injection part. The electrode part includes a first electrode and a second electrode which are disposed in a bottom of a reactor including a gas inlet for introducing source gas, a gas outlet for discharging gas, and a heating material inlet for introducing a heating material, and are spaced a predetermined distance from each other. The silicon core rod part receives electric current from the first electrode and transmits the electric current to the second electrode to generate heat. The silicon core rod heating part is spaced a predetermined distance from the silicon core rod part and surrounds the silicon core rod part and includes a heater receiving the heating material introduced through the heating material inlet of the reactor. The gas supply pipe is disposed between the heater and the silicon core rod part to supply the source gas introduced through the gas inlet of the reactor, to the silicon core rod part. The gas injection part includes a plurality of nozzles disposed in a surface of the gas supply pipe to discharge the source gas to the silicon core rod part. | 12-01-2011 |
20110297088 | THIN EDGE CARRIER RING - A PECVD deposition chamber with a circular pedestal with a recessed portion in the outer top surface of the pedestal. A PECVD deposition chamber with a circular wafer carrier ring with a recessed portion in the outer top surface of the wafer carrier ring. | 12-08-2011 |
20110297089 | Method For Forming Thin Film And Apparatus Therefor - A plurality of antenna elements, each of which has first and second linear conductors whose first ends are electrically interconnected are formed. The antenna elements are arranged in plane in such a way that the first and second linear conductors are alternated and separated from one another at regular intervals, thereby forming one or more array antennas which are disposed in a chamber. The second ends of the first linear conductors are connected to a high-frequency power supply, and the second ends of the second linear conductors are connected to ground. A plurality of substrates are parallel placed on both sides of the array antennas at distances approximate to the distances between the linear conductors. A film is formed by introducing an ingredient gas into the chamber. | 12-08-2011 |
20120000424 | COOLED DARK SPACE SHIELD FOR MULTI-CATHODE DESIGN - A cooled dark space shield for a multi-cathode, large area PVD apparatus is disclosed. For multi-cathode systems, a dark space shield between adjacent cathodes/targets may be beneficial. The shields may be grounded and provide a path to ground for electrons present within a sputtering plasma. Because the shields are between adjacent targets, the grounded shields may contribute to the formation of a uniform plasma within the processing space by acting as anodes. As the temperatures in the chamber fluxuate between a processing temperature and a downtime temperature, the shields may expand and contract. Cooling the shields reduces the likelihood of expansion and contraction and thus, reduces the amount of flaking that may occur. Embossing the surface of the shields may reduce the amount of material deposited onto the shields and control the expansion and contraction of the shields. | 01-05-2012 |
20120006267 | APPARATUS FOR PROCESSING COATING MATERIAL AND EVAPORATION DEPOSITION DEVICE HAVING SAME - An apparatus for processing coating material includes a crucible having a cylindrical receptacle for receiving coating material, a drive member having a drive shaft, and a cover coupled to the drive shaft. The cover has a flat surface. The drive shaft is configured to drive the cover to rotate thereabout between a closed position where the cover covers the receptacle and the flat surface presses against the coating material to flatten the coating material, and an open position where the cover is moved away from the receptacle. | 01-12-2012 |
20120024230 | APPARATUSES AND SYSTEMS FOR FABRICATING THREE DIMENSIONAL INTEGRATED CIRCUITS - The present invention pertains to methods, apparatuses, and systems for fabricating three-dimensional integrated circuits. One or more embodiments of systems, apparatuses, and/or methods according to the present invention are presented. | 02-02-2012 |
20120031336 | CHEMICAL VAPOR DEPOSITION DEVICE - A chemical vapor deposition device includes a chamber, a gas input assembly, a gas output assembly, a heating device, and a driving module. The chamber includes a first side, a second side and a deposition area defined between the first side and the second side. The second side is opposite to the first side. The gas input assembly is positioned at the first side of the chamber. The gas input assembly includes a jet module. The jet module faces the deposition area to introduce reaction gases. The gas output assembly is positioned at the second side of the chamber. The gas output assembly exhausts the gases in the chamber. The heating device heats the deposition area. The driving module drives a deposition roll located in the deposition area. | 02-09-2012 |
20120031337 | DIVIDED ANNULAR RIB TYPE PLASMA PROCESSING APPARATUS - A plasma stream-derived deposited matter formed on an annular rib for droplet capture in a plasma processing apparatus is prevented from falling into a plasma generation portion and causing a short circuit. The annular rib for the droplet capture is divided into multiple rib segments. Thus, from the beginning of the formation of the deposited matter on the annular rib due to the aggregation of the material in the plasma stream, it is possible to reduce the size of the deposited matter. By reducing the size of this deposited matter, when a piece of the deposited matter falls into the plasma generation portion, the piece of the deposited matter gets into a groove portion provided between a cathode and a wall surface of the plasma generation portion, thereby preventing the electrical short circuit between the cathode and the wall surface. | 02-09-2012 |
20120103258 | Chemical Vapor Deposition Apparatus and Cooling Block Thereof - The present invention provides a chemical vapor deposition apparatus and a cooling block thereof. The chemical vapor deposition apparatus comprises a process chamber; at least one clean gas channel connected between the process chamber and a remote plasma source; and an anti-fluoride material layer formed in the clean gas channel. The clean gas channel can be formed in a block body of the cooling block. The present invention can enhance the cleaniness of the process chamber. | 05-03-2012 |
20120103259 | THIN FILM DEPOSITING APPARATUS - Provided is a thin film depositing apparatus. The thin film depositing apparatus includes: a process chamber including at least one sputter gun inducing a first plasma on a film or a flat plate; a loading unit provided at one side of the process chamber and including first and second loading chambers loading the film or the flat plate into the process chamber; and an unloading unit provided at the other side of the process chamber facing the loading unit and including first and second loading chambers including first and second unloading chambers unloading the film or the flat plate from the process chamber, wherein the first loading chamber is connected to the first unloading chamber or the second loading chamber is connected to the second unloading chamber at both sides of the process chamber. | 05-03-2012 |
20120111270 | PLASMA PROCESSING CHAMBER HAVING ENHANCED DEPOSITION UNIFORMITY - A plasma-enhanced substrate processing system includes a magnetic-field generation unit that can create a substantially uniform magnetic field along an axial direction in a spatial region, a processing chamber in the spatial region, and a first planar source unit that provides a deposition material. The magnetic field can produce a plasma gas in the processing chamber, which enables the deposition material to be deposited on a substrate. | 05-10-2012 |
20120118232 | Hydrogen COGas For Carbon Implant - A system, apparatus and method for increasing ion source lifetime in an ion implanter are provided. Oxidation of the ion source and ion source chamber poisoning resulting from a carbon and oxygen-containing source gas is controlled by utilizing a hydrogen co-gas, which reacts with free oxygen atoms to form hydroxide and water. | 05-17-2012 |
20120125259 | ION IMPLANT SYSTEM HAVING GRID ASSEMBLY - An ion implantation system having a grid assembly. The system includes a plasma source configured to provide plasma in a plasma region; a first grid plate having a plurality of apertures configured to allow ions from the plasma region to pass therethrough, wherein the first grid plate is configured to be biased by a power supply; a second grid plate having a plurality of apertures configured to allow the ions to pass therethrough subsequent to the ions passing through the first grid plate, wherein the second grid plate is configured to be biased by a power supply; and a substrate holder configured to support a substrate in a position where the substrate is implanted with the ions subsequent to the ions passing through the second grid plate. | 05-24-2012 |
20120160168 | PLASMA GENERATION DEVICE WITH ELECTRON CYCLOTRON RESONANCE - A plasma-generation device with electron cyclotron resonance, includes two adjacent sealed vacuum chambers configured to contain plasmas, an injector configured to inject a high-frequency wave into the chambers, a magnetic structure to generate a magnetic field in the chambers including a plurality of parallelepipedal permanent magnets and generating at least two plasmas according to the magnetic field lines, the module of the magnetic field having a magnetic mirror configuration with at least one electron cyclotron resonance area per plasma, the magnetic structure including at least one permanent magnet contributing to the formation of a plasma in each one of the chambers, such that the chambers share the same at least one permanent magnet on the common wall thereof. | 06-28-2012 |
20120192792 | PLASMA, UV AND ION/NEUTRAL ASSISTED ALD OR CVD IN A BATCH TOOL - CVD and ALD methods of using a batch processing chamber to process substrates are described. A batch processing chamber includes a chamber housing, a substrate boat for containing a batch of substrates in a process region, and an excitation assembly for exciting species of a processing gas. The excitation assembly is positioned within the chamber housing and may include plasma, UV, or ion assistance. | 08-02-2012 |
20120199070 | FILTER FOR ARC SOURCE - An arc source filter is disposed between an arc cathode and a substrate in a vacuum arc deposition system. The filter includes a plurality of duct elements that surround the arc source. The duct elements have sufficient spatial dimensions to block particles. In addition, the duct elements have electrical and magnetic properties that are conducive for plasma transmission through the filter. On passing through the filter, the highly ionized arc plasma is essentially rid of particles making a source plasma for reacted as well as un-reacted coatings characterized by high density and near defect free quality. The design allows for flexibility in terms of filtering degree, length of coating zone as well as choice of arc source. | 08-09-2012 |
20120199071 | PLASMA IMMERSION CHAMBER - Embodiments described herein relate to a plasma chamber and processing system utilizing robust components. In one embodiment, a chamber is provided. The chamber includes a body having an interior volume, a gas distribution assembly disposed in the interior volume opposing a substrate support, the gas distribution assembly having a coolant channel disposed thereon, and a first hollow conduit and a second hollow conduit coupled to the body and in fluid communication with the interior volume. | 08-09-2012 |
20120204795 | METHODS TO IMPROVE THE IN-FILM DEFECTIVITY OF PECVD AMORPHOUS CARBON FILMS - An article having a protective coating for use in semiconductor applications and methods for making the same are provided. In certain embodiments, a method of coating an aluminum surface of an article utilized in a semiconductor processing chamber is provided. The method comprises providing a processing chamber; placing the article into the processing chamber; flowing a first gas comprising a carbon source into the processing chamber; flowing a second gas comprising a nitrogen source into the processing chamber; forming a plasma in the chamber; and depositing a coating material on the aluminum surface. In certain embodiments, the coating material comprises an amorphous carbon nitrogen containing layer. In certain embodiments, the article comprises a showerhead configured to deliver a gas to the processing chamber. | 08-16-2012 |
20120222619 | CARBON ELECTRODE AND APPARATUS FOR MANUFACTURING POLYCRYSTALLINE SILICON ROD - The upper electrode | 09-06-2012 |
20120227666 | PROCESSING CHAMBER AND METHOD FOR CENTERING A SUBSTRATE THEREIN - A processing chamber and a method for centering a substrate therein is provided. In one embodiment, the processing chamber includes a chamber body, a support structure, lift pins, alignment pins and restriction assemblies. The support structure has an upper surface and is disposed in the chamber body. The lift pins, alignment pins and restriction assemblies are disposed on the upper surface. The lift pins are applied for bearing the substrate. Each of the alignment pins has a conical contact surface which can be extended from the upper surface to contact the edges of the substrate to provide lateral forces to center the substrate on the lift pins. Additionally, when the alignment pins and the lift pins are retracted, the substrate is lowered and placed between the restriction assemblies disposed on the upper surface to prevent the substrate from inadvertently moving laterally from the centered position. | 09-13-2012 |
20120240855 | TRANSMISSION MECHANISM AND THE DEPOSITION APPARATUS USING THE SAME - The deposition apparatus has a plurality of said transmission mechanisms arranged therein in a symmetrical manner. Each transmission mechanism comprises: a drive shaft, formed with a tapered end; a driving wheel, configured with a shaft hole for the tapered end to bore coaxially therethrough; a plurality of slide pieces, radially mounted to the driving wheel; a first elastic member, mounted enabling the plural slide pieces to be ensheathed thereby; a second elastic member, disposed between the first elastic member and the first axial end of the drive shaft while being mounted to the periphery of the driving wheel; an enclosure, configured with an opening; wherein, the driving wheel that is moving in a reciprocating manner drives the sliding pieces to slide in radial directions, thereby, causing the outer diameter of the first elastic member to change accordingly and enabling the opening of the enclosure to open or close in consequence. | 09-27-2012 |
20120240856 | PLASMA ENHANCED CHEMICAL VAPOR DEPOSITION APPARATUS AND METHOD FOR CONTROLLING THE SAME - There is disclosed a plasma enhanced chemical vapor deposition apparatus including a loading station to load an object on a pallet, an operation station to form a functional film by performing plasma reaction to the object loaded on the pallet, a unloading station to separate the object from the pallet, a circulation station to convey the pallet from the unloading station to the loading station, and a conveyer to convey the pallet to the stations sequentially to circulate the pallet. | 09-27-2012 |
20120260856 | PLASMA ENHANCED CHEMICAL VAPOR DEPOSITION APPARATUS AND METHOD FOR CONTROLLING THE SAME - There is disclosed a plasma enhanced chemical vapor deposition apparatus including a pallet to load and convey an object thereon to provide a functional film to the object by plasma reaction, wherein the pallet includes a base, a jig to fix the object, and a fixing part disposed on the base at an upper side of the fixing part Lo fix the object to the pallet. | 10-18-2012 |
20120266820 | REACTOR FOR POLYCRYSTALLINE SILICON AND POLYCRYSTALLINE SILICON PRODUCTION METHOD - The reactor for polycrystalline silicon is a reactor for polycrystalline silicon in which a silicon seed rod installed inside the reactor is heated by supplying electricity, a raw material gas supplied inside the reactor is allowed to react, thereby producing polycrystalline silicon on the surface of the silicon seed rod, and specifically, the reactor for polycrystalline silicon is provided with a raw material gas supply port installed on the bottom of the reactor and a raw material gas supply nozzle attached to the raw material gas supply port so as to be communicatively connected and extending upward, in which the upper end of the raw material gas supply nozzle is set to a height in a range from −10 cm to +5 cm on the basis of the upper end of the electrode which retains the silicon seed rod. | 10-25-2012 |
20120279449 | APPARATUS AND METHOD FOR FOCUSED ELECTRIC FIELD ENHANCED PLASMA-BASED ION IMPLANTATION - There is disclosed an apparatus and method for focused electric field enhanced plasma-based ion implantation. The apparatus includes an implantation chamber, a vacuum pump for maintaining the pressure in the implantation chamber at a desired level, a sample holder, means for applying a negative potential to the sample holder, and means for supplying a gaseous or vaporized implantation material. The supplying means takes the form of a feed conduit having an exit opening located in the implantation chamber above the sample holder, and when a negative potential is applied to the sample holder the exit opening of the feed conduit is maintained at a potential that is positive relative to the sample holder. | 11-08-2012 |
20120279450 | COIL SECTION ASSEMBLY FOR SIMULATING CIRCULAR COILS FOR VACUUM DEVICES - The invention relates to a vacuum treatment chamber, comprising a coil arrangement for generating a magnetic field in the chamber, wherein the coil arrangement comprises at least one first coil section and a second coil section, wherein the first coil section and the second coil section are arranged adjacent to each other in cross-section and preferably in one plane, such that at least a partial section of the first coil substantially follows the course of a partial section of the second coil, wherein the spacing of the first partial section from the second partial section is at least one order of magnitude smaller than the cross-section of the optionally smaller coil section. | 11-08-2012 |
20120279451 | FILM DEPOSITION APPARATUS, METHOD OF MANUFACTURING A SEMICONDUCTOR DEVICE, AND METHOD OF COATING THE FILM DEPOSITION APPARATUS - A method of manufacturing a semiconductor device has supplying a first reactant gas into buffer chamber provided in a reaction chamber of the film deposition apparatus to form a first film over an inner wall surface of the buffer chamber, and supplying a second reactant gas into the reaction chamber to form a second film over a semiconductor substrate. | 11-08-2012 |
20120304934 | POROUS CERAMIC GAS DISTRIBUTION FOR PLASMA SOURCE ANTENNA - Apparatus and methods for preventing or substantially minimizing unwanted deposits on dielectric covers of an antenna by effectively providing an inert (non-depositing) gas at the surface of the antenna cover is provided. | 12-06-2012 |
20120312234 | PROCESS GAS DIFFUSER ASSEMBLY FOR VAPOR DEPOSITION SYSTEM - A gas diffuser assembly and vapor deposition system for use therein are described. The gas diffuser assembly includes a gas diffuser manifold configured to be coupled to a substrate processing system and arranged to introduce a process gas from a gas outlet into the substrate processing system in a direction substantially normal to a surface of a substrate to create a stagnation flow pattern over the surface. The gas diffuser manifold includes a gas inlet, a stagnation plate, and a diffusion member. | 12-13-2012 |
20120325148 | Method for Positioning Wafers in Multiple Wafer Transport - A method for positioning wafers in dual wafer transport, includes: simultaneously moving first and second wafers placed on first and second end-effectors to positions over lift pins protruding from first and second susceptors, respectively; and correcting the positions of the first and second wafers without moving any of the lift pins relative to the respective susceptors or without moving the lift pins relative to each other, wherein when the first and second wafers are moved to the respective positions, the distance between the first wafer and tips of the lift pins of the first susceptor is substantially smaller than the distance between the second wafer and tips of the lift pins of the second susceptor. | 12-27-2012 |
20130014700 | TARGET SHIELD DESIGNS IN MULTI-TARGET DEPOSITION SYSTEM.AANM Hegde; Hariharakeshava SarpangalaAACI FremontAAST CAAACO USAAGP Hegde; Hariharakeshava Sarpangala Fremont CA US - A multi-target deposition arrangement comprising of a target assembly turret configured to be rotatable is provided. The arrangement also includes a plurality of targets mounted on the target assembly turret, wherein a first target is positioned in an operational position, which is facing a substrate during sputtering. The arrangement further includes a shield arrangement that includes at least a set of static shields and a set of dynamic shields. The set of static shields is attached to the target assembly turret. The set of dynamic shields is aligned with the set of static shields when the first target is rotated into the operational position for sputtering, wherein the shield arrangement prevents cross contamination to other targets when the sputtering is occurring to the first target. | 01-17-2013 |
20130042811 | Combinatorial Plasma Enhanced Deposition Techniques - Combinatorial plasma enhanced deposition techniques are described, including designating multiple regions of a substrate, providing a precursor to at least a first region of the multiple regions, and providing a plasma to the first region to deposit a first material on the first region formed using the first precursor, wherein the first material is different from a second material formed on a second region of the substrate. | 02-21-2013 |
20130068161 | GAS DELIVERY AND DISTRIBUTION FOR UNIFORM PROCESS IN LINEAR-TYPE LARGE-AREA PLASMA REACTOR - An apparatus for introducing gas into a processing chamber comprising one or more gas distribution tubes having gas-injection holes which may be larger in size, greater in number, and/or spaced closer together at sections of the gas introduction tubes where greater gas conductance through the gas-injection holes is desired. An outside tube having larger gas-injection holes may surround each gas distribution tube. The gas distribution tubes may be fluidically connected to a vacuum foreline to facilitate removal of gas from the gas distribution tube at the end of a process cycle. | 03-21-2013 |
20130068162 | System and Method of Dosage Profile Control - A system and method for controlling a dosage profile is disclosed. An embodiment comprises separating a wafer into components of a grid array and assigning each of the grid components a desired dosage profile based upon a test to compensate for topology differences between different regions of the wafer. The desired dosages are decomposed into directional dosage components and the directional dosage components are translated into scanning velocities of the ion beam for an ion implanter. The velocities may be fed into an ion implanter to control the wafer-to-beam velocities and, thereby, control the implantation. | 03-21-2013 |
20130125818 | COMBINATORIAL DEPOSITION BASED ON A SPOT APPARATUS - In some embodiments of the present invention, one or more small spot showerhead apparatus are used to deposit materials using CVD, PECVD, ALD, or PEALD on small spots in a site isolated, combinatorial manner. The small spot showerheads may be configured within a larger combinatorial showerhead to allow multi-layer film stacks to be deposited in a combinatorial manner. | 05-23-2013 |
20130160711 | PLASMA CVD APPARATUS, METHOD FOR FORMING THIN FILM AND SEMICONDUCTOR DEVICE - A plasma CVD apparatus including a reaction chamber including an inlet for supplying a compound including a borazine skeleton, a feeding electrode, arranged within the reaction chamber, for supporting a substrate and being applied with a negative charge, and a plasma generating mechanism, arranged opposite to the feeding electrode via the substrate, for generating a plasma within the reaction chamber. A method forms a thin film wherein a thin film is formed by using a compound including a borazine skeleton as a raw material, and a semiconductor device includes a thin film formed by such a method as an insulating film. The apparatus and method enable to produce a thin film wherein low dielectric constant and high mechanical strength are stably maintained for a long time and insulating characteristics are secured. | 06-27-2013 |
20130180453 | SUBSTRATE PROCESSING DEVICE EQUIPPED WITH SEMICIRCLE SHAPED ANTENNA - Provided is a substrate processing apparatus. The substrate processing apparatus includes a chamber where processes with respect to a substrate are carried out, a substrate support on which the substrate is placed, the substrate support being disposed within the chamber, and an antenna disposed in an upper portion of the chamber to form an electric field within the chamber. The antenna includes a first antenna and a second antenna, which are disposed in rotational symmetry with respect to a preset center. The first antenna includes a first inner antenna and a first intermediate antenna which respectively have semi-circular shapes and first and second radii and are respectively disposed on one side and the other side with respect to the preset center line and a first connection antenna connecting the first inner antenna to the first intermediate antenna. The second antenna includes a second intermediate antenna and a second inner antenna which respectively have semi-circular shapes and have first and second radii and are respectively disposed on one side and the other side with respect to the center line and a second connection antenna connecting the second intermediate antenna to the second inner antenna. | 07-18-2013 |
20130186337 | SUBSTRATE PROCESSING DEVICE FOR SUPPLYING REACTION GAS THROUGH SYMMETRY-TYPE INLET AND OUTLET - Provided is a substrate processing apparatus. The substrate processing apparatus includes a chamber where processes with respect to a substrate are carried out, a substrate support on which the substrate is placed, the substrate support being disposed within the chamber, and a showerhead in which an inlet for supplying reaction gas into the chamber and an outlet for discharging the reaction gas supplied into the chamber are symmetrically disposed. The reaction gas flows within the chamber in a direction roughly parallel to that of the substrate. | 07-25-2013 |
20130206069 | DEPOSITION APPARATUS - In a deposition apparatus, a coming-off prevention unit is formed on the side surface of a substrate supporting pin to prevent the substrate supporting pin from being separated from the deposition apparatus even if the substrate supporting pin sticking on the substrate due to static electricity occurring during the deposition process is moved. Therefore, damage of the substrate supporting pin or the substrate, which may occur when the substrate supporting pin comes off, can be prevented. Further, as a rod is inserted into a hole formed in the substrate supporting pin, the substrate supporting pin is prevented from coming off from a motion path, when the substrate supporting pin is moved in a vertical direction. Accordingly, damage of the substrate supporting pin, which may occur when the substrate supporting pin comes off during the vertical motion, can be prevented. | 08-15-2013 |
20130213302 | FILM DEPOSITION APPARATUS FOR MAGNETIC RECORDING MEDIUM - An apparatus for depositing a ta-C thin film for a magnetic recording medium includes a film deposition chamber; a plasma beam formation portion for supplying a plasma beam to the film deposition chamber to form the ta-C thin film on a substrate with a magnetic recording layer thereon; a substrate holder rotatably arranged in the film deposition chamber; a tilting member for continuously changing an inclination angle of the plasma beam to a surface of the magnetic recording layer; and a rotating member for rotating the substrate about a rotation axis of the substrate holder. A control member rotates the substrate holder with the substrate thereon and operates the tilting member to continuously change the inclination angle from a minimum inclination angle to a maximum inclination angle according to an increase in film thickness of the ta-C thin film being formed by the plasma beam formation portion. | 08-22-2013 |
20130239892 | BEAM CONTROL ASSEMBLY FOR RIBBON BEAM OF IONS FOR ION IMPLANTATION - A beam control assembly to shape a ribbon beam of ions for ion implantation includes a first bar, second bar, first coil of windings of electrical wire, second coil of windings of electrical wire, first electrical power supply, and second electrical power supply. The first coil is disposed on the first bar. The first coil is the only coil disposed on the first bar. The second bar is disposed opposite the first bar with a gap defined between the first and second bars. The ribbon beam travels between the gap. The second coil is disposed on the second bar. The second coil is the only coil disposed on the second bar. The first electrical power supply is connected to the first coil without being electrically connected to any other coil. The second electrical power supply is connected to the second coil without being electrically connected to any other coil. | 09-19-2013 |
20130247824 | METHOD AND SYSTEM FOR MODIFYING PHOTORESIST USING ELECTROMAGNETIC RADIATION AND ION IMPLANTION - A method of reducing surface roughness of a resist feature disposed on a substrate includes generating a plasma having a plasma sheath and ions therein. A shape of the boundary between the plasma and plasma sheath is modified using a plasma sheath modifier, so that a portion of the boundary facing the substrate is not parallel to a plane defined by the substrate. During a first exposure, the resist feature is exposed to electromagnetic radiation having a desired wavelength and the ions are accelerated across the boundary having the modified shape toward the resist features over an angular range. | 09-26-2013 |
20130255576 | PROCESS KIT SHIELD FOR PLASMA ENHANCED PROCESSING CHAMBER - Apparatus for processing substrates is disclosed herein. In some embodiments, an apparatus includes a first shield having a first end, a second end, and one or more first sidewalls disposed between the first and second ends, wherein the first end is configured to interface with a first support member of a process chamber to support the first shield in a position such that the one or more first sidewalls surround a first volume of the process chamber; and a second shield having a first end, a second end, and one or more second sidewalls disposed between the first and second ends of the second shield and about the first shield, wherein the first end of the second shield is configured to interface with a second support member of the process chamber to support the second shield such that the second shield contacts the first shield to form a seal therebetween. | 10-03-2013 |
20130255577 | Method and Apparatus for Generating High Current Negative Hydrogen ION Beam - An apparatus to generate negative hydrogen ions includes an ion source operative to generate positive hydrogen ions, a first component to adjust positive molecular hydrogen ion species in the ion source, a second component to adjust extraction voltage for extraction of the positive molecular hydrogen ions from the ion source, and a charge exchange cell comprising charge exchange species to convert the extracted positive molecular hydrogen ions to negative hydrogen ions. The adjusted extraction voltage is effective to generate an ion energy to maximize negative ion current yield in the charge exchange cell based upon a product of extraction efficiency of the positive molecular hydrogen ions and a peak in charge exchange efficiency for converting a species of the positive molecular hydrogen ions to negative hydrogen ions through charge exchange between the extracted hydrogen ions and charge exchange species. | 10-03-2013 |
20130263783 | ATOMIC LAYER DEPOSITION REACTOR - Various reactors for growing thin films on a substrate by subjecting the substrate to alternately repeated surface reactions of vapor-phase reactants are disclosed. The reactor according to the present invention includes a reaction chamber, a substrate holder, a showerhead plate, a first reactant source, a remote radical generator, a second reactant source, and an exhaust outlet. The showerhead plate is configured to define a reaction space between the showerhead plate and the substrate holder. The showerhead plate includes a plurality of passages leading into the reaction space. The substrate is disposed within the reaction space. A first non-radical reactant is supplied through the showerhead plate to the reaction space. The remote radical generator produces the radicals of a second reactant supplied from the second reactant source. The radicals are supplied directly to the reaction space without passing through the showerhead plate. | 10-10-2013 |
20130263784 | OPTICAL COATING METHOD, APPARATUS AND PRODUCT - This disclosure is directed to an improved process for making glass articles having optical coating and easy-to clean coating thereon, an apparatus for the process and a product made using the process. In particular, the disclosure is directed to a process in which the application of the optical coating and the easy-to-clean coating can be sequentially applied using a single apparatus. Using the combination of the coating apparatus and the substrate carrier described herein results in a glass article having both optical and easy-to-clean coating that have improved scratch resistance durability and optical performance, and in addition the resulting articles are “shadow free.” | 10-10-2013 |
20130269610 | PLASMA CVD APPARATUS - A plasma CVD apparatus according to the present invention is provided with: a vacuum chamber | 10-17-2013 |
20130305989 | METHOD AND APPARATUS FOR CLEANING RESIDUE FROM AN ION SOURCE COMPONENT - Some techniques disclosed herein facilitate cleaning residue from a molecular beam component. For example, in an exemplary method, a molecular beam is provided along a beam path, causing residue build up on the molecular beam component. To reduce the residue, the molecular beam component is exposed to a hydro-fluorocarbon plasma. Exposure to the hydro-fluorocarbon plasma is ended based on whether a first predetermined condition is met, the first predetermined condition indicative of an extent of removal of the residue. Other methods and systems are also disclosed. | 11-21-2013 |
20130333619 | ORGANIC THIN FILM FORMING APPARATUS - An organic thin film forming apparatus that can easily remove an organic thin film adhered to a surface of a deposition preventive plate. The apparatus forms an organic thin film on a substrate disposed on a surface of a substrate stage from an organic gas. An electroless nickel film containing fluorine resin is formed on the surface of a deposition preventive plate. The electroless nickel film containing fluorine resin has mold release characteristics for an organic thin film. Even if the organic thin film adheres, the organic thin film can be easily removed by a method (such as, high pressure cleaning). | 12-19-2013 |
20130340681 | REDUCED PRESSURE PROCESSING CHAMBER AND EXHAUST ARRANGEMENT - An improved reinforcement and exhaust arrangement is provided for a reduced pressure processing chamber. The arrangement is particularly advantageous for plasma processing chambers of large substrates (one square meter or larger) under a reduced pressure. The arrangement includes channels formed along sidewalls of the process chamber, and into which exhaust outlets from the chamber communicate. The channels provide support to the sidewalls and convey the exhaust gases to a port at a location at which the gases can be conveniently pumped from the system. In an example, plural outlets from the chamber are in communication with a common channel or channels which provide a flow path to a single exhaust port. As a result, although plural outlets extend from the interior of the chamber to the exterior of the chamber, only a single connection to the exhaust pump need be provided. | 12-26-2013 |
20140014038 | PLASMA ENHANCED CHEMICAL VAPOR DEPOSITION APPARATUS AND METHOD FOR CONTROLLING THE SAME - There is disclosed a plasma enhanced chemical vapor deposition apparatus including a chamber in which plasma reaction is performed to provide a functional film to an object received therein, a pallet mechanically and electrically connected with the object, a conveyer to convey the pallet to an inside from an outside of the chamber, and a power supplier to supply an electric power to the pallet, the power supplier comprising a moving contact distant from the pallet when the pallet is conveyed and contacting with the pallet when the pallet is stopped. | 01-16-2014 |
20140026813 | Apparatus for Dielectric Deposition Process - An apparatus comprises a first gas inlet coupled between a first pipe and a reaction chamber, wherein the first pipe configured to carry process gases, a second gas inlet coupled between a second pipe and the reaction chamber, wherein the second pipe configured to carry a precursor material in a gaseous state and a heating device coupled to the second pipe and the second gas inlet, wherein the heating device keeps an ambient temperature of the second pipe and the second gas inlet above a boiling point of the precursor material. | 01-30-2014 |
20140026814 | VAPOR DEPOSITION APPARATUS AND METHOD OF MANUFACTURING ORGANIC LIGHT-EMITTING DISPLAY APPARATUS - A vapor deposition apparatus includes at least one first region and at least one second region. A first blocking unit is arranged between a first exhausting unit and a first injecting unit and between the first exhausting unit and a first purging unit in the first region so as to avoid any common region between the first exhausting unit and the first injecting unit and to avoid any common region between the first exhausting unit and the first purging unit. The vapor deposition apparatus also includes another first blocking unit arranged between a second exhausting unit and a second injecting unit and between the second exhausting unit and a second purging unit in the second region so as to avoid any common region between the second exhausting unit and the second injecting unit and to avoid any common region between the second exhausting unit and the second purging unit. | 01-30-2014 |
20140033981 | MOCVD for Growing III-V Compound Semiconductors on Silicon Substrates - A device includes providing a silicon substrate; annealing the silicon substrate at a first temperature higher than about 900° C.; and lowering a temperature of the silicon substrate from the first temperature to a second temperature. A temperature lowering rate during the step of lowering the temperature is greater than about 1° C./second. A III-V compound semiconductor region is epitaxially grown on a surface of the silicon substrate using metal organic chemical vapor deposition (MOCVD). | 02-06-2014 |
20140041588 | Method for Supplying Gas With Flow Rate Gradient Over Substrate - A method for supplying gas over a substrate in a reaction chamber wherein a substrate is placed on a pedestal, includes: supplying a first gas from a first side of the reaction chamber to a second side of the reaction chamber opposite to the first side; and adding a second gas to the first gas from sides of the reaction chamber other than the first side of the reaction chamber so that the second gas travels from sides of the substrate other than the first side in a downstream direction. | 02-13-2014 |
20140053779 | MICRO-BALANCE SENSOR INTEGRATED WITH ATOMIC LAYER DEPOSITION CHAMBER - The invention is directed to QCM measurements in monitoring ALD processes. Previously, significant barriers remain in the ALD processes and accurate execution. To turn this exclusively dedicated in situ technique into a routine characterization method, an integral QCM fixture was developed. This new design is easily implemented on a variety of ALD tools, allows rapid sample exchange, prevents backside deposition, and minimizes both the footprint and flow disturbance. Unlike previous QCM designs, the fast thermal equilibration enables tasks such as temperature-dependent studies and ex situ sample exchange, further highlighting the feasibility of this QCM design for day-to-day use. Finally, the in situ mapping of thin film growth rates across the ALD reactor was demonstrated in a popular commercial tool operating in both continuous and quasi-static ALD modes. | 02-27-2014 |
20140053780 | Plasma Polymerization for Encapsulating Particles - The present invention includes systems, methods and compositions for the encapsulation of particles. In one form, the system comprises one or more particles, a rotatable reaction chamber in a plasma enhanced chemical reactor to accept one or more particles, and at least one carbonaceous compound to be used in the rotatable reaction chamber, wherein the carbonaceous compound is polymerized onto a surface of one or more particles forming a polymer film encapsulating one or more particles. Using systems, methods, and compositions of the present invention, any particle encapsulated with a degradable or nondegradable polymer film may be introduced and/or released into an environment. The polymer film as well as introduction of encapsulated particles and release therefrom into an environment are controlled by the present invention. | 02-27-2014 |
20140090597 | PLASMA PROCESSING METHOD AND PLASMA PROCESSING APPARATUS - In a plasma processing method, plasma processing is performed in a state where the object is attracted and held on the electrostatic chuck by applying a first voltage as an application voltage thereto and a thermal conduction gas is supplied to a gap between the electrostatic chuck and the object. The application voltage is decreased while stopping the supply of the thermal conduction gas and exhausting the thermal conduction gas remaining between the electrostatic chuck and the object upon completion of the plasma processing. The object is separated from the electrostatic chuck by setting the application voltage to the electrostatic chuck to zero after the application voltage is decreased. | 04-03-2014 |
20140090598 | ISOTOPICALLY-ENRICHED BORON-CONTAINING COMPOUNDS, AND METHODS OF MAKING AND USING SAME - An isotopically-enriched, boron-containing compound comprising two or more boron atoms and at least one fluorine atom, wherein at least one of the boron atoms contains a desired isotope of boron in a concentration or ratio greater than a natural abundance concentration or ratio thereof. The compound may have a chemical formula of B | 04-03-2014 |
20140102368 | GAS ISOLATION CHAMBER AND PLASMA DEPOSITION APPARATUS THEREOF - A gas isolation chamber comprises a vacuum chamber, a first body module, a second body module and a first temperature modulator. The vacuum chamber comprises a first chamber part, a second chamber part and at least one first gas valve unit. The first body module is disposed on the inner wall of the first chamber part and has a first gas hole corresponding to the position of the first gas valve unit. The first gas hole is connected to the first gas valve unit. The second body module is disposed on the inner wall of the second chamber part such that a slit channel can be formed between the second and the first body modules. The first temperature modulator is disposed in the first body module. The gas isolation chamber is further combined with the vacuum film process chambers to form a plasma deposition apparatus for proceeding continuous deposition process. | 04-17-2014 |
20140102369 | PLASMA SPRAYED DEPOSITION RING ISOLATOR - A substrate processing chamber component including a deposition ring for protecting exposed portions of a substrate support pedestal, wherein the deposition ring includes a metal portion and a ceramic isolator portion. The ceramic isolator portion may be a plasma coated ceramic isolator coating, and the metal portion may be made of stainless steel. The ceramic isolator portion may be made of a ceramic such as alumina, yttria, aluminum nitride, titania, zirconia, and combinations thereof. | 04-17-2014 |
20140109832 | DEPOSITION APPARATUS - In a deposition apparatus, as a plurality of plasma connection terminals that transfer plasma power to a plasma electrode are coupled in parallel to the plasma electrode, resistance caused by the plurality of plasma connection terminals is reduced and a current is distributed such that heat generated in the plurality of plasma connection terminals can be distributed. Therefore, even if high RF power is used, by preventing the plurality of plasma connection terminals from being oxidized, plasma is stably supplied and thus, stability of a deposition apparatus and the accuracy of a process can be enhanced. | 04-24-2014 |
20140116339 | PROCESS GAS DIFFUSER ASSEMBLY FOR VAPOR DEPOSITION SYSTEM - A gas diffuser assembly and vapor deposition system for use therein are described. The gas diffuser assembly includes a gas diffuser manifold configured to be coupled to a substrate processing system and arranged to introduce a process gas from a gas outlet into the substrate processing system in a direction substantially normal to a surface of a substrate to create a stagnation flow pattern over the surface. The gas diffuser manifold includes a gas inlet, a stagnation plate, and a diffusion member. | 05-01-2014 |
20140123899 | VAPOR DEPOSITION APPARATUS - A vapor deposition apparatus including a first region including a first injection unit configured to inject a first raw material, and a second region including a second injection unit configured to inject a second raw material, wherein the second injection unit includes a plasma generation unit, wherein the plasma generation unit includes a plasma generator, a corresponding surface surrounding the plasma generator, and a plasma generation space between the plasma generator and the corresponding surface, and wherein the plasma generator has a groove in a lengthwise direction of the plasma generator. | 05-08-2014 |
20140130741 | ION IMPLANT APPARATUS AND A METHOD OF IMPLANTING IONS - Ion implant apparatus using a drum-type scan wheel holds wafers with a total cone angle less than 60°. A collimated scanned beam of ions, for example H | 05-15-2014 |
20140144382 | PLASMA APPARATUS - A plasma apparatus including a chamber, an electrode set and a gas supplying tube set is provided. The chamber has a supporting table. The gas supplying tube set is disposed in the chamber and located between the supporting table and the electrode set. The gas supplying tube set includes at least one outer gas supplying tube and at least one first inner gas supplying tube. The first inner gas supplying tube is telescoped within the outer gas supplying tube. The outer gas supplying tube and the first inner gas supplying tube both have a plurality of gas apertures, and an amount of the gas apertures of the outer gas supplying tube is greater than an amount of the gas apertures of the first inner gas supplying tube. | 05-29-2014 |
20140150723 | ION IMPLANTATION APPARATUS - An ion implantation apparatus includes: a plurality of units for accelerating an ion beam generated in an ion source; and a plurality of units for adjusting a scan beam and implanting ions into a wafer. A horizontal U-shaped folder type beamline having opposite long straight portions includes the plurality of units for adjusting the scan beam in a long straight portion to have substantially the same length as the ion source and the plurality of units for accelerating the ion beam. | 06-05-2014 |
20140165912 | APPARATUS FOR PROVIDING PLASMA TO A PROCESS CHAMBER - Apparatus for providing plasma to a process chamber may include an electrode; a first ground plate disposed beneath the electrode defining a cavity therebetween; an insulator disposed between the electrode and first ground plate to prevent direct contact therebetween; a second ground plate disposed beneath the first ground plate defining a first channel; a plurality of first through holes through the first ground plate to fluidly couple the channel and cavity; a first gas inlet coupled to the first channel; a third ground plate disposed beneath the second ground plate defining a second channel; a plurality of conduits through the ground plates to fluidly couple the cavity to an area beneath the third ground plate; a plurality of gas outlet holes through the third ground plate to fluidly couple the second channel to the area beneath the third ground plate; and a second gas inlet coupled to the second channel. | 06-19-2014 |
20140174360 | VAPOR DEPOSITION APPARATUS AND METHOD OF MANUFACTURING ORGANIC LIGHT-EMITTING DISPLAY APPARATUS - A vapor deposition apparatus for forming a deposition layer on a substrate, the vapor deposition apparatus includes a supply unit configured to receive a first source gas, a reaction space connected to the supply unit, a plasma generator in the reaction space, a first injection unit configured to inject a deposition source material to the substrate, the deposition source material including the first source gas, and a filament unit in the reaction space, the filament unit being connected to a power source. | 06-26-2014 |
20140174361 | HEATED BACKING PLATE - The present invention generally relates to a heated backing plate coupled to a gas distribution showerhead in a PECVD chamber. The backing plate is heated by circulating a heating fluid either through channels formed within the backing plate or a tube coupled to the backing plate. A heated backing plate heats up the gas distribution showerhead, which improves the cleaning rate of the PECVD chamber that performs low temperature processes. | 06-26-2014 |
20140174362 | Apparatus And Methods For Symmetrical Gas Distribution With High Purge Efficiency - Provided are apparatus and methods for depositing materials by vapor deposition and plasma enhanced vapor deposition techniques, and more particularly a gas distribution assembly and vapor deposition chamber to deposit a material. The gas distribution assembly comprises a plurality of sections with each section containing a flow channel with passages extending from the flow channel to the processing region of a processing chamber. | 06-26-2014 |
20140190411 | LID ASSEMBLY FOR A PROCESSING SYSTEM TO FACILITATE SEQUENTIAL DEPOSITION TECHNIQUES - Embodiments of the invention generally relate to apparatuses for processing substrates. In one embodiment, a substrate processing system is provided and includes a lid having an upper lid surface opposed to a lower lid surface, a plurality of gas inlet passages extending from the upper lid surface to the lower lid surface, a gas manifold disposed on the lid, at least one valve coupled with the gas manifold and configured to control a gas flow through one of the gas inlet passages, wherein the at least one valve is configured to provide an open and close cycle having a time period of less than about 1 second during a gas delivery cycle for enabling an atomic layer deposition process. The substrate processing system further contains a gas reservoir fluidly connected between the gas manifold and at least one precursor source. | 07-10-2014 |
20140202386 | SUBSTRATE PROCESSING APPARATUS AND SUSCEPTOR - A substrate processing apparatus includes a chamber, a susceptor to receive a substrate and provided in the chamber, a gas supply source to supply a predetermined gas into the chamber, and a high frequency power source to treat the substrate by plasma. The susceptor includes a first ceramics base member including a flow passage to let a coolant pass through, a first conductive layer formed on a principal surface and a side surface on a substrate receiving side of the first ceramics base member, and an electrostatic chuck stacked on the first conductive layer and configured to electrostatically attract the wafer received thereon. A volume of the flow passage is equal to or more than a volume of the first ceramics base member. The high frequency power source is configured to supply high frequency power to the first conductive layer. | 07-24-2014 |
20140209026 | PLASMA ACTIVATED DEPOSITION OF A CONFORMAL FILM ON A SUBSTRATE SURFACE - An apparatus deposits a film on a substrate including a reaction chamber arranged on a substrate support. An inlet port delivers gas phase reactants to the reaction chamber. A plasma generator provides plasma to the reaction chamber. A controller is configured to flow a silicon-containing reactant from a precursor group consisting of di-tert-butyl diazidosilane, tris(dimethylamido)silylazide, and bis(tert-butylhydrazido)diethyl silane. The silicon-containing reactant is introduced in vapor phase into the reaction chamber. The controller flows a second reactant in vapor phase into the reaction chamber. | 07-31-2014 |
20140230730 | GAS DIFFUSION SHOWER HEAD DESIGN FOR LARGE AREA PLASMA ENHANCED CHEMICAL VAPOR DEPOSITION - Embodiments of a gas distribution plate for distributing gas in a processing chamber are provided. In one embodiment, a gas distribution plate includes a diffuser plate having an upstream side and a downstream side, and a plurality of gas passages passing between the upstream and downstream sides of the diffuser plate. At least one of the gas passages has a cylindrical shape for a portion of its length extending from the upstream side and a coaxial conical shape for the remainder length of the diffuser plate, the upstream end of the conical portion having substantially the same diameter as the cylindrical portion and the downstream end of the conical portion having a larger diameter. | 08-21-2014 |
20140230731 | INSTALLATION FOR DEPOSITING FILMS ONTO A SUBSTRATE - An installation, comprising a chamber comprising two ends, a transport unit and a support unit which introduce a two-sided substrate into the chamber, a stabilized high-voltage high-frequency power supply of at least 200 kW, comprising an HF transformer comprising a primary and a secondary circuit connected to terminals, at least two electrodes being connected to the terminals of the secondary circuit, said electrodes being placed on each side of the substrate, at least one dielectric barrier placed between the at least two electrodes; a power supply regulation/control unit placed upstream of the HF transformer that is capable of increasing an active power/reactive power ratio, an introducing unit for introducing at least one reactive substance into the chamber, and an extracting unit for extracting residual substances, wherein an adjustable inductor is placed in the secondary circuit of the transformer in parallel with a circuit comprising the at least two electrodes, and the adjustable inductor enables a phase shift between a voltage generated between the electrodes and a total current delivered by the high-voltage source to be modulated, and the power supply regulation/control unit, placed on the primary circuit of the transformer, and/or a unit for controlling the inductor being capable of generating harmonics extending a time during which a current flows between the electrodes, wherein the installation is suitable for depositing a film onto an inorganic substrate. | 08-21-2014 |
20140230732 | APPARATUS FOR TRANSPORTING SUBSTRATES INTO SUBSTRATE-TREATMENT APPARATUS - A transporting apparatus for simultaneously transporting at least two substrates into a substrate-treatment apparatus to be treated in a vacuum-treatment apparatus has at least two carrier apparatuses mounted for rotation in relation to a common axis and offset axially in relation to one another. At least one retaining frame configured for supporting at least one substrate is arranged on each of the two carrier apparatuses. Each retaining frame is configured to be shifted, by rotary movement of the two carrier apparatuses about the common axis, into mutually opposite regions of a treatment unit with the two carrier apparatuses spaced apart axially from one another sufficiently such that the treatment unit is arranged between the two carrier apparatuses. | 08-21-2014 |
20140238300 | ION BEAM IRRADIATION APPARATUS - An apparatus provided with a wafer processing chamber that houses a wafer supporting mechanism supporting a wafer and is used to irradiate the wafer supported by the wafer supporting mechanism with an ion beam and a transport mechanism housing chamber that houses a transport mechanism provided underneath the wafer processing chamber and used for moving the wafer supporting mechanism in a substantially horizontal direction, wherein an aperture used for moving the wafer supporting mechanism along with a coupling member coupling the wafer supporting mechanism to the transport mechanism is formed in the direction of movement of the transport mechanism in a partition wall separating the wafer processing chamber from the transport mechanism housing chamber. | 08-28-2014 |
20140238301 | PLASMA PROCESSING APPARATUS - The present invention provides a plasma processing apparatus capable of bringing plasma close to a processing target and separating the plasma from the processing target. The plasma processing apparatus | 08-28-2014 |
20140251217 | TARGET FOR PVD SPUTTERING SYSTEM - Embodiments of apparatus for physical vapor deposition are provided. In some embodiments, a target assembly for use in a substrate processing system to process a substrate includes a plate having a first side and an opposing second side, wherein the second side comprises a target supporting surface extending from the second side in a direction normal to the second side, wherein the target supporting surface has a first diameter and is bounded by a first edge; and a target having a first side bonded to the target supporting surface, wherein a diameter of the target is greater than the first diameter of the target supporting surface. | 09-11-2014 |
20140261179 | ION SOURCE - An ion source includes an ion source chamber having a longitudinal axis, the ion source chamber operative to define a plasma therein. The ion source also includes a split solenoid assembly comprising a first solenoid and a second solenoid that are mutually disposed along opposite sides of the ion source chamber, where each of the first solenoid and second solenoid comprises a metal member having a long axis parallel to the longitudinal axis of the ion source chamber, and a main coil having a coil axis parallel to the long axis and comprising a plurality of windings that circumscribe the metal member. The main coil defines a coil footprint that is larger than an ion source chamber footprint of the ion source chamber. | 09-18-2014 |
20140261180 | PVD TARGET FOR SELF-CENTERING PROCESS SHIELD - In some embodiments, a target assembly, for use in a substrate processing chamber having a process shield, may include a backing plate having a first side and an opposing second side, wherein the second side comprises a first surface having a first diameter bounded by a first edge; a target material having a first side bonded to the first surface of the backing plate; wherein the first edge is an interface between the backing plate and the target material; a plurality of slots disposed along an outer periphery of the backing plate extending from the first side of the backing plate toward the second side of the backing plate, wherein the plurality of slots are configured to align the target assembly with respect to the process shield. | 09-18-2014 |
20140261181 | BEAM CONTROL ASSEMBLY FOR RIBBON BEAM OF IONS FOR ION IMPLANTATION - A beam control assembly to shape a ribbon beam of ions for ion implantation includes a first bar, second bar, first coil of windings of electrical wire, second coil of windings of electrical wire, first electrical power supply, and second electrical power supply. The first coil is disposed on the first bar. The first coil is the only coil disposed on the first bar. The second bar is disposed opposite the first bar with a gap defined between the first and second bars. The ribbon beam travels between the gap. The second coil is disposed on the second bar. The second coil is the only coil disposed on the second bar. The first electrical power supply is connected to the first coil without being electrically connected to any other coil. The second electrical power supply is connected to the second coil without being electrically connected to any other coil. | 09-18-2014 |
20140261182 | SUBSTRATE PROCESSING APPARATUS - The present invention provides a vacuum processing apparatus capable of reducing attachment of particles generated in a processing space to an inner wall of a chamber, and of easily adjusting pressure in the processing space while introducing a gas into the processing space at a desired flow rate. A vacuum processing apparatus according to one embodiment includes: a container; a gas exhaust portion; a substrate holder configured to retain a substrate; a shield provided to surround the substrate holder and dividing an inside of the container into a processing space and an outside space; a gas introducing portion; a plasma generating portion; and an exhaust portion provided to the shield having a communication path through which the processing space and the outside space communicate, wherein at least part of the communication path is hidden from a region where the plasma generating portion generates the plasma. | 09-18-2014 |
20140283746 | LINER ASSEMBLY AND SUBSTRATE PROCESSING APPARATUS HAVING THE SAME - Provided are a liner assembly and a substrate processing apparatus including the liner assembly. The liner assembly includes a side liner, an intermediate liner, and a lower liner. The side liner has a cylindrical shape with upper and lower portions opened. The intermediate liner is disposed under the side liner and has a plurality of first holes passing therethrough in a vertical direction. The lower liner is disposed under the intermediate liner. Here, the plurality of first holes are formed in different sizes and numbers in a plurality of regions. | 09-25-2014 |
20140283747 | PLASMA PROCESSING APPARATUS AND SHOWER PLATE - A plasma processing apparatus including a processing vessel | 09-25-2014 |
20140299059 | VAPOR DELIVERY SYSTEM - Vapor delivery systems for chemical depositions are shown in which the vapor delivery systems are capable of simultaneous buffering and fast response. The vapor delivery systems achieved the functionality using a two-volume system. The first volume mainly functions as a buffer to stabilize perturbations in vapor flow upstream. The second volume is smaller than the first volume so that the second volume responds to a change in vapor flow quickly. Optionally, a fixed flow restrictor is connected to the second volume and the fixed flow restrictor buffers downstream fluctuations. | 10-09-2014 |
20140318454 | PLASMA CVD APPARATUS - A plasma CVD apparatus of the present invention includes: a vacuum chamber; a vacuum exhaust unit that evacuates the vacuum chamber so that the inside becomes a vacuum state; a gas supply unit that supplies a source gas into the vacuum chamber; a plasma generation power supply that generates plasma in the source gas supplied into the vacuum chamber; a plurality of rotation holding units that hold the substrates in a spinning state; and a plurality of revolution mechanisms that revolve the plurality of rotation holding units around a revolution axis parallel to a shaft center and rotation axes of the rotation holding units, wherein the respective revolution mechanisms are divided as any one of a first group connected to one electrode of the plasma generation power supply and a second group connected to the other electrode of the plasma generation power supply. | 10-30-2014 |
20140338601 | DEPOSITION APPARATUS - A deposition apparatus according to an exemplary embodiment of the present invention includes: a reactor; a plasma chamber connected to the reactor; a plasma electrode mounted inside of the plasma chamber; and a gas supply plate coupled with the plasma chamber to supply gas into the plasma chamber, wherein a plurality of gas holes is formed at an inner wall of the gas supply plate, and the plurality of gas supply holes is spaced apart from each other by a predetermined interval. | 11-20-2014 |
20140338602 | PLASMA PROCESSING APPARATUS - In a plasma processing apparatus for processing a substrate by plasmatizing a process gas introduced into a processing container, an introducing unit which introduces the process gas is formed on a ceiling surface of the processing container; a gas retention portion which gathers the process gas supplied from the outside of the processing container through a supply passage, and a plurality of gas ejection holes which allow communication between the gas retention portion and the inside of the processing container are formed in the introducing unit; a gas ejection hole is not formed in a location of the gas retention portion that faces an opening of the supply passage; and a cross section of each of the gas ejection holes has a flat shape. | 11-20-2014 |
20140352617 | Coating Insulating Materials For Improved Life - A system for extending the life of insulating components disposed within a housing, such as an ion implanter, is disclosed. The system includes one or more insulating components, disposed in the housing, which are coated with a diamond like carbon (DLC) coating. The insulating components may be bushings or any insulating component used to electrically isolate two components having different voltage potentials, such as electrodes. This DLC coating retards the deposition of metals, such as those contained in the ion source, on the insulating components. This reduces the likelihood or electrical arcing or other phenomenon that affect the useful life of these insulating component. | 12-04-2014 |
20140373782 | SUBSTRATE SUPPORT APPARATUS AND SUBSTRATE PROCESS APPARATUS HAVING THE SAME - Provided is a substrate processing apparatus. The substrate processing apparatus includes a chamber in which a processing space is defined, a substrate support disposed in the chamber and supporting a substrate; and an upper electrode to which a radio frequency (RF) power is applied, the upper electrode facing the substrate support. The substrate support includes a plurality of ground electrodes spaced apart from each other and independently controlled so that plasma is uniformly generated to an edge area of the substrate support between the upper electrode and the substrate support. The substrate processing apparatus may uniformly control plasma distribution or density on a substrate and a periphery of the substrate and may uniformly control plasma distribution or density in the central area of the substrate and the edge area of the substrate. | 12-25-2014 |
20140373783 | FILM FORMING DEVICE - A film forming device forms a thin film on a substrate by reacting reaction gases in a process vessel. Electrode portions each oriented vertically are arranged to be spaced from each other in a horizontal direction. By applying high-frequency powers having different phases to adjacent electrode portions, a strong plasma generation space is formed above the substrate placed on a mounting table, while a weak plasma generation space is formed in the gap between the electrode portions and the substrate. A first reaction gas is supplied to the strong plasma generation space and a second reaction gas that forms the thin film by reacting with the active species of the first reaction gas is supplied to the weak plasma generation space. The reaction gases in the weak plasma generation space are discharged through exhaust channels. | 12-25-2014 |
20150007774 | FILM FORMATION DEVICE - A processing chamber accommodating a mounting table includes a first region and a second region. As the mounting table rotates, a substrate mounting region of the mounting table moves in a circumferential direction around the axis to pass through the first region and the second region. A first gas supply unit supplies a precursor gas to the first region from an injection unit disposed to face the mounting table. An exhaust outlet exhausts an exhaust port formed to extend along a closed path surrounding the exhaust outlet. A second gas supply unit supplies purge gas from an injection port formed to extend along a closed path surrounding the exhaust port. A plasma generation unit generates plasma from a reaction gas in the second region. An angular range of the second region is larger than an angular range of the first region. | 01-08-2015 |
20150013607 | IN-SITU DEPOSITION OF FILM STACKS - An apparatus for depositing film stacks in-situ (i.e., without a vacuum break or air exposure) are described. In one example, a plasma-enhanced chemical vapor deposition apparatus configured to deposit a plurality of film layers on a substrate without exposing the substrate to a vacuum break between film deposition phases, is provided. The apparatus includes a process chamber, a plasma source and a controller configured to control the plasma source to generate reactant radicals using a particular reactant gas mixture during the particular deposition phase, and sustain the plasma during a transition from the particular reactant gas mixture supplied during the particular deposition phase to a different reactant gas mixture supplied during a different deposition phase. | 01-15-2015 |
20150020736 | SUBSTRATE SUPPORT RING FOR MORE UNIFORM LAYER THICKNESS - Embodiments of substrate support rings providing more uniform thickness of layers deposited or grown on a substrate are provided herein. In some embodiments, a substrate support ring includes: an inner ring with a centrally located support surface to support a substrate; and an outer ring extending radially outward from the support surface, wherein the outer ring comprises a reaction surface area disposed above and generally parallel to a support plane of the support surface, and wherein the reaction surface extends beyond the support surface by about 24 mm to about 45 mm. | 01-22-2015 |
20150020737 | Atomic Layer Deposition Using Radicals Of Gas Mixture - Performing atomic layer deposition (ALD) using radicals of a mixture of nitrogen compounds to increase the deposition rate of a layer deposited on a substrate. A mixture of nitrogen compound gases is injected into a radical reactor. Plasma of the compound gas is generated by applying voltage across two electrodes in the radical reactor to generate radicals of the nitrogen compound gases. The radicals are injected onto the surface of a substrate previously injected with source precursor. The radicals function as a reactant precursor and deposit a layer of material on the substrate. | 01-22-2015 |
20150027373 | APPARATUS FOR TREATING A GAS STREAM - An apparatus for treating a gas stream. A plasma generator generates a plasma flare. A first inlet conveys the gas stream into the apparatus. A reaction chamber is located downstream of the plasma generator in which gas is treated. A second inlet receives a liquid into the apparatus for establishing a liquid weir over an interior surface of the reaction chamber for resisting accumulation of solid deposits on the interior surface. A weir guide has an outer annular surface for directing liquid over the interior surface and an inner annular surface in flow communication with the outer surface so that liquid flows form the outer surface to the inner surface to resist depositing on the inner surface. | 01-29-2015 |
20150027374 | VAPOR DEPOSITION APPARATUS - A vapor deposition apparatus includes a first injection unit through which a first raw gas is injected in a first direction, and a first filter unit which is mounted in the first injection unit and includes a plurality of plates separated from one another in the first direction and disposed in parallel to one another, where holes are defined in each of the plurality of plates which is detachably coupled in the first filter unit. | 01-29-2015 |
20150034010 | SUSCEPTOR AND APPARATUS INCLUDING THE SAME - A film deposition apparatus includes a chamber, at least one susceptor disposed inside the chamber and including a seating part, and at least three protrusion parts disposed on the seating part. The seating part is configured to have a wafer seated thereon. The film deposition apparatus further includes a heat source configured to supply heat to the at least one susceptor. The at least three protrusion parts are spaced a distance apart from a center of the at least one susceptor, and the distance is greater than or equal to one third (⅓) of a radius of the wafer seated on the at least one susceptor or greater than or equal to one third (⅓) of a radius of the at least one susceptor. | 02-05-2015 |
20150114295 | DEPOSITION APPARATUS - An exemplary embodiment of the present invention provides a deposition apparatus including: a substrate support for supporting a substrate; a reaction chamber wall defining a reaction chamber and contacting the substrate support; a plurality of gas inlets connected to the reaction chamber wall; a remote plasma unit connected to at least one of the plurality of gas inlets; and a gas-supplying path connected to the plurality of gas inlets and defining a reaction region along with the substrate support. A plurality of gases passing through the plurality of gas inlets move along the gas-supplying path to be directly supplied onto the substrate without contacting other parts of the reactor. | 04-30-2015 |
20150122178 | REACTION CHAMBER FOR DEPOSITION OF A SEMICONDUTOR LAYER ON THE PLURALITY SUBSTRATES IN BATCHES - A reaction chamber for deposition of a semiconductor layer or layer structure on the plurality of substrate surfaces in substrate batches wherein the chamber comprises a body with an inner volume and a closing bottom lid, in the inner volume rectangular substrates are aranged spaced apart from each other and electrodes suitable for providing high-frequency electromagnetic field are disposed between the substrates; and the space presenting between the surfaces to be deposited provide flow channels making available the laminar flow of reaction gases between two opposite sides of the chamber, and the chamber is characterized in that the closing bottom lid can be opened in the vertical up-and-down direction, and the lid comprises supporting frames for holding the substrates from the bottom and along the side edges, and the supporting frames are provided with adequate recesses to enable them to perform this supporting function. | 05-07-2015 |
20150136024 | LIQUID DISCHARGE HEAD - A liquid discharge head has a substrate, an energy generating element which generates energy for discharging liquid, and an orifice plate in which a discharge orifice which discharges liquid is formed, in which the orifice plate contains silicon and carbon and when the content ratio of the silicon is defined as X (atom %) and the content ratio of the carbon is defined as Y (atom %), Y/X is 0.001 or more. | 05-21-2015 |
20150136025 | RESIN CONTAINER COATING DEVICE - The resin container coating device includes a chamber which stores a plurality of resin containers and is an external electrode; a plurality of internal electrodes in which gas conductive parts for conducting a source gas are formed and which are respectively inserted inside the plurality of resin containers; and a gas supply unit for supplying the source gas to the chamber, the gas supply unit includes a source gas supply path and a plurality of gas branch paths that branch from the source gas supply path and respectively communicate with the gas conductive parts, and a mass flow controller for controlling the flow rate of the source gas is provide to the source gas supply path and flow rate control valves for controlling the flow rate of the source gas are respectively provided to the plurality of gas branch paths. | 05-21-2015 |
20150303037 | Substrate Processing Apparatus - Disclosed is an apparatus for processing substrate which prevents a plasma discharge from being transferred to a substrate so as to minimize damages on the substrate and also minimize deterioration in quality of a thin film deposited on the substrate, wherein the apparatus may include a process chamber for providing a reaction space, and a gas distribution module for dissociating processing gas by the use of plasma, and distributing the dissociated processing gas onto a substrate, wherein the gas distribution module may include a lower frame having a plurality of electrode inserting portions, an upper frame having a plurality of protruding electrodes and processing gas distribution holes, and an insulating plate having a plurality of electrode penetrating portions. | 10-22-2015 |
20150345020 | HOLLOW CATHODE DISCHARGE (HCD) SUPPRESSING CAPACITIVELY COUPLED PLASMA ELECTRODE AND GAS DISTRIBUTION FACEPLATE - A faceplate for a gas distribution system of a plasma processing chamber includes a faceplate body having a first surface, a second surface opposite to the first surface and a side surface. A first plurality of holes in the faceplate body extends from the first surface to the second surface. At least some of the first plurality of holes has a first size dimension and a second size dimension in a plane parallel to the first surface. The first size dimension is transverse to the second size dimension. The first size dimension is less than 3 plasma sheath thicknesses of plasma generated by the plasma processing chamber. The second size dimension is greater than 2 times the first size dimension. | 12-03-2015 |
20150376788 | APPARATUS FOR RADICAL-BASED DEPOSITION OF DIELECTRIC FILMS - Embodiments disclosed herein generally include an apparatus for radical-based deposition of dielectric films. The apparatus includes a processing chamber, a radical source coupled to the processing chamber, a substrate support disposed in the processing chamber, and a dual-channel showerhead disposed between the radical source and the substrate support. The dual-channel showerhead includes a plurality of tubes and an internal volume surrounding the plurality of tubes. The plurality of tubes and the internal volume are surrounded by one or more annular channels embedded in the dual-channel showerhead. The dual-channel showerhead further includes a first inlet connected to the one or more channels and a second inlet connected to the internal volume. The processing chamber may be a PECVD chamber, and the apparatus is capable of performing a cyclic process (alternating radical based CVD and PECVD). | 12-31-2015 |
20150380217 | CHAMBER DESIGN FOR SEMICONDUCTOR PROCESSING - Embodiments described herein provide an apparatus for improving deposition uniformity by improving plasma profile using a tri-cut chamber liner. The apparatus also includes a lid assembly having a split process stack for reducing downtime and a bottom heater support for more efficient heating of chamber walls. | 12-31-2015 |
20160010207 | Plasma-Enhanced Atomic-Layer Deposition System and Method | 01-14-2016 |
20160042923 | PLASMA DEVICE - A plasma device is proposed, the plasma device including: a chamber configured to accommodate a processed article; a plasma source configured to generate a plasma applied to the processed article accommodated in the chamber; a chuck unit configured to support the processed article accommodated in the chamber; and a cooling channel formed inside the chamber to allow flowing cooling water. | 02-11-2016 |
20160060750 | DEPOSITION APPARATUS - A deposition apparatus comprises a target unit, an anode unit into which electrons emitted from the target unit flow, a striker configured to come into contact with the target unit to render the target unit and the anode unit conductive, so as to cause arc discharge between the target unit and the anode unit, a striker driving unit configured to drive the striker in one of a direction toward the target unit and a direction to retract from the target unit, a power supply unit configured to supply power to the target unit and the anode unit, and a control unit configured to control the striker driving unit and the power supply unit. The control unit supplies the power to the target unit and the anode unit after bringing the striker into contact with the target unit. | 03-03-2016 |
20160064193 | SEMICONDUCTOR MANUFACTURING APPARATUS AND METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE USING THE SAME - A semiconductor manufacturing apparatus includes a lower electrode, an upper electrode, first and second high-frequency power sources, and a controller. The lower electrode is disposed in a process chamber, and the upper electrode is disposed over the lower electrode in the process chamber. The first high-frequency power source is connected to one of the lower electrode and the upper electrode, and the second high-frequency power source is connected to one of the lower electrode and the upper electrode. The controller is connected to the first and second high-frequency power sources. The first high-frequency power source generates a first high-frequency power used to perform a first capacitively coupled plasma (CCP) process. The second high-frequency power source generates a second high-frequency power used to perform a second CCP process. The controller controls the second high-frequency power source to interrupt the second high-frequency power during the first CCP process. | 03-03-2016 |
20160068951 | ALIGNMENT SYSTEMS EMPLOYING ACTUATORS PROVIDING RELATIVE DISPLACEMENT BETWEEN LID ASSEMBLIES OF PROCESS CHAMBERS AND SUBSTRATES, AND RELATED METHODS - Alignment systems employing actuators provide relative displacement between lid assemblies of process chambers and substrates, and related methods are disclosed. A process chamber includes chamber walls defining a process volume in which a substrate may be placed and the walls support a lid assembly of the process chamber. The lid assembly contains at least one of an energy source and a process gas dispenser. Moreover, an alignment system may include at least one each of a bracket, an interface member, and an actuator. By attaching the bracket to the chamber wall and securing the interface member to the lid assembly, the actuator may communicate with the bracket and the interface member to provide relative displacement between the chamber wall and the lid assembly. In this manner, the lid assembly may be positioned relative to the substrate to improve process uniformity across the substrate within the process chamber. | 03-10-2016 |
20160093478 | High Throughput Vacuum Deposition Sources and System - A high throughput deposition apparatus includes a first process chamber; one or more first deposition sources in the first process chamber; a first main carrier comprising a plurality of first sub-carriers each configured to carry one or more substrate each positioned around an axial direction and configured to receive a first deposition material from the one or more first deposition sources, wherein the first sub-carriers define a curved surface around the axial direction; and a transport mechanism configured to move the first main carrier along the axial direction through the first process chamber. | 03-31-2016 |
20160102396 | PHYSICAL VAPOR DEPOSITION APPARATUS AND METHOD OF DEPOSITING PHASE-CHANGE MATERIALS USING THE SAME - A physical vapor deposition (PVD) apparatus for forming a phase-changeable layer includes a process chamber including a loading chamber configured to load a substrate, and a depositing chamber configured to deposit ion particles of a phase-changeable material onto the substrate; a target member on an upper portion of the depositing chamber and configured to provide the ion particles of the phase-changeable material which react with process gases in a plasma state; a plasma generator configured to generate a process gas plasma from the process gases; a chuck on a lower portion of the depositing chamber and holding the substrate, the chuck including a heater configured to heat the substrate, and at least one electrode configured to guide the ion particles of the phase-changeable material to the substrate; and a supplementary heater in the process chamber and configured to transfer radiant heat around the substrate. | 04-14-2016 |
20160102402 | SYSTEMS AND METHODS FOR PRODUCTION OF GRAPHENE BY PLASMA-ENHANCED CHEMICAL VAPOR DEPOSITION - Production of bulk quantities of graphene for commercial ventures has proven difficult due to scalability issues in certain instances. Plasma-enhanced chemical vapor deposition of graphene can address at least some of these issues. Methods for production of graphene by plasma-enhanced chemical vapor deposition can include: providing a metal substrate and a carbonaceous electrode, at least a portion of the metal substrate being located proximate to the carbonaceous electrode with a gap defined therebetween; applying a potential between the metal substrate and the carbonaceous electrode; exciting a plasma-forming gas in the gap between the metal substrate and the carbonaceous electrode in the presence of the applied potential, thereby forming a plasma; ablating a reactive carbon species from the carbonaceous electrode in the presence of the plasma; and growing graphene on the metal substrate from the reactive carbon species. | 04-14-2016 |
20160138157 | THIN FILM DEPOSITION APPARATUS - A thin film deposition apparatus, including a plurality of linear nozzle parts separated from each other; and an exhaust plate to which is attached the plurality of linear nozzle parts, each linear nozzle part including a linear body member; a pair of first reaction gas pipes in the linear body member and inflowing a first reaction gas; a second reaction gas pipe between the pair of first reaction gas pipes and inflowing a second reaction gas; and a pair of control gas pipes between each of the first reaction gas pipes and the second reaction gas pipe and inflowing a control gas controlling a flow of the second reaction gas. | 05-19-2016 |
20160153086 | SUBSTRATE PROCESSING APPARATUS | 06-02-2016 |
20160160351 | LINER ASSEMBLY AND SUBSTRATE PROCESSING APPARATUS HAVING THE SAME - Provided are a liner assembly and a substrate processing apparatus including the liner assembly. The liner assembly includes a side liner, an intermediate liner, and a lower liner. The side liner has a cylindrical shape with upper and lower portions opened. The intermediate liner is disposed under the side liner and has a plurality of first holes passing therethrough in a vertical direction. The lower liner is disposed under the intermediate liner. Here, the plurality of first holes are formed in different sizes and numbers in a plurality of regions. | 06-09-2016 |
20160163972 | PLASMA ASSISTED ATOMIC LAYER DEPOSITION TITANIUM OXIDE FOR CONFORMAL ENCAPSULATION AND GAPFILL APPLICATIONS - The embodiments herein relate to methods and apparatus for depositing an encapsulation layer over memory stacks in MRAM and PCRAM applications. The encapsulation layer is a titanium dioxide (TiO | 06-09-2016 |
20160181128 | HIGH-THROUGHPUT SEMICONDUCTOR-PROCESSING APPARATUS EQUIPPED WITH MULTIPLE DUAL-CHAMBER MODULES | 06-23-2016 |
20160203954 | VAPOR DEPOSITION APPARATUS, DEPOSITION METHOD, AND METHOD OF MANUFACTURING ORGANIC LIGHT-EMITTING DISPLAY APPARATUS BY USING THE SAME | 07-14-2016 |
20160376700 | SYSTEM FOR TREATMENT OF DEPOSITION REACTOR - A system and method for treating a deposition reactor are disclosed. The system and method remove or mitigate formation of residue in a gas-phase reactor used to deposit doped metal films, such as aluminum-doped titanium carbide films or aluminum-doped tantalum carbide films. The method includes a step of exposing a reaction chamber to a treatment reactant that mitigates formation of species that lead to residue formation. | 12-29-2016 |
20190145001 | DEPOSITION APPARATUS INCLUDING UPPER SHOWER HEAD AND LOWER SHOWER HEAD | 05-16-2019 |
20090288603 | PLASMA AND ELECTRON BEAM ETCHING DEVICE AND METHOD - Methods and devices for selective etching in a semiconductor process are shown. Chemical species generated in a reaction chamber provide both a selective etching function and concurrently form a protective coating on other regions. An electron beam provides activation to selective chemical species. In one example, reactive species are generated from a plasma source to provide an increased reactive species density. Addition of other gasses to the system can provide functions such as controlling a chemistry in a protective layer during a processing operation. | 11-26-2009 |
20100024730 | Processing system - A processing system for processing an object ( | 02-04-2010 |
20110308461 | Electron Beam Enhanced Nitriding System (EBENS) - An electron beam enhanced nitriding system that passes a high-energy electron beam through nitrogen gas to form a low electron temperature plasma capable of delivering nitrogen ions and radicals to a substrate to be nitrided. The substrate can be mounted on an electrode, and the substrate can be biased and heated. | 12-22-2011 |
20120137974 | Method and Apparatus For Application of Metallic Alloy Coatings - A directed vapor deposition (DVD) method and system for applying at least one bond coating on at least one substrate for thermal barrier coating systems. To overcome the limitations incurred by conventional methods, the DVD system uses an electron beam directed vapor deposition (DVD) technique to evaporate and deposit compositionally and morphologically controlled bond coats at high rate. The present DVD system uses the combination of an electron beam and a combined inert gas/reactive gas carrier jet of controlled composition to create engineering films. In this system, the vaporized material can be entrained in the carrier gas jet and deposited onto the substrate at a high rate and with high materials utilization efficiency. The velocity and flux of the gas atoms entering the chamber, the nozzle parameters, and the operating chamber pressure can all be significantly varied, facilitating wide processing condition variation and allowing for improved control over the properties of the deposited layer. | 06-07-2012 |
20150345021 | PULSED PLASMA DEPOSITION DEVICE - A pulsed plasma deposition device, including an apparatus for generating a beam of electrons, a target and a substrate, the apparatus being suitable for generating a pulsed beam of electrons directed towards said target to determine the ablation of the material of said target in the form of a plasma plume directed towards said substrate. The device includes a transportation and focussing group of the beam of electrons towards said target, arranged between said apparatus and said target and including a transportation cone, the transportation and focussing group also including a focussing electrode directly connected to the transportation cone and shaped substantially like a loop. The axis of symmetry of the focussing electrode is perpendicular, or substantially perpendicular, to the surface of the target. | 12-03-2015 |
20110126763 | VAPOR DEPOSITION DEVICE - A vapor deposition device includes a holding compartment and a reaction device. The holding compartment defines a receiving chamber and includes a number of inner side surfaces and a number of holding plates disposed on the respective inner side surfaces, each holding plate defines a number of holding grooves for holding substrates. The reaction device is rotatably received in the receiving chamber and includes an outer barrel and an inner barrel received in the outer barrel, the outer barrel and the inner barrel cooperatively defines a first room, the inner barrel defines a second room; the reaction device includes ion nozzles communicating with the second room and precursor gas nozzles communicating with the first room; the outer barrel includes at least one crucible and at least one electron beam gun received in the first room, the inner barrel includes an ion source received in the second room. | 06-02-2011 |
20130333618 | HALL EFFECT PLASMA SOURCE - The present invention generally relates to an apparatus for treating a substrate. The apparatus utilizes two plasma sources that operate in different phases (i.e., one positive phase while the other negative phase). By alternating phases, the current density is alternated between the sources such that one source can generate ions while the other source can generate electrons. Therefore, each adjacent source acts as the cathode in opposite to the anode of the adjacent source. By having adjacent sources having alternating phases, uniform deposition occurs. | 12-19-2013 |
20140123898 | CHARGED PARTICLE BEAM DEVICE - A charged particle beam device includes: a sample stage ( | 05-08-2014 |
20080196666 | Shower head and cvd apparatus using the same - The showerhead for a CVD apparatus can be easily produced and is capable of forming a film efficiently. The showerhead comprises: a shower plate being made of a metal; and a porous plate contacting a rear face of the shower plate. A plurality of gas diffusion holes are formed in a plate section of the shower plate, which faces a workpiece, and penetrate the plate section in the thickness direction, and the porous plate covers all of the gas diffusion holes. | 08-21-2008 |
20080210166 | Plasma enhanced chemical vapor desposition device having multiple sub-electrodes - An exemplary PECVD device includes a first electrode ( | 09-04-2008 |
20080230008 | PLASMA SPECIES AND UNIFORMITY CONTROL THROUGH PULSED VHF OPERATION - An apparatus for processing a substrate has a chamber, a high frequency power source, and a low frequency power source. The chamber has a first and second electrode disposed therein. The high frequency power source is electrically coupled to either the first or second electrode to supply a first RF signal. The low frequency power source electrically coupled to either the first or second electrode to supply a second RF signal. The first RF signal is pulsed on and off so as to enhance electron loss in the chamber. | 09-25-2008 |
20080251017 | Fastening Unit for Ignition Units and Device for Carbon Deposition - A fastening unit for fastening ignition units as part of a device for carbon deposition is provided, the fastening unit of the device having a first and a second holder, the ignition unit being situated between the two holders, and the holders being held together by at least one fastening device. The first holder has a first plane that has a first angle between 0° and 45° in relation to the longitudinal axis of the first holder. The ignition unit is situated such that the end surface of the ignition unit forms a right angle to the first plane. | 10-16-2008 |
20080271676 | PLASMA TREATMENT METHOD AND PLASMA TREATMENT APPARATUS - In a plasma treatment method of and apparatus for treating the surface of a treatment target substrate by utilizing glow discharge produced by supplying high-frequency power into an inside-evacuated reactor through a high-frequency power supply means, a plurality of impedance regulation means for regulating impedances on the side of the reactor and on the side of the high-frequency power supply means are provided correspondingly to the impedances of a plurality of reactors, and the high-frequency power is supplied into the reactors via the impedance regulation means corresponding to the reactors. Plasma treatment can be made in a good efficiency and a low cost on a plurality of reactors having different impedances. | 11-06-2008 |
20090044751 | STRUCTURE FOR PREVENTING GAP FORMATION AND PLASMA PROCESSING EQUIPMENT HAVING THE SAME - Plasma processing equipment having a structure for preventing gap formation includes: a chamber inside which a plasma environment is formed; an upper electrode positioned at a upper position of the chamber; an electrostatic chuck positioned at a lower position of the electrostatic chuck, having a lower electrode and holding a wafer on a top surface thereof; a ring positioned at an outer side of the electrostatic chuck; and a gap prevention unit for isolating from the outside a space between the electrostatic chuck and the ring. | 02-19-2009 |
20090044752 | PLASMA PROCESSING APPARATUS, ELECTRODE TEMPERATURE ADJUSTMENT DEVICE AND ELECTRODE TEMPERATURE ADJUSTMENT METHOD - Before a substrate is processed in a plasma processing apparatus that inhibits an increase in the temperature of an upper electrode attributable to DC voltage application as well as an increase in the upper electrode temperature attributable to high-frequency power application, a heating medium target temperature to be achieved by a heating medium in order to adjust the upper electrode temperature to a predetermined temperature setting is calculated based upon the levels of the high-frequency power to be applied to the upper electrode and a susceptor (lower electrode) and the DC voltage to be applied to the upper electrode. During the substrate processing, the heating medium, the temperature of which is controlled based upon the target temperature, circulates through a flow passage formed at the upper electrode so as to control the temperature of the upper electrode. | 02-19-2009 |
20090044753 | METHODS TO IMPROVE THE IN-FILM DEFECTIVITY OF PECVD AMORPHOUS CARBON FILMS - An article having a protective coating for use in semiconductor applications and methods for making the same are provided. In certain embodiments, a method of coating an aluminum surface of an article utilized in a semiconductor processing chamber is provided. The method comprises providing a processing chamber; placing the article into the processing chamber; flowing a first gas comprising a carbon source into the processing chamber; flowing a second gas comprising a nitrogen source into the processing chamber; forming a plasma in the chamber; and depositing a coating material on the aluminum surface. In certain embodiments, the coating material comprises an amorphous carbon nitrogen containing layer. In certain embodiments, the article comprises a showerhead configured to deliver a gas to the processing chamber. | 02-19-2009 |
20090078201 | VERTICAL PLASMA PROCESSING APPARATUS FOR SEMICONDUCTOR PROCESS - A vertical plasma processing apparatus for a semiconductor process includes an airtight auxiliary chamber defined by a casing having an insulative inner surface and integrated with a process container. The auxiliary chamber includes a plasma generation area extending over a length corresponding to a plurality of target substrates in a vertical direction. A partition plate having an insulative surface is located between a process field and the plasma generation. The partition plate includes a gas passage disposed over a length corresponding to the plurality of target substrates in a vertical direction. A process gas is exited while passing through the plasma generation area, and is then supplied through the gas passage to the process field. | 03-26-2009 |
20090101069 | RF RETURN PLATES FOR BACKING PLATE SUPPORT - Embodiments of the present invention generally comprise an RF return plate for use in an apparatus that utilizes RF current. Whenever a backing plate is so large that a backing plate support structure is needed to prevent the backing plate from sagging, RF current that flows across the backing plate towards the showerhead may be partially diverted and flow up the support structure. The RF current that flows up the support structure puts an unwanted bias on the support structure and also contributes to reduction of the RF current flowing to the showerhead. By returning the RF current to the source, the amount of RF current that may flow up the support structure may be reduced. An RF return plate may be disposed between the chamber lid and the support structure to redirect any RF current that may flow up the support structure back down to the chamber lid. | 04-23-2009 |
20090120367 | Plasma immersion ion implantation reactor with extended cathode process ring - The disclosure concerns a process ring for the wafer support pedestal of a toroidal source plasma immersion ion implantation reactor. The process ring improves edge uniformity by providing a continuous surface extending beyond the wafer edge, in one embodiment. In another embodiment, the process ring includes a floating electrode that functions as an extension of the wafer support electrode by RF coupling at the bias frequency. | 05-14-2009 |
20090126632 | Quick-change precursor manifold for large-area CVD and PECVD - A tube-array showerhead for CVD or PECVD on large substrates delivers precursors to a process chamber via an array of tubes drilled with precision holes. The tubes rapidly become contaminated with use and must be changed frequently to maintain process quality. An improved manifold for a tube-array showerhead, intended for processes with a low pressure differential between the tubes and process chamber, includes holding-stubs to hold each tube by its ends outside the manifold block. At least one holding-stub for each tube is spring-loaded along the direction of the tube's operating axis. Contaminated tubes can be removed, and clean tubes installed, without disassembling the manifolds or disturbing any high-pressure-differential seals to the ambient atmosphere or precursor supplies. This invention reduces production costs by decreasing chamber down-time and reducing the risk of creating leaks when tubes are changed. | 05-21-2009 |
20090126633 | ELECTRODE/PROBE ASSEMBLIES AND PLASMA PROCESSING CHAMBERS INCORPORATING THE SAME - The present invention relates generally to plasma processing chambers and electrode assemblies used therein. According to one embodiment, an electrode assembly comprises a thermal control plate, a silicon-based showerhead electrode, and a probe assembly comprising an electrically conductive probe body and a silicon-based cap. The electrode assembly is configured such that the handedness of a threaded engagement of the silicon-based cap and a head section of the probe body and the handedness of the threaded engagement of the thermal control plate and a mid-section of the probe body have a common direction of rotation. Thereby, an application of torque to the silicon-based cap in a tightening direction of rotation tightens both threaded engagements. Further, the electrode assembly is configured such that the threaded engagement of the silicon-based cap and a head section of the probe body permits repetitive non-destructive engagement and disengagement of the silicon-based cap and the probe body. | 05-21-2009 |
20090145359 | Gas Shower Plate for Palsma Processing Apparatus - In a plasma processing apparatus for generating a plasma in a plasma generation space between a lower electrode and an upper electrode so that a processing object mounted on the lower electrode is subjected to plasma processing, a plurality of cutout portions for absorption of strain caused by thermal expansion due to rapid temperature increases in the plasma processing are formed at an equal pitch in an outer edge portion of a gas shower plate included in the upper electrode. Thus, the gas shower plate can be prevented from being damaged by occurrence of cracks in the outer edge portion of the gas shower plate or the like. | 06-11-2009 |
20090145360 | METHOD AND APPARATUS FOR CLEANING A CVD CHAMBER - The present invention is a method and apparatus for cleaning a chemical vapor deposition (CVD) chamber using cleaning gas energized to a plasma in a gas mixing volume separated by an electrode from a reaction volume of the chamber. In one embodiment, a source of RF power is coupled to a lid of the chamber, while a switch is used to couple a showerhead to ground terminals or the source of RF power. | 06-11-2009 |
20090183680 | Electrode with Improved Plasma Uniformity - An electrode with improved plasma uniformity is disclosed, which is used for a chamber capable of generating a plasma. The electrode comprises an electrode plate and a perturbation slot. By well designing the perturbation slot of the electrode, the disclosed electrode can improve the uniformity of the plasma density, and is suitable for use in various types of substrate and can be widely applied in a plasma process system. | 07-23-2009 |
20090194028 | PLASMA PROCESSING DEVICE - A plasma processing device of the type comprises an RF electrode which is made of a metal and is covered with a ceramic material at least at a portion of the metal exposed to a plasma. The RF electrode is so controlled that a discharge amount of a gas generated therefrom is in the range of 10 | 08-06-2009 |
20090241835 | Substrate processing apparatus - A substrate processing apparatus includes a processing chamber in which a substrate is mounted, a gas supply unit that supplies processing gas into the processing chamber, a gas exhaust unit that exhausts atmospheric gas in the processing chamber, first and second electrodes to which high-frequency power is applied to set the processing gas to an active state. Each of the first and second electrodes includes a core wire formed of a metal and plural pipe bodies that are joined to one another through the core wire so as to be bendable, and less thermally deformed than the core wire. | 10-01-2009 |
20090255468 | Substrate Processing Apparatus - Disclosed is a substrate processing apparatus, including: a processing chamber to accommodate a plurality of substrates therein in such a way that the substrate are vertically stacked; a gas supply system to supply processing gas into the processing chamber; an exhaust system to exhaust an atmosphere from the processing chamber; at least a pair of electrodes made of flexible member extending in a stacking direction of the substrates to activate the processing gas; and protecting tubes to accommodate the electrodes therein, wherein each of the protecting tubes is provided with a bent portion at a higher position than an uppermost substrate, and a tip end of each of the electrodes is located on a tip end side of each of the protecting tubes over the bent portion. | 10-15-2009 |
20090288602 | Electrode and Vacuum Processing Apparatus - An electrode and a vacuum processing apparatus are provided that are capable of improving the film deposition rate and the uniformity of the distribution of the deposited film. The electrode includes a plurality of electrodes ( | 11-26-2009 |
20100024729 | METHODS AND APPARATUSES FOR UNIFORM PLASMA GENERATION AND UNIFORM THIN FILM DEPOSITION - System for depositing a thin film over a substrate comprise a reaction space, a substrate support member configured to permit movement of a substrate in a longitudinal direction, and a plasma-generating apparatus disposed in the reaction space and configured to form plasma-excited species of a vapor phase chemical. The plasma-generating apparatus can comprise a cathode unit having an electrode plate and one or more gas diffuser plates for forming a high-density, linearly-shaped and uniform plasma in a space between the substrate and the cathode unit. | 02-04-2010 |
20100031886 | METHOD OF GAP-FILLING USING AMPLITUDE MODULATION RADIOFREQUENCY POWER AND APPARATUS FOR THE SAME - A method of filling a gap on a substrate comprises disposing the substrate, on which the gap is formed, on a susceptor in a chamber; applying a source power to the chamber to generate plasmas into the chamber; supplying a process gas into the chamber; filling a thin film into a gap by applying a first bias power to the susceptor, an amplitude of the first bias power being periodically modulated; stopping supply of the process gas and cutting off the first bias power; and extinguish the plasmas in the chamber. | 02-11-2010 |
20100037821 | VACUUM PROCESSING APPARATUS - Disclosed is a vacuum processing apparatus in which a conducive partition having a plurality of through holes is formed inside a vacuum processing vessel, and an internal space of the vacuum processing vessel is partitioned into a plasma generating space in which a high-frequency electrode is installed to function as a counter electrode with respect to the partition, and a substrate processing space in which a substrate is set. This vacuum processing apparatus includes a gas reservoir formed on a sidewall of the vacuum processing vessel and communicating with the plasma generating space, and a gas supply system connected to the gas reservoir to supply a gas to the gas reservoir. | 02-18-2010 |
20100037822 | VACUUM PROCESSING APPARATUS - A substrate processing apparatus includes a vacuum processing vessel, a partition which is made of a conductive material, and partitions the interior of the vacuum processing vessel into a first space for generating a plasma, and a second space for processing a substrate by the plasma, a high-frequency electrode for plasma generation installed in the first space, and a substrate holding mechanism which is installed in the second space and holds the substrate. The partition has a plurality of through holes which allow the first and second spaces to communicate with each other. The through holes are covered with a covering material having a recombination coefficient higher than that of the conductive material. | 02-18-2010 |
20100043708 | CERAMIC HEATER, METHOD OF MANUFACTURING THE SAME, AND APPARATUS FOR FORMING A THIN LAYER HAVING THE SAME - A ceramic heater capable of reducing power consumption, a method of manufacturing the ceramic heater and an apparatus for forming a thin layer having the ceramic heater are disclosed. The ceramic heater includes a plate, a first heating layer, a second heating layer and a connecting member. The first and second heater layers are disposed parallel to each other within the plate. The connecting member includes a ceramic material having a negative temperature coefficient (NTC) to electrically connect the first heating layer with the second heating layer at a temperature higher than a predetermined target temperature. | 02-25-2010 |
20100064971 | Electrode for Generating Plasma and Plasma Generator - A plasma generator may include a first electrode extending in one direction, and a second electrode spaced apart from the first electrode. Facing surfaces of the first electrode and the second electrode may have spiral shapes along the one direction. A cross-section of the first electrode and a cross-section of the second electrode, which are perpendicular to the one direction, may have at least partially concentric shapes. An electrode for generating plasma may include a platform extending in one direction, and at least one protruding thread spirally formed on a surface of the platform along the one direction. | 03-18-2010 |
20100083901 | Arrangement for Producing Coatings on Substrates in Vacuo - The invention relates to an assembly for the formation of coatings on substrates in a vacuum, wherein a plasma is formed by means of electric arc discharge at least on one target connected as cathode, and the arc discharge will be ignited between an anode and the target by means of a deflectable focused laser beam which is directed through a window to the surface of the target. It is an object of the invention to provide a technical solution by means of which an undesired coating in the window area of a vacuum chamber can be distinctly reduced. According to the invention, for this a permanent magnet or electromagnet is disposed between the window and at least one target at the side next to, above or below the optical axis of the laser beam, and the laser beam is guided through a magnetic field developed by the permanent magnet or electromagnet. | 04-08-2010 |
20100089319 | RF RETURN PATH FOR LARGE PLASMA PROCESSING CHAMBER - A method and apparatus having a RF return path with low impedance coupling a substrate support to a chamber wall in a plasma processing system is provided. In one embodiment, a processing chamber includes a chamber body having a chamber sidewall, a bottom and a lid assembly supported by the chamber sidewall defining a processing region, a substrate support disposed in the processing region of the chamber body, a shadow frame disposed on an edge of the substrate support assembly, and a RF return path having a first end coupled to the shadow frame and a second end coupled to the chamber sidewall. | 04-15-2010 |
20100095891 | METHOD AND APPARATUS FOR CLEANING A CVD CHAMBER - The present invention is a method and apparatus for cleaning a chemical vapor deposition (CVD) chamber using cleaning gas energized to a plasma in a gas mixing volume separated by an electrode from a reaction volume of the chamber. In one embodiment, a source of RF power is coupled to a lid of the chamber, while a switch is used to couple a showerhead to ground terminals or the source of RF power. | 04-22-2010 |
20100107980 | Method and apparatus for extracting ions from an ion source for use in ion implantation - Thermal control is provided for an extraction electrode of an ion-beam producing system that prevents formation of deposits and unstable operation and enables use with ions produced from condensable vapors and with ion sources capable of cold and hot operation. Electrical heating of the extraction electrode is employed for extracting decaborane or octadecaborane ions. Active cooling during use with a hot ion source prevents electrode destruction, permitting the extraction electrode to be of heat-conductive and fluorine-resistant aluminum composition. The service lifetime of the system is enhanced by provisions for in-situ etch cleaning of the ion source and extraction electrode, using reactive halogen gases, and by having features that extend the service duration between cleanings, including accurate vapor flow control and accurate focusing of the ion beam optics. A remote plasma source delivers F or Cl ions to the de-energized ion source for the purpose of cleaning deposits in the ion source and the extraction electrode. These techniques enable long equipment uptime when running condensable feed gases such as sublimated vapors, and are particularly applicable for use with so-called cold ion sources and universal ion sources. Methods and apparatus are described which enable long equipment uptime when decaborane and octadecaborane are used as feed materials, as well as when vaporized elemental arsenic and phosphorus are used, and which serve to enhance beam stability during ion implantation. | 05-06-2010 |
20100122657 | Electrode, Chemical Vapor Deposition Apparatus Including the Electrode and Method of Making - The present disclosure is directed to a chemical vapor deposition apparatus. The apparatus comprises a chamber having a gas inlet and a gas outlet. A first electrode is at least partially positioned in the chamber. The first electrode comprises an electrically conductive first portion and an electrically conductive second portion, the first portion being attached to the second portion by a first TIG weld bead. A second electrode is at least partially positioned in the chamber. The second electrode comprises an electrically conductive third portion and an electrically conductive fourth portion, the third portion being attached to the fourth portion by a second TIG weld bead. An electrode and a method of making the electrode are also disclosed. | 05-20-2010 |
20100139562 | SUBSTRATE TREATMENT APPARATUS - A substrate treatment apparatus includes a chamber providing a reaction region and including first and second sides facing each other, a module connected to the first side, an upper electrode in the reaction region, a substrate holder facing the upper electrode, wherein a substrate is disposed on the substrate holder, and first and second points are defined on the substrate, wherein the first point corresponds to a center of the substrate, and the second point is distant from the first point toward the first side, and a feeding line for applying an RF power, the feeding line connected to the upper electrode corresponding to the second point. | 06-10-2010 |
20100139563 | FABRICATION OF LARGE GRAIN POLYCRYSTALLINE SILICON FILM BY NANO ALUMINUM-INDUCED CRYSTALLIZATION OF AMORPHOUS SILICON - One aspect of the present invention relates to a method for fabricating a polycrystalline silicon film. In one embodiment, the method includes the steps of providing a substrate having a thermally-grown silicon dioxide layer, forming an amorphous silicon film on the thermally-grown silicon dioxide layer of the substrate, forming an aluminum layer on the amorphous silicon film to form a structure having the substrate, the amorphous silicon film and the aluminum layer, and annealing the structure at an annealing temperature for a period of time in an N | 06-10-2010 |
20100186671 | ARRANGEMENT FOR WORKING SUBSTRATES BY MEANS OF PLASMA - The invention relates to an arrangement for working substrates by means of plasma (PECVD), wherein at least two electrodes are provided which are located in a common plane and are spaced apart from one another. Between the particular electrodes are provided interspaces which serve as gas inlets or gas outlets. | 07-29-2010 |
20100199913 | FILM DEPOSITION APPARATUS - There is provided film deposition units | 08-12-2010 |
20100206230 | METHODS OF LOW TEMPERATURE OXIDATION - An apparatus for forming a dielectric layer includes a process chamber configured for disposing a substrate therein, a gas inlet for delivering a mixture gas to the process chamber, and an RF generator for producing a plasma from the mixture gas. The plasma includes an oxygen-containing element and a fluorocarbon-containing element. The apparatus also has a heating element configured for maintaining the chamber temperature at a desired process temperature, for example, at 800° C. or lower, and a connector to a vacuum pump for maintaining a process pressure. The apparatus is configured for using the plasma to convert a surface portion of the substrate into an oxidized dielectric material. | 08-19-2010 |
20100242843 | HIGH TEMPERATURE ADDITIVE MANUFACTURING SYSTEMS FOR MAKING NEAR NET SHAPE AIRFOILS LEADING EDGE PROTECTION, AND TOOLING SYSTEMS THEREWITH - Tooling systems including a mandrel for receiving, and providing shape to, a metallic deposit applied using a high temperature additive manufacturing device; a metallic cladding applied to the mandrel for reducing contamination of the metallic deposit; and at least one cooling channel associated with the mandrel for removing heat from the system. | 09-30-2010 |
20100263592 | PLASMA PROCESSING CHAMBER WITH A GROUNDED ELECTRODE ASSEMBLY - An optimized plasma processing chamber configured to provide a current path is provided. The optimized plasma processing chamber includes at least an upper electrode, a powered lower electrode, a heating plate, a cooling plate, a plasma chamber lid, and clamp ring. Both the heating plate and the cooling plate are disposed above the upper electrode whereas the heating plate is configured to heat the upper electrode while the cooling plate is configured to cool the upper electrode. The clamp ring is configured to secure the upper electrode to a plasma chamber lid and to provide a current path from the upper electrode to the plasma chamber lid. A pocket may be formed between the clamp ring and the upper electrode to hold at least the heater plate, wherein the pocket is configured to allow longitudinal and lateral tolerances for thermal expansion of the heater plate from repetitive thermal cycling. | 10-21-2010 |
20100300357 | SUBSTRATE PROCESSING APPARATUS - Provided is a substrate processing apparatus, which comprises a process chamber configured to process a substrate, a first plasma generation chamber in the process chamber, a first reactive gas supply unit configured to supply first reactive gas into the first plasma generation chamber, a pair of first discharge electrodes configured to generate plasma and to excite the first reactive gas, a first gas ejection port installed in a side wall of the first plasma generation chamber to eject an active species toward the substrate, a second plasma generation chamber in the process chamber, a second reactive gas supply unit configured to supply second reactive gas into the second plasma generation chamber, a pair of second discharge electrodes configured to generate plasma and to excite the second reactive gas, and a second gas ejection port installed in a side wall of the second plasma generation chamber to eject an active species. | 12-02-2010 |
20100326356 | PLASMA BOOSTER FOR PLASMA TREATMENT INSTALLATION - Vacuum treatment installation particularly for plasma coating workpieces, has an arrangement for boosting and/or igniting a glow discharge plasma for the treatment of workpieces, and at least one hollow body of electrically conductive material, the hollow body including a hollow space and at least one entrance opening through which charge carriers flow in order to make possible ignition and operation of a plasma or to boost an existing plasma. The hollow body is electrically connected to workpieces so that the hollow body is essentially at workpiece potential. The hollow space is formed such that when an electric signal is applied to the hollow body, at least in a certain pressure and voltage range, geometric conditions for the ignition of a discharge in the interior of the hollow body are satisfied, and the at least one hollow body is not a workpiece carrier. | 12-30-2010 |
20110000432 | One atmospheric pressure non-thermal plasma reactor with dual discharging-electrode structure - A non-thermal plasma reactor includes a reactor chamber, a first electrode unit disposed in the top portion of chamber and a second electrode unit disposed in the bottom of the chamber, so that a plasma treatment region is defined between the first and second electrode units. The first electrode unit includes at least one or arrays of dual discharging-electrode structure embedded in an isolating layer. A high-voltage power supply is connected to the first and second electrode units. An external gas introducing unit is used to allow auxiliary gas into the plasma reaction region so that arrays of dual discharging-electrode structure can enhance the gas discharge process and thus promote the plasma assisted chemical reaction for cleaning purpose. | 01-06-2011 |
20110017139 | System for Depositing a Film by Modulated Ion-Induced Atomic Layer Deposition (MII-ALD) - The present invention relates to an enhanced sequential atomic layer deposition (ALD) technique suitable for deposition of barrier layers, adhesion layers, seed layers, low dielectric constant (low-k) films, high dielectric constant (high-k) films, and other conductive, semi-conductive, and non-conductive films. This is accomplished by 1) providing a non-thermal or non-pyrolytic means of triggering the deposition reaction; 2) providing a means of depositing a purer film of higher density at lower temperatures; and, 3) providing a faster and more efficient means of modulating the deposition sequence and hence the overall process rate resulting in an improved deposition method. | 01-27-2011 |
20110041766 | PLASMA SOURCE - A plasma source comprises a vacuum chamber, a plurality of discharge tubes, a plurality of permanent magnets, a plurality of RF antennas, and an RF power distribution circuit. The RF power distribution circuit is electrically coupled to an RF power supply and each of the plurality of RF antennas. The lengths of the transmission paths between each of the plurality of RF antennas and the RF power supply are the same, so that the RF power supply can provide each of discharge tubes with the same RF power. | 02-24-2011 |
20110100297 | THIN-FILM SOLAR CELL MANUFACTURING APPARATUS - A thin-film solar cell manufacturing apparatus includes a film forming chamber that is evacuated to a reduced pressure and forms a film on a substrate using a CVD method; a loading-ejecting chamber that is connected to the film forming chamber via a first opening-closing part and that is switchable between atmospheric pressure and reduced pressure; transfer rail that is laid at the film forming chamber and the loading-ejecting chamber; a carrier that holds the substrate and moves along the transfer rail; and a carrier transfer mechanism that transfers the carrier, wherein, the carrier transfer mechanism is provided in the loading-ejecting chamber to transfer the carrier between the film forming chamber and the loading-ejecting chamber. | 05-05-2011 |
20110100298 | FLUORINATING APPARATUS - An apparatus for fluorinating a substrate. The apparatus includes a vacuum chamber and a means for generating a fluorine-containing plasma throughout the entire chamber. The apparatus includes a capacitively-coupled system within the chamber that has at least one electrode powered by an RF source and at least one grounded electrode substantially parallel to the powered electrode. The electrodes are separated by about 25 mm or less. | 05-05-2011 |
20110107969 | APPARATUS FOR MANUFACTURING THIN-FILM SOLAR CELL - An apparatus for manufacturing a thin film solar cell of the present invention has a film forming chamber in which a substrate is arranged so that the film formation face of the substrate is substantially parallel to the direction of gravitational force and a film is formed on the film formation face by a CVD method; an electrode unit including a cathode unit having cathodes to which voltages are to be applied arranged on both sides thereof, and a pair of anodes each of which is arranged to face the cathodes, respectively, at a separation distance therefrom; and a conveying part which supports the substrate and conveys the substrate to between the cathode and the anode facing the cathode. The separation distance is variable. | 05-12-2011 |
20110120375 | APPARATUS FOR PROCESSING SUBSTRATE - An apparatus for processing a substrate includes: a process chamber providing a reaction space by a combination of a lid and a body; a susceptor in the reaction space and having a substrate thereon; a plurality of plasma source electrodes over the reaction space; a plurality of first lower protruding portions under the lid; and a plurality of first gas injecting means corresponding to the plurality of plasma source electrodes and a plurality of second gas injecting means alternately disposed with the plurality of first gas injecting means. | 05-26-2011 |
20110155059 | THIN FILM FORMING APPARATUS, THIN FILM FORMING METHOD, AND SHIELD COMPONENT - The inventors of this invention conducted a test and found out that to prevent peel-off of an adherent film, it is not of essential importance to set the radius of curvature equal to or larger than a predetermined threshold. The inventors of the present invention also found out that peel-off of an adherent film occurs in the region in which the curvature of a shield changes and is less likely to occur when the change in curvature of the shield is small. Accordingly, the key to the problem is the magnitude of a change in curvature of the shield, so changing the curvature stepwise makes it possible to suppress a large change in curvature, and thus to prevent peel-off of an adherent film free from any disadvantages such as deterioration in film thickness distribution, which may occur due to an increase in size of the shield. | 06-30-2011 |
20110162582 | DEPOSITION OF ACTIVE FILMS - A plasma reactor ( | 07-07-2011 |
20110192348 | RF Hollow Cathode Plasma Generator - An RF hollow cathode plasma source consists of a vacuum chamber, a pipe, a hollow cathode, at least two compartments, a conduit and input electrodes. The pipe is inserted into the chamber for introducing working gas into the chamber. The hollow cathode is disposed in the chamber and formed with a large number of apertures. At least two compartments are located below the hollow cathode. Each of the compartments includes small apertures for uniformly spreading the working gas into the apertures of the hollow cathode. The conduit is disposed along two sides of the hollow cathode to circulate cooling water around the hollow cathode. The plural input power leads are arranged near the hollow cathode. The input power leads, the pipe and the conduits are connected to the hollow cathode though the electrically-insulated walls of the grounded vacuum chamber. | 08-11-2011 |
20110192349 | Phase-Modulated RF Power for Plasma Chamber Electrode - A plurality of RF power signals have the same RF frequency as a reference RF signal and are coupled to respective RF connection points on an electrode of a plasma chamber. At least three of the RF connection points are not collinear. At least two of the RF power signals have time-varying phase offsets relative to the reference RF signal that are distinct functions of time. Such time-varying phase offsets can produce a spatial distribution of plasma in the plasma chamber having better time-averaged uniformity than the uniformity of the spatial distribution at any instant in time. | 08-11-2011 |
20110197814 | ANTI-ARC ZERO FIELD PLATE - Embodiments of the present invention generally relate to apparatus for reducing arcing and parasitic plasma in substrate processing chambers. The apparatus generally include a processing chamber having a substrate support, a backing plate, and a showerhead disposed therein. A showerhead suspension electrically couples the backing plate to the showerhead. An electrically conductive bracket is coupled to the backing plate and spaced apart from the showerhead. The electrically conductive bracket may include a plate, a lower portion, an upper portion, and a vertical extension. The electrically conductive bracket contacts an electrical isolator. | 08-18-2011 |
20110209664 | SUBSTRATE PROCESSING APPARATUS - A substrate processing apparatus comprising: a processing chamber which is to accommodate at least one substrate; a gas supply system which is to supply processing gas into the processing chamber; an exhaust system which is to exhaust atmosphere in the processing chamber; and at least one pair of electrodes which are to bring the processing gas into an active state and which are accommodated in protection tubes such that the electrodes can be inserted into and pulled out from the protection tubes, wherein the electrodes are accommodated in the protection tube in a state where at least a portion of the electrodes is bent, and the electrodes are formed of flexible members, is disclosed. | 09-01-2011 |
20110226180 | FILM FORMATION APPARATUS - A film formation apparatus comprises: a first unit having a vacuum chamber in which film formation is performed on the base film; a second unit having a feeding system for feeding the base film; and a joining unit that conductively joins the first unit and second unit, wherein the first unit and the second unit are constructed by combining together, and no potential difference occurs between the first unit and second unit during film formation. | 09-22-2011 |
20110265721 | PROCESS CHAMBER LID DESIGN WITH BUILT-IN PLASMA SOURCE FOR SHORT LIFETIME SPECIES - An apparatus and a method for depositing materials, and more particularly a vapor deposition chamber configured to deposit a material during a plasma-enhanced process are provided. In one embodiment a chamber comprises a chamber body defining a process volume, a substrate support disposed in the process volume and configured to support one or more substrates, a process lid assembly disposed over the substrate support, wherein the process lid assembly has a plasma cavity configured to generate a plasma and provide one or more radical species to the process volume, a RF (radio frequency) power source coupled to the gas distribution assembly, a plasma forming gas source coupled with the process lid assembly, and a reactant gas source coupled with the process lid assembly. | 11-03-2011 |
20110290183 | Plasma Uniformity Control By Gas Diffuser Hole Design - Embodiments of a gas diffuser plate for distributing gas in a processing chamber are provided. The gas distribution plate includes a diffuser plate having an upstream side and a downstream side, and a plurality of gas passages passing between the upstream and downstream sides of the diffuser plate. The gas passages include hollow cathode cavities at the downstream side to enhance plasma ionization. The depths, the diameters, the surface area and density of hollow cathode cavities of the gas passages that extend to the downstream end can be gradually increased from the center to the edge of the diffuser plate to improve the film thickness and property uniformity across the substrate. The increasing diameters, depths and surface areas from the center to the edge of the diffuser plate can be created by bending the diffuser plate toward downstream side, followed by machining out the convex downstream side. Bending the diffuser plate can be accomplished by a thermal process or a vacuum process. The increasing diameters, depths and surface areas from the center to the edge of the diffuser plate can also be created computer numerically controlled machining. Diffuser plates with gradually increasing diameters, depths and surface areas of the hollow cathode cavities from the center to the edge of the diffuser plate have been shown to produce improved uniformities of film thickness and film properties. | 12-01-2011 |
20110308460 | ATOMIC LAYER DEPOSITION APPARATUS - The present invention relates to an ALD apparatus, and particularly relates to an ALD apparatus that is suitable for rapidly depositing a thin film on a substrate having an actual area that is larger than a planar substrate. In the reaction chamber of the ALD apparatus according to an exemplary embodiment of the present invention, more gas is supplied to a portion where more gas is required by having differences in the space for gas to flow rather than supplying the gas in a constant flux and a constant flow velocity such that the time required for supplying reactant gases and waste of reactant gases may be minimized to increase productivity of the ALD apparatus. The ceiling of the reaction space is shaped to provide a nonuniform gap over the substrate. | 12-22-2011 |
20110315080 | LIFT PIN, AND WAFER-PROCESSING APPARATUS COMPRISING SAME - In a lift pin and an apparatus for processing a substrate having the same, the lift pin includes a body inserted into a penetration hole of a susceptor on which the substrate is positioned and moving along the penetration hole upward and downward in a direction vertical to an upper surface of the susceptor, and a contact member secured to an upper portion of the body and comprising a soft material having hardness smaller than that of the substrate. Thus, the contact member of the lift pin makes contact with the substrate without scratches on a surface of the substrate, to thereby reduce substrate failures in the process. | 12-29-2011 |
20120012057 | PUCK FOR CATHODIC ARC COATING WITH CONTINUOUS GROOVE TO CONTROL ARC - A puck for providing a coating material in a cathodic arc coating system has a generally uniform depression formed at the outer periphery. The depression ensures that an arc from the coating apparatus will move uniformly about the outer periphery of the puck, such that a coating cloud will also be uniformly applied to parts to be coated. | 01-19-2012 |
20120060759 | FALLING FILM PLASMA REACTOR - A falling film plasma reactor (FFPR) provides a number of benefits for the treatment of process gases. The falling film plasma reactor uses high voltage alternating current or pulsed direct current which is applied to radially separated electrodes to thereby create a dielectric breakdown of the process gas that is flowing within the large radial gap between the two electrodes. Typical plasma reactors often utilize fixed dielectric construction which can result in potential failure of the device by arcing between the electrodes as portions of the dielectric fail. Such failures are prevented by using a dielectric liquid that constantly flows over the electrodes, or over a fixed dielectric barrier over the electrodes. | 03-15-2012 |
20120073501 | PROCESS CHAMBER FOR DIELECTRIC GAPFILL - A system to form a dielectric layer on a substrate from a plasma of dielectric precursors is described. The system may include a deposition chamber, a substrate stage in the deposition chamber to hold the substrate, and a remote plasma generating system coupled to the deposition chamber, where the plasma generating system is used to generate a dielectric precursor having one or more reactive radicals. The system may include a precursor distribution system that includes at least one top inlet and a plurality of side inlets. The top inlet may be positioned above the substrate stage and the side inlets may be radially distributed around the substrate stage. The reactive radical precursor may be supplied to the deposition chamber through the top inlet. An in-situ plasma generating system may also be included to generate the plasma in the deposition chamber from the dielectric precursors supplied to the deposition chamber. | 03-29-2012 |
20120097104 | RF IMPEDANCE MATCHING NETWORK WITH SECONDARY DC INPUT - Embodiments of the disclosure may provide a matching network for a physical vapor deposition system. The matching network may include an RF generator coupled to a first input of an impedance matching network, and a DC generator coupled a second input of the impedance matching network. The impedance matching network may be configured to receive an RF signal from the RF generator and a DC signal from the DC generator and cooperatively communicate both signals to a deposition chamber target through an output of the impedance matching network. The matching network may also include a filter disposed between the second input and the output of the impedance matching network. | 04-26-2012 |
20120192791 | CVD APPARATUS WITH ELECTRODE - A manufacturing apparatus for deposition of a material on a carrier body and an electrode for use with the manufacturing apparatus are provided. The manufacturing apparatus includes a housing that defines a chamber. The housing also defines an inlet for introducing a gas into the chamber and an outlet for exhausting the gas from the chamber. At least one electrode is disposed through the housing with the electrode at least partially disposed within the chamber. The electrode has an exterior surface. A first exterior coating having an electrical conductivity of at least 7×10 | 08-02-2012 |
20120199068 | MANUFACTURING APPARATUS FOR DEPOSITING A MATERIAL AND AN ELECTRODE FOR USE THEREIN - A manufacturing apparatus for deposition of a material on a carrier body and an electrode for use with the manufacturing apparatus are provided. The manufacturing apparatus includes a housing that defines a chamber. The housing also defines an inlet for introducing a gas into the chamber and an outlet for exhausting the gas from the chamber. At least one electrode is disposed through the housing with the electrode at least partially disposed within the chamber. The electrode has an exterior surface. The exterior surface has a contact region that is adapted to contact a socket. A contact region coating is disposed on the contact region of the electrode for maintaining electrical conductivity between the electrode and the socket. The contact region coating has an electrical conductivity of at least 7×10 | 08-09-2012 |
20120199069 | CVD APPARATUS - A manufacturing apparatus for deposition of a material on a carrier body and an electrode for use with the manufacturing apparatus are provided. The manufacturing apparatus includes a housing that defines a chamber. The housing also defines an inlet for introducing a gas into the chamber and an outlet for exhausting the gas from the chamber. At least one electrode is disposed through the housing with the electrode at least partially disposed within the chamber. The electrode includes a shaft having a first end and a second end, and a head disposed on one of the ends of the shaft. The head of the electrode has an exterior surface having a contact. An exterior coating is disposed on the exterior surface of the electrode, outside of the contact region. The exterior coating has a greater wear resistance than nickel as measured in mm | 08-09-2012 |
20120222616 | SHOWER HEAD ASSEMBLY AND THIN FILM DEPOSITION APPARATUS COMPRISING SAME - Provided are a showerhead assembly for depositing a thin film on a substrate and a thin film deposition apparatus having the same. The showerhead assembly includes a plurality of gas injection units radially disposed above a substrate, each of the plurality of gas injection units comprising a receiving part configured to receive a gas supplied from the outside and a plurality of injection holes configured to inject the gas within the receiving part. Here, at least one gas injection unit includes the receiving part defined therein, a showerhead body comprising a first inlet configured to supply a first gas into the receiving part and a second inlet configured to supply a second gas into the receiving part, the showerhead body comprising a plurality of first injection holes and a plurality of second injection holes in a bottom part thereof, wherein the first and second injection holes pass through the bottom part, a partition plate having a flat plate shape and comprising a plurality of insertion holes passing therethrough, the partition plate being disposed facing the bottom plate of the showerhead body in the receiving part of the showerhead body to divide the receiving part into a first buffer part communicating with the first inlet and a second buffer part communicating with the second inlet, a plurality of injection pins, each having a hollow shape, each of the plurality of injection pines comprising one end connected to the insertion hole and the other end connected to the first injection hole, and a power source configured to apply a power to generate plasma within the receiving part of the showerhead body. | 09-06-2012 |
20120255492 | Large Area Atmospheric Pressure Plasma Enhanced Chemical Vapor Deposition Apparatus - An apparatus provides large area atmospheric pressure plasma enhanced chemical vapor deposition without contaminations in its electrode assembly and deposited films. The apparatus consists of a large area vertical planar nitrogen plasma activation electrode assembly and its high voltage power supply, a large area vertical planar nitrogen plasma deposition electrode assembly and its high voltage power supply, a long-line uniform precursor jet apparatus, a roll-to-roll apparatus for substrate movement, and a sub-atmospheric pressure deposition chamber and its pumping apparatus. Not only can the deposited film contaminations in the electrode assembly interior and the debris of the deposited films from exterior of the electrode assembly and the air aerosols in the deposition chamber be completely prevented, but a large area roll-to-roll uniform deposition can also be achieved to meet a roll-to-roll continuous production, so as to achieve improved film quality, increased production throughput and reduced manufacturing cost. | 10-11-2012 |
20120291706 | Atmospheric Pressure Plasma Processing Apparatus - In a plasma source of a plasma processing apparatus, the plasma source being of the dielectric barrier discharge mode of an surface discharge type, incorporating electrodes in pairs (an antenna, and a ground) areally formed inside a dielectric, a subject under-processing is kept substantially in contact with the plasma source, thereby causing a plasma to be generated on a plane on a side of the subject under-processing, opposite from a plane on which the plasma source is provided. | 11-22-2012 |
20120304932 | METHOD FOR MANUFACTURING MICROCRYSTALLINE SEMICONDUCTOR FILM AND METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE - An object of the present invention is to provide a technique for manufacturing a dense crystalline semiconductor film without a cavity between crystal grains. A plasma region is formed between a first electrode and a second electrode by supplying high-frequency power of 60 MHz or less to the first electrode under a condition where a pressure of a reactive gas in a reaction chamber of a plasma CVD apparatus is set to 450 Pa to 13332 Pa, and a distance between the first electrode and the second electrode of the plasma CVD apparatus is set to 1 mm to 20 mm; crystalline deposition precursors are formed in a gas phase including the plasma region; a crystal nucleus of 5 nm to 15 nm is formed by depositing the deposition precursors; and a microcrystalline semiconductor film is formed by growing a crystal from the crystal nucleus. | 12-06-2012 |
20130000557 | Apparatus for the Efficient Coating of Subtrates Including Plasma Cleaning - A process for the coating of substrates comprising insertion of a substrate into a process oven, plasma cleaning of the substrate, dehydration of the substrate, withdrawal of a metered amount of one or more chemicals from one or more chemical reservoirs, vaporizing the withdrawn chemicals in one or more vapor chambers, and transfer of the vaporized chemicals into a process oven, thereby reacting with the substrate. An apparatus for the coating of substrates comprising a process oven, a gas plasma generator, a metered chemical withdrawal subsystem, and a vaporization subsystem. | 01-03-2013 |
20130092086 | MECHANICAL SUPPRESSION OF PARASITIC PLASMA IN SUBSTRATE PROCESSING CHAMBER - A system for reducing parasitic plasma in a semiconductor process comprises a first surface and a plurality of dielectric layers that are arranged between an electrode and the first surface. The first surface and the electrode have substantially different electrical potentials. The plurality of dielectric layers defines a first gap between the electrode and one of the plurality of dielectric layers, a second gap between adjacent ones of the plurality of dielectric layers, and a third gap between a last one of the plurality of dielectric layers and the first surface. A number of the plurality of dielectric layers and sizes of the first gap, the second gap and the third gap are selected to prevent parasitic plasma between the first surface and the electrode during the semiconductor process. | 04-18-2013 |
20130104803 | THIN FILM FORMING APPARATUS | 05-02-2013 |
20130104804 | Batch-Type Remote Plasma Processing Apparatus | 05-02-2013 |
20130125816 | Fluorinating Apparatus - An apparatus for fluorinating a substrate. The apparatus includes a vacuum chamber and a means for generating a fluorine-containing plasma throughout the entire chamber. The apparatus includes a capacitively-coupled system within the chamber that has at least one electrode powered by an RF source and at least one grounded electrode substantially parallel to the powered electrode. The electrodes are separated by about 25 mm or less. | 05-23-2013 |
20130133578 | SYSTEMS FOR CHARGING SOLAR CELL LAYERS - Systems and methods of the present invention can be used to charge a charge-holding layer (such as a passivation layer and/or antireflective layer) of a solar cell with a positive or negative charge as desired. The charge-holding layer(s) of such a cell can include any suitable dielectric material capable of holding either a negative or a positive charge, and can be charged at any suitable point during manufacture of the cell, including during or after deposition of the passivation layer(s). | 05-30-2013 |
20130139753 | APPARATUS FOR MANUFACTURING SUBSTRATE - Disclosed herein is an apparatus for manufacturing a substrate. The apparatus for manufacturing a substrate includes: a reaction gas ejector ejecting reaction gas; a lift pin supporting the substrate and having a header contacting a rear surface of the substrate; and a support chuck having a lift pin insertion unit inserted with the lift pin and moving vertically and including a ring in a header insertion portion into which the header is inserted in the lift pin insertion unit. | 06-06-2013 |
20130160710 | PLASMA FILM DEPOSITION DEVICE - A plasma film deposition device includes a film deposition chamber, a plasma generator within the deposition chamber, a plurality of gas carrier boards adjustably mounted to the plasma generator, a gas providing system, and a rotating support bracket. The gas providing system provides working gas and protective gas. The rotating support bracket is assembled within the film deposition chamber, and is aligned with the plasma generator, for holding workpieces in certain orientations. The plasma generator ionizes the working gas into high-temperature plasma, and sprays the high-temperature plasma toward the rotating support bracket to form plasma films on the workpieces. A plasma jet area is defined between the rotating support bracket and the plasma generator, the gas carrier boards eject the protective gas toward the plasma jet area thereby adjusting the shape of the plasma jet area. | 06-27-2013 |
20130333617 | PLASMA PROCESSING APPARATUS - A plasma processing apparatus is provided in which film formation to a part other than a process target is suppressed, and a film formation process to the process target can be uniformly performed. The plasma processing apparatus includes a high-frequency power supply for plasma generation, a surface discharge type discharge electrode including two kinds of electrodes in one dielectric surface of a dielectric layer. The process target is brought into close contact with a discharge-surface-side surface of the discharge electrode, and a plasma is generated in a vicinity of a front surface of the process target. When a height of a surface of the dielectric layer just above the electrode is H2, and a height of a surface of the dielectric layer between the electrode and the electrode is H1, H1>H2 is established. | 12-19-2013 |
20140083361 | CONTROLLING TEMPERATURE IN SUBSTRATE PROCESSING SYSTEMS - An apparatus for plasma processing a substrate is provided. The apparatus comprises a processing chamber, a substrate support disposed in the processing chamber, and a lid assembly coupled to the processing chamber. The lid assembly comprises a conductive gas distributor such as a face plate coupled to a power source, and a heater coupled to the conductive gas distributor. A zoned blocker plate is coupled to the conductive gas distributor and a cooled gas cap is coupled to the zoned blocker plate. A tuning electrode may be disposed between the conductive gas distributor and the chamber body for adjusting a ground pathway of the plasma. A second tuning electrode may be coupled to the substrate support, and a bias electrode may also be coupled to the substrate support. | 03-27-2014 |
20140083362 | PROCESS CHAMBER FOR DIELECTRIC GAPFILL - A system to form a dielectric layer on a substrate from a plasma of dielectric precursors is described. The system may include a deposition chamber, a substrate stage in the deposition chamber to hold the substrate, and a remote plasma generating system coupled to the deposition chamber, where the plasma generating system is used to generate a dielectric precursor having one or more reactive radicals. The system may also include a precursor distribution system that includes at least one top inlet and a plurality of side inlets. The top inlet may be positioned above the substrate stage and the side inlets may be radially distributed around the substrate stage. The reactive radical precursor may be supplied to the deposition chamber through the top inlet. An in-situ plasma generating system may also be included to generate the plasma in the deposition chamber from the dielectric precursors supplied to the deposition chamber. | 03-27-2014 |
20140116338 | COATING FOR PERFORMANCE ENHANCEMENT OF SEMICONDUCTOR APPARATUS - A plasma processing chamber having advanced coating for the showerhead and for an extended bottom electrode. The extended bottom electrode can be formed by one or more of the focus ring, cover ring, and plasma confinement ring. The extended electrode can be formed using a one-piece composite cover ring. The composite cover ring may be made of Al | 05-01-2014 |
20140123897 | PLASMA GENERATION APPARATUS, CVD APPARATUS, AND PLASMA-TREATED PARTICLE GENERATION APPARATUS - The present invention provides a plasma generation apparatus that, even when a source gas is supplied into a housing where an electrode cell is arranged, does not cause a problem of corrosion of a power feed part and an electrode surface arranged in the housing and a problem of deposition of a metal in a place within the housing other than a discharge part of the electrode cell. A plasma generation apparatus ( | 05-08-2014 |
20140158047 | PLASMA GENERATION APPARATUS, DEPOSITION APPARATUS, AND DEPOSITION METHOD - There is provided a plasma generation apparatus capable of forming plasma suitable for a plasma assist method. The plasma generation apparatus includes a chamber | 06-12-2014 |
20140158048 | METHOD AND APPARATUS FOR CLEANING A CVD CHAMBER - The present invention is a method and apparatus for cleaning a chemical vapor deposition (CVD) chamber using cleaning gas energized to a plasma in a gas mixing volume separated by an electrode from a reaction volume of the chamber. In one embodiment, a source of RF power is coupled to a lid of the chamber, while a switch is used to couple a showerhead to ground terminals or the source of RF power. | 06-12-2014 |
20140165911 | APPARATUS FOR PROVIDING PLASMA TO A PROCESS CHAMBER - Embodiments of apparatus for providing plasma to a process chamber are provided. In some embodiments, an apparatus may include a first ground plate; an electrode disposed beneath and spaced apart from the first ground plate by a first electrical insulator to define a first gap between the first ground plate and the electrode; a second ground plate disposed beneath and spaced apart from the electrode by a second electrical insulator to define a second gap between the electrode and the second ground plate; a gas inlet to provide a process gas to the first gap; a plurality of through holes disposed through the electrode coupling the first gap to the second gap; and a plurality of first gas outlet holes disposed through the second ground plate to fluidly couple the second gap to an area beneath the second plate. | 06-19-2014 |
20140174358 | Magnetic Field Assisted Deposition - Embodiments relate to applying a magnetic field across the paths of injected polar precursor molecules to cause spiral movement of the precursor molecules relative to the surface of a substrate. When the polar precursor molecules arrive at the surface of the substrate, the polar precursor molecules make lateral movements on the surface due to their inertia. Such lateral movements of the polar precursor molecules increase the chance that the molecules would find and settle at sites (e.g., nucleation sites, broken bonds and stepped surface locations) or react on the surface of the substrate. Due to the increased chance of absorption or reaction of the polar precursor molecules, the injection time or injection iterations may be reduced. | 06-26-2014 |
20140216343 | PLASMA SOURCE AND METHODS FOR DEPOSITING THIN FILM COATINGS USING PLASMA ENHANCED CHEMICAL VAPOR DEPOSITION - The present invention provides novel plasma sources useful in the thin film coating arts and methods of using the same. More specifically, the present invention provides novel linear and two dimensional plasma sources that produce linear and two dimensional plasmas, respectively, that are useful for plasma-enhanced chemical vapor deposition. The present invention also provides methods of making thin film coatings and methods of increasing the coating efficiencies of such methods. | 08-07-2014 |
20140216344 | RF CHOKE FOR GAS DELIVERY TO AN RF DRIVEN ELECTRODE IN A PLASMA PROCESSING APPARATUS - In large area plasma processing systems, process gases may be introduced to the chamber via the showerhead assembly which may be driven as an RF electrode. The gas feed tube, which is grounded, is electrically isolated from the showerhead. The gas feed tube may provide not only process gases, but also cleaning gases from a remote plasma source to the process chamber. The inside of the gas feed tube may remain at either a low RF field or a zero RF field to avoid premature gas breakdown within the gas feed tube that may lead to parasitic plasma formation between the gas source and the showerhead. By feeding the gas through an RF choke, the RF field and the processing gas may be introduced to the processing chamber through a common location and thus simplify the chamber design. | 08-07-2014 |
20140261178 | PEALD APPARATUS TO ENABLE RAPID CYCLING - Methods and apparatus for forming thin films are described. A semiconductor processing chamber includes a substrate support, an electrode opposite the substrate support, the electrode having a gas inlet in a peripheral region thereof, and an edge ring disposed around a peripheral region of the substrate support, the edge ring having a first barrier and a second barrier, wherein each of the first barrier and the second barrier mates with a recess in the electrode. The edge ring provides a gas flow path through a processing zone between the substrate support and the electrode that is substantially parallel to the upper surface of the substrate support. The electrode may be powered to enhance formation of a film on a substrate. | 09-18-2014 |
20150007772 | SUBSTRATE PROCESSING APPARATUS - A substrate processing apparatus includes: a processing vessel configured to be vacuumed; a holding unit configured to hold a plurality of substrates and to be inserted into or separated from the processing vessel; a gas supply unit configured to supply gas into the processing vessel; a plasma generation box partitioned and formed by a plasma partition wall; an inductively coupled electrode located at an outer sidewall of the plasma generation box along its length direction; a high frequency power supply connected to the inductively coupled electrode through a feed line; and a ground electrode located outside the plasma generation box and between the processing vessel and the inductively coupled electrode and arranged in the vicinity of the outer sidewall of the plasma generation box or at least partially in contact with the outer sidewall. | 01-08-2015 |
20150040829 | MULTIZONE HOLLOW CATHODE DISCHARGE SYSTEM WITH COAXIAL AND AZIMUTHAL SYMMETRY AND WITH CONSISTENT CENTRAL TRIGGER - Embodiments of the present invention relate to hollow cathode plasma sources with improved uniformity. One embodiment of the present invention provides a hollow cathode assembly having a conductive rod disposed in an inner volume along a central axis of a hollow cathode. The conductive rod being closest to the ground electrode and having the sharpest features of the hollow cathode becomes the point of plasma ignition. Since the conductive rod is positioned along the central axis, the plasma is ignited at symmetrically about the central axis thus improving plasma uniformity and reducing skews. | 02-12-2015 |
20150053135 | STRAP FOR PLASMA PROCESSING APPARATUS AND PLASMA PROCESSING APPARATUS HAVING THE SAME - A strap for a plasma processing apparatus includes a main body, and a protrusion pattern defined in the main body. The main body may include a binding part defined at opposing ends thereof. The protrusion pattern may include a protrusion. | 02-26-2015 |
20150107517 | Plasma Processing Apparatus - A plasma processing apparatus includes a plasma generation chamber in which plasma active species are generated, a process chamber configured to accommodate processing target objects stacked in a vertical direction, the plasma active species generated in the plasma generation chamber being supplied into the process chamber, a plasma source gas supply pipe disposed inside the plasma generation chamber and extending in the vertical direction, a plasma source gas being introduced from one end of the plasma source gas supply pipe and discharged through gas discharge holes formed in the plasma source gas supply pipe in the vertical direction, and a pair of plasma electrodes, arranged to face each other, configured to apply an electric field to the plasma source gas discharged into the plasma generation chamber. A size of a discharge area interposed between the pair of plasma electrodes is varied in the vertical direction. | 04-23-2015 |
20150292089 | RESIN CONTAINER COATING DEVICE - A resin container coating device of the present invention includes a plurality of chambers storing a plurality of resin containers respectively in independent states, a plurality of internal electrodes in which gas conductive parts for conducting a source gas are formed to inner peripheral parts thereof and which are respectively inserted inside the plurality of resin containers stored in the chambers, a gas supply unit for supplying the source gas to the plurality of chambers, a high frequency power source for supplying a high frequency electric power to the plurality of chambers, and an electric power switching part capable of switching a supply designation of the high frequency electric power, which is supplied from the high frequency power source, from first and second chambers forming a first unit to third and fourth chambers forming a second unit. | 10-15-2015 |
20150329968 | IN-LINE PLASMA CVD APPARATUS - Provided is an in-line plasma CVD apparatus ( | 11-19-2015 |
20160010209 | LAYER-FORMING DEVICE AND INJECTOR | 01-14-2016 |
20160023900 | OZONE GENERATOR AND OZONE GENERATION METHOD - In order to generate ozone, which is used for ashing and plasma cleaning, plasma generated in a decompressed chamber is conventionally used. But it is difficult to reduce the production cost of an ozone generation, because facility cost and process cost are expensive in a decompressed process. According to the present invention, ozone is generated by atmospheric pressure plasma CVD using dielectric barrier discharge generated by a plasma head where a plurality of plasma head unit members are installed in parallel to generate plasma by applying electric field or magnetic field via a dielectric member. Stable glow discharge plasma is formed even under atmospheric pressure by dielectric barrier discharge. Then, ozone can be generated under atmospheric pressure, and semiconductor device with low cost can be fabricated. | 01-28-2016 |
20160056019 | PLASMA UNIFORMITY CONTROL BY GAS DIFFUSER HOLE DESIGN - Embodiments of a gas diffuser plate for distributing gas in a processing chamber are provided. The gas distribution plate includes a diffuser plate having an upstream side and a downstream side, and a plurality of gas passages passing between the upstream and downstream sides of the diffuser plate. The gas passages include hollow cathode cavities at the downstream side to enhance plasma ionization. The depths, the diameters, the surface area and density of hollow cathode cavities of the gas passages that extend to the downstream end can be gradually increased from the center to the edge of the diffuser plate to improve the film thickness and property uniformity across the substrate. The increasing diameters, depths and surface areas from the center to the edge of the diffuser plate can be created by bending the diffuser plate toward downstream side, followed by machining out the convex downstream side. Bending the diffuser plate can be accomplished by a thermal process or a vacuum process. The increasing diameters, depths and surface areas from the center to the edge of the diffuser plate can also be created computer numerically controlled machining. Diffuser plates with gradually increasing diameters, depths and surface areas of the hollow cathode cavities from the center to the edge of the diffuser plate have been shown to produce improved uniformities of film thickness and film properties. | 02-25-2016 |
20160172165 | Carrier Ring Structure and Chamber Systems Including the Same | 06-16-2016 |
20180025890 | PLASMA UNIFORMITY CONTROL BY GAS DIFFUSER HOLE DESIGN | 01-25-2018 |
20100018464 | DLC COATING SYSTEM AND PROCESS AND APPARATUS FOR MAKING COATING SYSTEM - A process and an arrangement by means of which it is possible to generate a layer system for the protection against wear, for the protection against corrosion and for improving the sliding properties or the like, which has an adhesive layer for the arrangement on a substrate, a transition layer for the arrangement on the adhesive layer and a cover layer of an adamantine carbon, the adhesive layer including at least one element from the Group which contains the elements of the 4 | 01-28-2010 |
20100218721 | Hollow-cathode discharge apparatus for plasma-based processing - A hollow-cathode discharge apparatus is disclosed for plasma-based processing. The hollow-cathode discharge apparatus includes a vacuum chamber, a hollow cathode disposed in the center of the vacuum chamber, a carrier for synchronously carrying a plurality of work-pieces in the vacuum chamber and a driving element for driving the carrier. | 09-02-2010 |
20100263593 | SUBSTRATE PROCESSING APPARATUS AND REACTION CONTAINER - A substrate processing apparatus comprises a reaction chamber which is to accommodate stacked substrates, a gas introducing portion, and a buffer chamber, wherein the gas introducing portion is provided along a stacking direction of the substrates, and introduces substrate processing gas into the buffer chamber, the buffer chamber includes a plurality of gas-supply openings provided along the stacking direction of the substrates, and the processing gas introduced from the gas introducing portion is supplied from the gas-supply openings to the reaction chamber. | 10-21-2010 |
20120067285 | Thermal spraying apparatus - A thermal spraying apparatus prevents adhesion of spray fumes to unsprayed regions of a bore surface during arc spraying. The apparatus includes a spray gun movable within a cylinder bore. The spray gun has at one end thereof a first discharge opening facing a direction orthogonal to the movement direction, has a second discharge opening facing direction orthogonal to the nozzle, and has, at a predetermined region located further to the side in the movement direction of the spray gun than the nozzle, third discharge openings for discharging a fluid and facing the same direction as the nozzle. A droplet, formed as arc spray wire material melts at the tip of the spray gun, is stretched with auxiliary air. By blowing atomizing air onto the droplet, spray particles are formed and sprayed onto the bore surface. Simultaneously, fume adhesion prevention air is blown toward the cylinder bore surface. | 03-22-2012 |
20090050058 | PROGRAMMED HIGH SPEED DEPOSITION OF AMORPHOUS, NANOCRYSTALLINE, MICROCRYSTALLINE, OR POLYCRYSTALLINE MATERIALS HAVING LOW INTRINSIC DEFECT DENSITY - A method and apparatus for the unusually high rate deposition of thin film materials on a stationary or continuous substrate. The method includes the in situ generation of a neutral-enriched deposition medium that is conducive to the formation of thin film materials having a low intrinsic defect concentration at any speed. In one embodiment, the deposition medium is created by forming a plasma from an energy transferring gas; combining the plasma with a precursor gas to form a set of activated species that include ions, ion-radicals, and neutrals; and selectively excluding the species that promote the formation of defects to form the deposition medium. In another embodiment, the deposition medium is created by mixing an energy transferring gas and a precursor gas, forming a plasma from the mixture to form a set of activated species, and selectively excluding the species that promote the formation of defects. The apparatus has a control for the entire manufacturing process that includes a diagnostic element and a feedback control element to permit process programming to achieve and maintain the optimal distribution of one or more preferred species throughout the deposition process. | 02-26-2009 |
20090151637 | MICROWAVE-EXCITED PLASMA SOURCE USING RIDGED WAVE-GUIDE LINE-TYPE MICROWAVE PLASMA REACTOR - A microwave-excited plasma source using a ridged wave-guide line-type microwave plasma reactor is disclosed. The microwave-excited plasma source comprises a reaction chamber, a ridged wave-guide and a separation plate. The ridged wave-guide is disposed on the reaction chamber, and comprises a frame portion, a ridge portion and a line-shaped slot. The line-shaped slot is disposed on a first side of the frame portion, and the ridge portion facing the line-shaped slot is disposed on a second side of the frame portion. The separation plate is disposed on the line-shaped slot. Moreover, the ridged wave-guide is suitable for concentrating microwave power, which is transmitted to the reaction chamber through the line-shaped slot in order to excite plasma. | 06-18-2009 |
20090320756 | MICROWAVE PLASMA PROCESSING APPARATUS - A disclosed microwave plasma processing apparatus includes a process chamber whose inside may be maintained at a reduced pressure; a susceptor that is provided in the process chamber and holds a substrate; a gas supplying portion configured to supply a gas to the process chamber; a microwave generating portion that generates microwaves; a plasma introducing portion that is arranged to oppose the susceptor and introduces the microwaves generated by the microwave generating portion to the process chamber; and a mesh member arranged between the plasma introducing portion and the susceptor. | 12-31-2009 |
20100116208 | AMPOULE AND DELIVERY SYSTEM FOR SOLID PRECURSORS - Gas delivery systems for delivering gaseous precursors sublimated from solid form are disclosed herein. In some embodiments, the gas delivery system may include an ampoule to hold a solid precursor that can sublimate to a gaseous form within the ampoule; and a carrier gas line coupled to the ampoule at a junction disposed in the carrier gas line, wherein the carrier gas line has a first cross-sectional area proximate an inlet and an outlet of the junction and a smaller, second cross-sectional area within the junction, and wherein a carrier gas flowing through the junction creates a pressure within in the junction that is less than a pressure within the ampoule. | 05-13-2010 |
20100218722 | High velocity method for depositing diamond films from a gaseous phase in SHF discharge plasma and a plasma reactor for carrying out said method - The invention relates to carbon deposition by decomposing gaseous compounds with the aid of the SHF discharge plasma and can be used, for example, for producing polycrystalline diamond films (plates), which are used for producing output windows of power SHF sources, for example gyrotrons. Said invention ensures a high speed deposition of the high quality diamond films (having a loss-tangent angle □ equal to or less than 3×10 | 09-02-2010 |
20100319620 | VAPOR DEPOSITION APPARATUS - [Problems] An object is to provide a deposition apparatus which can drastically reduce the time of the deposition of a container despite using an existing vacuum deposition apparatus. | 12-23-2010 |
20110120376 | EPITAXIAL GROWTH SYSTEMS - Disclosed is about an epitaxial growth system, including an epitaxial growth reactor chamber, a susceptor including a supporting surface and disposed in the epitaxial growth reactor chamber, and a plurality of wafer fixing elements disposed on the supporting surface. The supporting surface of the susceptor includes a rim, and each of the wafer fixing elements includes a boundary. At least three first heating elements are disposed under the susceptor and arranged in parallel to the supporting surface. | 05-26-2011 |
20110126765 | PLASMA PROCESSING APPARATUS - A parallel resonance frequency can be adjusted in order to stably and securely block different high frequency noises flowing into a line such as a power feed line or a signal line from electric members including a high frequency electrode within a processing chamber. A filter | 06-02-2011 |
20110271908 | LINEAR-TYPE MICROWAVE-EXCITED PLASMA SOURCE USING A SLOTTED RECTANGULAR WAVEGUIDE AS THE PLASMA EXCITER - A linear-type microwave-excited plasma source mainly comprises a reacting chamber, a rectangular waveguide and a linear biased slot in between. A linear quartz plate with an o-ring embedded in the biased slot is required so as to keep the reaction chamber in low pressure condition. Plasma will be excited in the reacting chamber by microwave powers radiating from the biased slot. A linear-type movable dielectric material can be disposed in the waveguide to control the radiation intensity of microwave, such that the length of the linear-type plasma source is able be extended without increasing input microwave powers and thus large-area low-cost plasma-processing applications can be implemented. | 11-10-2011 |
20110277690 | MULTI-CHANNEL GAS-DELIVERY SYSTEM - One embodiment of the present invention provides a gas-delivery system for delivering reaction gas to a reactor chamber. The gas-delivery system includes a main gas-inlet port for receiving reaction gases and a gas-delivery plate that includes a plurality of gas channels. A gas channel includes a plurality of gas holes for allowing the reaction gases to enter the reactor chamber from the gas channel. The gas-delivery system further includes a plurality of sub-gas lines coupling together the main gas-inlet port and the gas-delivery plate, and a respective sub-gas line is configured to deliver a portion of the received reaction gases to a corresponding gas channel. | 11-17-2011 |
20110283941 | STABLE WAFER-CARRIER SYSTEM - One embodiment of the present invention provides a wafer-carrier system used in a deposition chamber for carrying wafers. The wafer-carrier system includes a base susceptor and a top susceptor nested inside the base susceptor with its wafer-mounting side facing the base susceptor's wafer-mounting side, thereby forming a substantially enclosed narrow channel. The base susceptor provides an upward support to the top susceptor. | 11-24-2011 |
20110315081 | SUSCEPTOR FOR PLASMA PROCESSING CHAMBER - A susceptor for a plasma process apparatus, the susceptor having a graphite main body with a top surface for supporting at least one substrate, the top surface having a plasma sprayed aluminum oxide coating. A vacuum processing chamber, has a main chamber body, a showerhead provided at the ceiling of the chamber body, a pedestal provided inside the chamber body, and a susceptor coupled to the pedestal, the susceptor is made of a graphite main body having a top surface for supporting at least one substrate, the top surface having a dielectric coating such as, e.g., plasma sprayed aluminum oxide coating. | 12-29-2011 |
20120017832 | VAPOR DEPOSITION APPARATUS AND SUSCEPTOR - A vapor deposition apparatus includes a susceptor, a gas supply unit, a heating unit and a rotation unit. The susceptor has a first substrate-holding portion and a second substrate-holding portion. The first substrate-holding portion has a first depth, and the second substrate-holding portion has a second depth that is larger than the first depth. The gas supply unit supplies precursors to the susceptor. The heating unit is used to heat the susceptor. The rotation unit can rotate the susceptor so that the heating unit can uniformly heat the susceptor. Because the second depth is larger than the first depth, the substrate held in the second substrate-holding portion can not directly contact the susceptor with a higher temperature and thus its temperature is lower than the second substrate-holding portion, so as to maintain the uniformity of the properties of the manufactured chips. | 01-26-2012 |
20120031335 | VERTICAL INLINE CVD SYSTEM - The present invention generally relates to a vertical CVD system having a processing chamber that is capable of processing multiple substrates. The multiple substrates are disposed on opposite sides of the processing source within the processing chamber, yet the processing environments are not isolated from each other. The processing source is a horizontally centered vertical plasma generator that permits multiple substrates to be processed simultaneously on either side of the plasma generator, yet independent of each other. The system is arranged as a twin system whereby two identical processing lines, each with their own processing chamber, are arranged adjacent to each other. Multiple robots are used to load and unload the substrates from the processing system. Each robot can access both processing lines within the system. | 02-09-2012 |
20120279448 | DEVICE FOR GENERATING PLASMA BY MEANS OF MICROWAVES - A device is provided for generating plasma by microwaves for CVD coating a substrate having a vacuum container into which a reaction gas can be fed and an electrical conductor arranged therein which is connected on each of both ends thereof to a device for coupling microwaves and to a voltage source with which a difference of potential can generated between the electrical conductor and the surrounding vacuum container. The electrical conductor is electrically insulated from the devices for coupling microwaves. The electrical conductor has a rod-shaped design or a curved run. The electrical conductor is connected to the voltage source via a feedthrough filter. The device for coupling microwaves expands in a funnel shape toward the electrical conductor and is partially or completely filled by a dielectric material. The device for coupling microwaves has groove-shaped recesses running along a circumference. | 11-08-2012 |
20130118406 | Method for Cold Plasma Treatment of Plastic Bottles and Device for Implementing Same - The present invention relates to a method for treating plastic bottles comprising an operation for cold plasma sterilization with non-germicidal gasses and/or an operation for the cold plasma deposition of a diffusion barrier layer, said method being characterized in that said cold plasma delivers adjustable nonthermal energy to the entire inside surface of the bottle, said cold plasma being generated either through a distributed propagation of microwaves having a maximum intensity in the vicinity of said surface or by a hollow cathode system adapted to the bottle and supplied with pulsed DC and/or RF voltage. The invention also relates to the devices for implementing the method. | 05-16-2013 |
20130152858 | APPARATUS FOR PERFORMING A PLASMA CHEMICAL VAPOUR DEPOSITION PROCESS - The invention relates to an apparatus for performing a plasma chemical vapour deposition process. The apparatus comprises a mainly cylindrical resonator being provided with an outer cylindrical wall enclosing a resonant cavity extending in a circumferential direction around a cylindrical axis. The resonator is further provided with side wall portions bounding the resonant cavity in the cylindrical direction, and with a slit configuration extending in a circumferential direction around the cylindrical axis providing access from the resonant cavity radially inwardly. Further, the slit configuration includes slit sections that are mutually offset in the cylindrical direction. | 06-20-2013 |
20130186336 | DEVICE FOR PLASMA TREATMENT OF WORKPIECES - A device for plasma treatment of workpieces. The workpiece is placed into a chamber of a processing station that can be at least partially evacuated. The plasma chamber is bounded by a chamber floor, a chamber cover, and a side chamber wall. The plasma chamber is coupled to a device for feeding and/or discharging process gases in a controlled manner. The plasma chamber is further disposed on a rotatable plasma wheel supported on a static base. At least one process gas channel is disposed in the region of the base, bounded at least in regions by a cover. The cover is implemented as part of the plasma wheel and includes at least one connection opening to the process gas channel. The connection opening can be coupled to an inner chamber by a connecting channel and at least one control valve. | 07-25-2013 |
20130206068 | LINEAR PECVD APPARATUS - The present invention generally relates to a linear PECVD apparatus. The apparatus is designed to process two substrates simultaneously so that the substrates share plasma sources as well as gas sources. The apparatus has a plurality of microwave sources centrally disposed within the chamber body of the apparatus. The substrates are disposed on opposite sides of the microwave sources with the gas sources disposed between the microwave sources and the substrates. The shared microwave sources and gas sources permit multiple substrates to be processed simultaneously and reduce the processing cost per substrate. | 08-15-2013 |
20130220224 | Method and Apparatus for Manufacturing Semiconductor Device - According to one embodiment, in a method for manufacturing a semiconductor device, a surface region of a semiconductor substrate is modified into an amorphous layer. A microwave is irradiated to the semiconductor substrate in which the amorphous layer is formed in a dopant-containing gas atmosphere so as to form a diffusion layer in the semiconductor substrate. The dopant is diffused into the amorphous layer and is activated. | 08-29-2013 |
20140048016 | MICROWAVE PLASMA REACTOR FOR MANUFACTURING SYNTHETIC DIAMOND MATERIAL - A microwave plasma reactor for manufacturing synthetic diamond material via chemical vapour deposition, the microwave plasma reactor comprising:
| 02-20-2014 |
20140230729 | MICROWAVE PLASMA REACTOR FOR MANUFACTURING SYNTHETIC DIAMOND MATERIAL - A microwave plasma reactor for manufacturing synthetic diamond material via chemical vapour deposition, the microwave plasma reactor comprising: a microwave generator configured to generate microwaves at a frequency f; a plasma chamber comprising a base, a top plate, and a side wall extending from said base to said top plate defining a resonance cavity for supporting a microwave resonance mode, wherein the resonance cavity has a central rotational axis of symmetry extending from the base to the top plate, and wherein the top plate is mounted across said central rotational axis of symmetry; a microwave coupling configuration for feeding microwaves from the microwave generator into the plasma chamber; a gas flow system for feeding process gases into the plasma chamber and removing them therefrom; and a substrate holder disposed in the plasma chamber and comprising a supporting surface for supporting a substrate on which the synthetic diamond material is to be deposited in use; wherein the resonance cavity is configured to have a height, as measured from the base to the top plate of the plasma chamber, which supports a TM | 08-21-2014 |
20140318453 | CHEMICAL VAPOR DEPOSITION WITH ENERGY INPUT - Methods of depositing compound semiconductors onto substrates are disclosed, including directing gaseous reactants into a reaction chamber containing the substrates, selectively supplying energy to one of the gaseous reactants in order to impart sufficient energy to activate that reactant but insufficient to decompose the reactant, and then decomposing the reactant at the surface of the substrate in order to react with the other reactants. The preferred energy source is microwave or infrared radiation, and reactors for carrying out these methods are also disclosed. | 10-30-2014 |
20150007773 | CO2 RECYCLING DEVICE AND CO2 RECYCLING SYSTEM - To provide a CO | 01-08-2015 |
20160024658 | FILM-FORMING DEVICE - A film-forming device includes: a microwave supplying unit, which supplies microwaves for generating plasma along a treatment surface of a central conductor comprising at least a conductive workpiece material; a negative voltage applying unit, which applies to the workpiece material a negative bias voltage for expanding a sheath layer along the treatment surface of the workpiece material; a microwave transmitting window, which make the microwave, which is supplied by the microwave supplying unit, propagate to the expanded sheath layer through a microwave transmitting surface thereof, and a surrounding wall, which surrounds the microwave transmitting surface of the microwave transmitting window and protrudes beyond the microwave transmitting surface in a propagation direction in which the microwaves propagate. | 01-28-2016 |
20080264341 | APPARATUS FOR CATHODIC VACUUM-ARC COATING DEPOSITION - Apparatus for cathodic vacuum-arc coating deposition. The apparatus includes a mixing chamber, at least one input duct projecting from a first end wall of the mixing chamber, and an output duct projecting from a second end wall of the mixing chamber. Coupled with each input duct is a plasma source adapted to discharge an ion flow of a coating material into the mixing chamber, which is subsequently directed to the output duct. A first solenoidal coil disposed about a side wall of the mixing chamber creates a first magnetic field inside the mixing chamber for steering the ion flow. A second solenoidal coil is disposed adjacent to the first end wall and aligned substantially coaxially with the output duct. The second solenoidal coil creates a second magnetic field inside the mixing chamber for steering the first ion flow. The electrical currents flow through the first and second solenoidal coils in opposite solenoidal directions. | 10-30-2008 |
20100071621 | DEVICE FOR FORMING A FILM BY DEPOSITION FROM A PLASMA - A plasma excitation device is described for use in depositing a film on a substrate from a plasma formed by distributed electron cyclotron resonance. The device comprises a microwave antenna having an end from which microwaves are emitted, a magnet disposed in the region of the said antenna end and defining therewith an electron cyclotron resonance region in which a plasma can be generated, and a gas entry element having an outlet for a film precursor gas or a plasma gas. The outlet is arranged to direct gas towards a film deposition area situated beyond the magnet, as considered from the microwave antenna. | 03-25-2010 |
20100089320 | PLASMA PROCESSING MEMBER, DEPOSITION APPARATUS INCLUDING THE SAME, AND DEPOSITING METHOD USING THE SAME - A deposition apparatus according to an exemplary embodiment of the present invention includes a plurality of reaction spaces, a plurality of plasma electrodes respectively disposed in the reaction spaces, a first plasma processor connected to at least two plasma electrodes, and a first plasma power source connected to the first plasma processor. The first plasma processor may include a plasma distributor or a plasma splitter. | 04-15-2010 |
20120222618 | DUAL PLASMA SOURCE, LAMP HEATED PLASMA CHAMBER - Methods and apparatus for processing semiconductor substrates are described. A processing chamber includes a substrate support with an in-situ plasma source, which may be an inductive, capacitive, microwave, or millimeter wave source, facing the substrate support and a radiant heat source, which may be a bank of thermal lamps, spaced apart from the substrate support. The support may be between the in-situ plasma source and the radiant heat source, and may rotate. A method or processing a substrate includes forming an oxide layer by exposing the substrate to a plasma generated in a process chamber, performing a plasma nitridation process on the substrate in the chamber, thermally treating the substrate using a radiant heat source disposed in the chamber while exposing the substrate to oxygen radicals formed outside the chamber, and forming an electrode by exposing the substrate to a plasma generated in the chamber. | 09-06-2012 |
20120312233 | Magnetically Enhanced Thin Film Coating Method and Apparatus - Methods and apparatuses for implementing magnetic field to assist PECVD to locally or globally coat the internal surface of the work piece are presented. Several permanent magnet assembly designs have been presented to provide efficient and effective magnetic field inside the work piece, which acts partially as the working chamber. The magnet assembly generates magnetic flux inside the working chamber, which increases the efficiency of PECVD process, enable PECVD process under higher gas pressure and to improve the uniformity, deposition rate, better adhesion and reduce the process temperature. | 12-13-2012 |
20130098292 | Processing System - A processing system for processing an object ( | 04-25-2013 |
20130220223 | RADICAL GENERATOR AND MOLECULAR BEAM EPITAXY APPARATUS - [Object] To provide a radical generator which can produce radicals at higher density. | 08-29-2013 |
20130305988 | Inline Capacitive Ignition of Inductively Coupled Plasma Ion Source - An ion source is disclosed that utilizes a capacitive discharge to produce ignition ions, which are subsequently used to ignite an inductively coupled plasma within a plasma chamber. In some embodiments, a capacitive discharge element is located along a gas feed line at a position that is upstream of a plasma chamber. The capacitive discharge element ignites a capacitive discharge within the gas feed line. The capacitive discharge contains ignition ions that are provided to a downstream plasma chamber. An inductively coupled plasma ignition element, in communication with the plasma chamber, ignites and sustains a high density inductively coupled plasma within the plasma chamber based upon ignition ions from the capacitive discharge. Due to the ignition ions, the inductively coupled plasma element can easily ignite the high density inductively coupled plasma, even at a low pressure. | 11-21-2013 |
20150114294 | Processing System - A processing system for processing an object ( | 04-30-2015 |
20150357167 | APPARATUS AND METHOD FOR MASS ANALYZED ION BEAM - In one embodiment, a processing apparatus includes a plasma chamber configured to house a plasma comprising first ions and second ions. The apparatus may further include a resonance RF power supply to generate a drive signal that is coupled to the plasma chamber, the drive signal having a drive frequency. The apparatus may also include a magnet assembly to generate a magnetic field in the plasma chamber, wherein the magnet assembly is configured to generate a first magnetic field strength that imparts a first cyclotron frequency for the first ions that matches the drive frequency of the drive signal, wherein the first magnetic field strength imparts a second cyclotron frequency for the second ions that does not match the drive frequency of the drive signal, and wherein the first ions are selectively driven into a chamber wall of the plasma chamber. | 12-10-2015 |
20160130700 | DEPOSITION APPARATUS - A deposition apparatus comprises a source unit configured to generate a plasma by arc discharge, a deposition unit in which a deposition target material is arranged so as to be irradiated with the plasma generated in the source unit, and an induction unit configured to induce the plasma for the source unit to the deposition unit. The induction unit comprises a partition unit airtightly connected to each of the source unit and the deposition unit and configured to pass the plasma inside, and a plurality of magnet units configured to form a magnetic field to induce the plasma in the partition unit. The plurality of magnet units are connected to adjust a connection angle, and the partition unit includes a tubular member bendable according to the connection angle of the plurality of magnet units. | 05-12-2016 |
20160181068 | RADICAL GENERATOR AND MOLECULAR BEAM EPITAXY APPARATUS | 06-23-2016 |
20080236490 | PLASMA REACTOR WITH AN OVERHEAD INDUCTIVE ANTENNA AND AN OVERHEAD GAS DISTRIBUTION SHOWERHEAD - A plasma reactor for processing a workpiece includes a gas distribution showerhead having a lid, a manifold having a top surface facing the lid and a bottom surface opposing the top surface. Top surface channels in the manifold top surface form a first set of plural paths extending from a first gas input point to plural path ends of the top surface channels. Gas distribution orifices extend axially through the manifold at respective ones of the path ends. Bottom surface channels in the manifold bottom surface form plural paths extending from locations at each of the gas distribution orifices to plural gas distribution path ends. The showerhead further includes a showerhead piece facing the manifold bottom surface and having plural gas injection orifices extending through the showerhead piece. | 10-02-2008 |
20080236491 | MULTIFLOW INTEGRATED ICP SOURCE - Different gases are separately exposed to RF energy in different zones in inlets to a processing chamber. Plasma is activated in the gases in each of the zones separately and the activated gases are then introduced into the plasma processing chamber where they may undergo mutual interaction within a processing zone. Control of the active species distribution within the processing chamber is provided by control of the energizing of the gases in the separate inlet zones before they are combined in the processing zone. An ICP source energizes gas in each zone through an antenna having one or more conductors, each of which is coupled to a plurality of the zones. This allows gases to be brought together in their active states, rather than being combined and then activated, and allows the same or different parameters to be applied in different inlet zones. | 10-02-2008 |
20080236492 | PLASMA PROCESSING APPARATUS - In a plasma processing apparatus comprising an evacuable processing chamber, a first electrode disposed in the processing chamber via an insulating body and a second electrode disposed in the processing chamber to face the first electrode, a central conductor and a peripheral conductor are embedded in a main surface of the first electrode via an insulating material while being separately disposed at an electrode central portion and an electrode peripheral portion, respectively. A first radio frequency leaking unit leaks a first radio frequency power applied to the first electrode from a first radio frequency power supply through at least one of the central conductor and the peripheral conductor by a desired current amount. | 10-02-2008 |
20080236493 | PLASMA PROCESSING APPARATUS - A plasma processing apparatus performs a plasma processing on a substrate to be processed by generating plasma between a first electrode and a second electrode disposed to face each other in a processing chamber by applying a radio frequency power to the first electrode from a radio frequency power supply connected to the first electrode. The plasma processing apparatus includes a dielectric body disposed near the first electrode and a conductor provided in the dielectric body. Further, a radio frequency leakage line is connected to the conductor, and the radio frequency power applied to the first electrode leaks through the radio frequency leakage line to an earth ground. In addition, an impedance adjusting circuit is provided on the radio frequency leakage line and controls an amount of the radio frequency power flowing through the radio frequency leakage line by adjusting an impedance. | 10-02-2008 |
20080257262 | Susceptor Designs for Silicon Carbide Thin Films - A susceptor is disclosed for minimizing or eliminating thermal gradients that affect a substrate wafer during epitaxial growth. The susceptor includes a first susceptor portion including a surface for receiving a semiconductor substrate wafer thereon, and a second susceptor portion facing the substrate receiving surface and spaced from the substrate-receiving surface. The spacing is sufficiently large to permit the flow of gases therebetween for epitaxial growth on a substrate on the surface, while small enough for the second susceptor portion to heat the exposed face of a substrate to substantially the same temperature as the first susceptor portion heats the face of a substrate that is in direct contact with the substrate-receiving surface. | 10-23-2008 |
20080276868 | RIGID RF TRANSMISSION LINE WITH EASY REMOVAL SECTION - An RF feed for a processing apparatus is disclosed. Coupling an RF generator to an RF matching network by a rigid RF feed lessens the amount of power that is lost during transmission from the generator to the matching network. The rigid RF feed comprises an inverted J shaped section that decouples the generator from the matching network whenever servicing the chamber is necessary. The J shape section has two parallel portions coupled together by a perpendicular portion. The J shaped section may be removed as a one piece assembly by uncoupling the J shaped section at a location disposed near the top of the chamber and a location near the floor of the chamber. The connections between the J shaped section and the remainder of the RF feed face the same direction to ensure easy coupling and decoupling without twisting and/or bending any portion of the rigid RF feed. | 11-13-2008 |
20080289576 | Plasma based ion implantation system - A plasma based ion implantation system capable of generating a capacitively coupled plasma having beneficial characteristics for an ion implantation, including the generation of necessary ions and radicals only for an ion implantation process instead of generating an inductively coupled plasma, which generates unnecessary ions and excessively dissociates radicals. The plasma based ion implantation system easily controls plasma ions implanted by cleaning a vacuum chamber, minimizes problems of unnecessary deposition and occurrence of contaminants and increases the number of components used only for the plasma ion implantion by reducing the deposition of polymer layer on a workpiece. The plasma based ion implantation system easily control uniformity of the plasma by using a flat type electrode, thereby easily ensuring uniformity of plasma ions implanted into the workpiece. | 11-27-2008 |
20080295772 | CHEMICAL VAPOR DEPOSITION APPARATUS AND PLASMA ENHANCED CHEMICAL VAPOR DEPOSITION APPARATUS - A chemical vapor deposition (CVD) apparatus and a plasma enhanced chemical vapor deposition (PECVD) apparatus that reduce the number of fine particles inside a chamber. The CVD and the PECVD apparatuses each include a chamber; a gas injection unit that injects a gas into the chamber; a gas exhaust unit that exhausts the gas to the outside of the chamber, and is positioned facing the gas injection unit; a film formation unit that incorporates a film formation region on which a film is formed from the gas, and is positioned between the gas injection unit and the gas exhaust unit; and a electrostatic induction unit, which is positioned around a region corresponding to the film formation region in order not to overlap with the film formation region, and is connected to a voltage source that is insulated from the chamber. | 12-04-2008 |
20090139453 | MULTI-STATION PLASMA REACTOR WITH MULTIPLE PLASMA REGIONS - A plasma chamber is constructed to have a chamber body defining therein a plurality of process stations. A plurality of rotating substrate holders are each situated in one of the process stations and a plurality of in-situ plasma generation regions are each provided above one of the substrate holders. A plurality of quasi-remote plasma generation regions are each provided above a corresponding in-situ plasma generation region and being in gaseous communication with the corresponding in-situ plasma generation region. An RF energy source is coupled to each of the quasi-remote plasma generation regions. | 06-04-2009 |
20090165714 | Method and system for processing substrates in chambers - Mechanisms for processing substrates, such as those for solar panels, are described. According to one aspect of to one embodiment, a processing chamber includes an opening to receive at least one type of chemical, a platform with a plurality of fixtures to hold a plurality of workpieces vertically, a plurality of heaters, each positioned between two of the workpieces, and a plurality of deposition assemblies, each positioned between two of the workpieces. As a result, each of the two of the workpieces positioned between one of the heaters and one of the deposition assemblies, wherein each of the deposition assemblies includes at least two injection panels with holes, the chemical is injected onto the workpieces through the two injection panels. | 07-02-2009 |
20090178617 | RF GROUNDING OF CATHODE IN PROCESS CHAMBER - An apparatus for providing a short return current path for RF current between a process chamber wall and a substrate support is provided. The RF grounding apparatus, which is RF grounded and is place above the substrate transfer port, establishes electrical contact with the substrate support only during substrate processing, such as deposition, to provide return current path for the RF current. One embodiment of the RF grounding apparatus comprises one or more low impedance flexible curtains, which are electrically connected to the grounded chamber wall, and to one or more low impedance blocks, which make contacts with the substrate support during substrate processing. Another embodiment of the RF grounding apparatus comprises a plurality of low impedance flexible straps, which are electrically connected to the grounded chamber wall, and to one or more low impedance blocks, which make contacts with the substrate support during substrate processing. Yet another embodiment of the RF grounding apparatus comprises a plurality of probes, which either are electrically connected to the grounded chamber wall or are grounded by other means, and actuators accompanying the probes. The actuators move the probes to make electrical contact with the substrate support during substrate processing. | 07-16-2009 |
20090183681 | Slotted Electrode and Plasma Apparatus Using the Same - A slotted electrode with uniform distribution of electric field and a process apparatus using the slotted electrode are disclosed. The slotted electrode comprises an electrode plate; a perturbation slot segment; a first edge perturbation slot segment; two second edge perturbation slot segments. By using the slot segments of the electrode plate, the electrode plate can improve the uniformity of plasma density, and is suitable for use in various types of substrate and can be widely applied in a plasma process system. | 07-23-2009 |
20090199766 | ELECTRODE ORIENTATION AND PARALLELISM ADJUSTMENT MECHANISM FOR PLASMA PROCESSING SYSTEMS - A mechanism for adjusting an orientation of an electrode in a plasma processing chamber is disclosed. The plasma processing chamber may be utilized to process at least a substrate, which may be inserted into the plasma processing chamber in an insertion direction. The mechanism may include a support plate disposed outside a chamber wall of the plasma processing chamber and pivoted relative to the chamber wall. The support plate may have a first thread. The mechanism may also include an adjustment screw having a second thread that engages the first thread. Turning the adjustment screw may cause translation of a portion of the support plate relative to the adjustment screw. The translation of the portion of the support plate may cause rotation of the support plate relative to the chamber wall, thereby rotating the electrode with respect to an axis that is orthogonal to the insertion direction. | 08-13-2009 |
20090217874 | FILM DEPOSITING APPARATUS - A film depositing apparatus comprises: a transport unit that transports an elongated substrate; a chamber; an evacuating unit that creates a specified degree of vacuum within the chamber; a rotatable drum that is provided within the chamber, around which the substrate transported by the transport unit is wrapped in a specified surface region; and a film depositing unit comprising a film depositing electrode spaced apart from and in a face-to-face relationship with the drum, a radio-frequency power source section for applying a radio-frequency voltage to the film depositing electrode, and a feed gas supply section from which a feed gas for forming a film is supplied into a space between the drum and the film depositing electrode, wherein a distribution of values of a distance between the film depositing electrode and the drum lies within 20% over an entire region of the film depositing electrode. | 09-03-2009 |
20100058986 | SYSTEM AND METHOD FOR PLASMA PLATING - An exemplary system and method for plasma plating are disclosed. The system may comprise a vacuum chamber, a filament positioned within the vacuum chamber and operable to receive a depositant, and a depositant positioned at the filament. The system may also comprise a platform positioned within the vacuum chamber, a substrate positioned at the platform, a DC power supply generating a DC signal, a radio frequency transmitter generating a radio frequency signal, an electrically conductive path that electrically couples the DC signal and the radio frequency signal to the substrate, and a filament power control electrically coupled to the filament and generating a current through the filament at an amplitude to generate heat in the filament to melt the depositant. | 03-11-2010 |
20100154709 | COMBINED WAFER AREA PRESSURE CONTROL AND PLASMA CONFINEMENT ASSEMBLY - A combined pressure control/plasma confinement assembly configured for confining a plasma and for at least partially regulating pressure in a plasma processing chamber during plasma processing of a substrate is provided. The assembly includes a movable plasma confinement structure having therein a plurality of perforations and configured to surround the plasma when deployed. The assembly also includes a movable pressure control structure disposed outside of the movable plasma confinement structure such that the movable plasma confinement structure is disposed between the plasma and the movable pressure control structure during the plasma processing, the movable pressure control structure being deployable and retractable along with the movable plasma confinement structure to facilitate handling of the substrate, the movable pressure control structure being independently movable relative to the movable plasma confinement structure to regulate the pressure by blocking at least a portion of the plurality of perforations. | 06-24-2010 |
20100170441 | Method of Forming Metal Oxide and Apparatus for Performing the Same - In a method and an apparatus for forming metal oxide on a substrate, a source gas including metal precursor flows along a surface of the substrate to form a metal precursor layer on the substrate. An oxidizing gas including ozone flows along a surface of the metal precursor layer to oxidize the metal precursor layer so that the metal oxide is formed on the substrate. A radio frequency power is applied to the oxidizing gas flowing along the surface of the metal precursor layer to accelerate a reaction between the metal precursor layer and the oxidizing gas. Acceleration of the oxidation reaction may improve electrical characteristics and uniformity of the metal oxide. | 07-08-2010 |
20100206231 | EXHAUST UNIT, EXHAUST METHOD USING THE EXHAUST UNIT, AND SUBSTRATE PROCESSING APPARATUS INCLUDING THE EXHAUST UNIT - A substrate processing apparatus includes a chamber having an inner space where a process is carried out with respect to a substrate and an exhaust unit for exhausting substance in the inner space to the outside. The exhaust unit includes a first exhaust plate located at an upstream of an exhaust path of the substance, the first exhaust plate having first exhaust holes, and a second exhaust plate located at a downstream of the exhaust path, the first exhaust plate having second exhaust holes. The first exhaust plate is disposed outside a support member, and the second exhaust plate is disposed below the first exhaust plate generally in parallel to the first exhaust plate. The exhaust unit further includes first covers for selectively opening and closing the first exhaust holes and second covers for selectively opening and closing the second exhaust holes. | 08-19-2010 |
20100275847 | DLC FILM COATED PLASTIC CONTAINER, AND DEVICE AND METHOD FOR MANUFACTURING THE PLASTIC CONTAINER - The present invention provides a plastic container having a inner wall surface coated with DLC film which has same level of oxygen barrier property as prior art and can prevent a coloring of the DLC film formed on a neck portion of the container, manufacturing apparatus therefor and manufacturing method thereof. | 11-04-2010 |
20110126764 | GAS SUPPLY APPARATUS - A gas supply apparatus for introducing gases to a process chamber of a PECVD system is provided. The gas supply apparatus includes a gas inlet tube, a process gas pipe, a cleaning gas pipe, a remote plasma source (RPS) and a variable valve. The RPS is connected with a cleaning gas source, and the cleaning gas pipe is connected between the gas inlet tube and the RPS for introducing a cleaning gas from the RPS to the gas inlet tube. The process gas pipe is connected between the gas inlet tube and a process gas source for introducing a process gas to the gas inlet tube. The variable valve is installed in the gas inlet tube for closing a passage between the cleaning gas pipe and the gas inlet tube to prevent the process gas entering the cleaning gas pipe when the process gas is introduced to the process chamber. | 06-02-2011 |
20110220026 | PLASMA PROCESSING DEVICE - A plasma processing device according to the present invention includes a plasma processing chamber consisting of a vacuum container, a pair of substrate holders standing opposite each other in the plasma processing chamber, a plurality of first reaction gas tubes provided between the two substrate holders, and a plurality of second reaction gas tubes provided between the plurality of first reaction gas tubes and each of the two substrate holders. The first reaction gas tubes, which are made of an electrically conductive material, are electrically connected to a first radio-frequency power source or second radio-frequency power source. The first reaction gas tubes double as a radio-frequency antenna, while the second reaction gas tubes double as an electrode. | 09-15-2011 |
20110259269 | SMALL FORM FACTOR PLASMA SOURCE FOR HIGH DENSITY WIDE RIBBON ION BEAM GENERATION - An ion source, capable of generating high-density wide ribbon ion beam, utilizing inductively coupled plasma production is disclosed. As opposed to conventional ICP sources, the present disclosure describes an ICP source which is not cylindrical. Rather, the source is defined such that its width, which is the dimension along which the beam is extracted, is greater than its height. The depth of the source may be defined to maximize energy transfer from the antenna to the plasma. In a further embodiment, a multicusp magnetic field surrounding the ICP source is used to further increase the current density and improve the uniformity of the extracted ion beam. Ion beam uniformity can also be controlled by means of several independent controls, including gas flow rate, and input RF power. | 10-27-2011 |
20120160167 | External Heating of Substrate Tubes in Plasma Chemical Vapor Deposition Processes - A PCVD apparatus including an insulative covering disposed to surround at least a portion of the substrate tube and provide external heating of the substrate tube during the deposition process. The insulative covering functions to capture and retain the external thermal energy created by the plasma process. As a result, the areas of the substrate tube that are removed from the current location of the plasma absorb this captured thermal energy and remain at an essentially constant temperature until the next pass of the work coil. | 06-28-2012 |
20120222617 | PLASMA SYSTEM AND METHOD OF PRODUCING A FUNCTIONAL COATING - A plasma system has at least one inductively coupled high-frequency plasma jet source having a burner body delimiting a plasma generating space, having an outlet orifice for the plasma jet, and a chamber communicating with the plasma jet source through the outlet orifice, having a substrate situated in the chamber, where it is exposed to the plasma jet. The substrate is situated on a substrate electrode to which an electric voltage may be applied. In addition, a method of producing a functional coating on the substrate using such a plasma system is also described. In a preferred embodiment, during operation of the plasma system, both the plasma jet and the electric voltage on the substrate electrode are pulsed and/or a pressure gradient is maintained between the interior of the plasma jet source and the interior of the chamber. | 09-06-2012 |
20120298039 | METHOD AND APPARATUS FOR GROWING THIN OXIDE FILMS ON SILICON WHILE MINIMIZING IMPACT ON EXISTING STRUCTURES - Plasma assisted low temperature radical oxidation is described. The oxidation is selective to metals or metal oxides that may be present in addition to the silicon being oxidized. Selectivity is achieved by proper selection of process parameters, mainly the ratio of H2 to O2 gas. The process window may be enlarged by injecting H2O steam into the plasma, thereby enabling oxidation of silicon in the presence of TiN and W, at relatively low temperatures. Selective oxidation is improved by the use of an apparatus having remote plasma and flowing radicals onto the substrate, but blocking ions from reaching the substrate. | 11-29-2012 |
20120304933 | PARALLEL PLATE REACTOR FOR UNIFORM THIN FILM DEPOSITION WITH REDUCED TOOL FOOT-PRINT - A capacitive-coupled parallel plate plasma enhanced chemical vapor deposition reactor includes a gas distribution unit that is integrated in an RF electrode and is formed with a gas outlet. The parallel plate reactor is configured so that layers with high thickness homogeneity and quality can be produced. The capacitively coupled parallel plate plasma enhanced vapor deposition reactor has gas distribution unit with a multiple-stage showerhead constructed in such a way that it provides an independent adjustment of gas distribution and gas emission profile of the gas distribution unit. | 12-06-2012 |
20130255575 | PLASMA GENERATOR - Provided is a plasma generator which includes a vacuum chamber, a plurality of ground electrodes disposed inside the vacuum container and extending in parallel to each other, a plurality of power electrodes disposed between the ground electrodes inside the vacuum container, and a plurality of electrodes dielectrics disposed between the power electrodes and the ground electrodes inside the vacuum container. The power electrodes are connected to an RF power source. | 10-03-2013 |
20130276702 | GAS RECLAMATION AND ABATEMENT SYSTEM FOR HIGH VOLUME EPITAXIAL SILICON DEPOSITION SYSTEM - Gas reclaim and abatement are provided herein. In some embodiments, a gas reclaim and abatement system may include a chamber having walls defining an interior volume, a first body extending into the interior volume and having a channel disposed therein to provide a first gas to the chamber, wherein the first body is spaced apart from the walls to define a reaction volume between the first body and the walls, a plurality of RF coils disposed about the first body to provide RF energy to heat the first body, wherein the plurality of RF coils are disposed proximate the walls of the chamber on a side of the reaction volume opposite the first body, and a ceramic layer disposed about the first body, wherein the ceramic layer has one or more openings to provide a second gas to the reaction volume of the chamber through the ceramic layer. | 10-24-2013 |
20140083363 | PATTERNING OF MAGNETIC THIN FILM USING ENERGIZED IONS AND THERMAL EXCITATION - A method for patterning a magnetic thin film on a substrate includes: providing a pattern about the magnetic thin film, with selective regions of the pattern permitting penetration of energized ions of one or more elements. Energized ions are generated with sufficient energy to penetrate selective regions and a portion of the magnetic thin film adjacent the selective regions. The substrate is placed to receive the energized ions. The portion of the magnetic thin film is subjected to thermal excitation. The portions of the magnetic thin film are rendered to exhibit a magnetic property different than selective other portions. A method for patterning a magnetic media with a magnetic thin film on both sides of the media is also disclosed. | 03-27-2014 |
20140202385 | FLAT-PLATE TYPE PECVD DEVICE - The present application discloses a flat-plate type PECVD device including a vacuum chamber for accommodating a work piece and a plasma emitter provided above the vacuum chamber. The plasma emitter includes an emitting box fixed to the vacuum chamber, and a radio frequency impedance matching device provided above the emitting box. A dielectric window is connected to a bottom portion of the emitting box, and an antenna body connected to the radio frequency impedance matching device is fixedly provided above the emitting box. The antenna body includes an antenna placed in the emitting box, and a connecting terminal for connecting the antenna and the radio frequency impedance matching device. A radio frequency power supply is externally connected to the radio frequency impedance matching device. A process gas intake pipe is fixedly provided on the vacuum chamber, and a mounting groove corresponding to the emitting box is provided above the vacuum chamber. | 07-24-2014 |
20140216345 | PLASMA PROCESSING APPARATUS - A plasma processing apparatus includes a processing chamber including a dielectric window; a coil-shaped RF antenna, provided outside the dielectric window; a substrate supporting unit provided in the processing chamber; a processing gas supply unit; an RF power supply unit for supplying an RF power to the RF antenna to generate a plasma of the processing gas by an inductive coupling in the processing chamber, the RF power having an appropriate frequency for RF discharge of the processing gas; a correction coil, provided at a position outside the processing chamber where the correction coil is to be coupled with the RF antenna by an electromagnetic induction, for controlling a plasma density distribution on the substrate in the processing chamber; a switching device provided in a loop of the correction coil; and a switching control unit for on-off controlling the switching device at a desired duty ratio by pulse width modulation. | 08-07-2014 |
20140345528 | SUBSTRATE PROCESSING APPARATUS INCLUDING PROCESSING UNIT - Provided is a substrate processing apparatus. The substrate processing apparatus in which a process with respect to substrates is performed includes a lower chamber having an opened upper portion, the lower chamber having a passage, through which the substrates are accessible, in a side thereof, an external reaction tube closing the opened upper portion of the lower chamber to provide a process space in which the process is performed, a substrate holder on which the one or more substrates are vertically stacked, the substrate holder being movable between a stacking position at which the substrates are stacked within the substrate holder and a process position at which the process with respect to the substrates is performed, a gas supply unit supplying a reaction gas into the process space, and a processing unit disposed outside the external reaction tube to activate the reaction gas, thereby performing the process with respect to the substrates. | 11-27-2014 |
20140360429 | GAS BARRIER ELEMENT FOR PECVD REACTORS - This disclosure relates to plasma processing for photovoltaic device manufacturing. Particularly to a plasma processing system that includes an electrode that allows gas to pass through into the process chamber that includes a substrate. A gas barrier component may be used to minimize parasitic plasma occurring at the edges of the electrode by preventing process gas reaching the edge of the chamber or from entering the process chamber by going around the electrode. The gas barrier component may be made of a non-conductive flexible material that forms a fluidic seal between the electrode and the chamber. In other embodiments, the gas barrier may also support isolation grids that are disposed opposite of the electrode and prevent the isolation grids from moving. | 12-11-2014 |
20150122177 | APPARATUS FOR PROCESSING SUBSTRATE - Provided is a substrate processing apparatus. The substrate processing apparatus includes a chamber having an opened upper side, the chamber having a passage, through which a substrate is accessible, in a side thereof, a chamber cover covering the opened upper side of the chamber to provide an inner space in which a process with respect to the substrate is performed, the chamber cover having a gas supply hole passing through a ceiling wall thereof, an upper antenna disposed on an upper central portion of the chamber cover to generate an electric field in a central portion of the inner space, the upper antenna generating plasma by using a source gas supplied into the inner space, a side antenna disposed to surround a side portion of the chamber cover to generate an electric field in an edge portion of the inner space, the side antenna generating plasma by using the source gas supplied into the inner space, and a gas supply tube connected to the gas supply hole to supply the source gas into the inner space. The gas supply hole is disposed outside the upper antenna. | 05-07-2015 |
20150371823 | PLASMA APPARATUS AND SUBSTRATE PROCESSING APPARATUS - A plasma generating apparatus includes peripheral dielectric tubes arranged at regular intervals around a circumference having a constant radius from the center of top surface of a chamber, peripheral antennas disposed to cover the peripheral dielectric tubes, upper magnets vertically spaced apart from the peripheral dielectric tubes to be disposed on the same first plane, and lower magnets each being disposed on the same second plane between the upper magnets and the peripheral dielectric tubes. A central axis of the upper magnets and a central axis of the lower magnets match each other, and plasma is generated inside the peripheral dielectric tubes. | 12-24-2015 |
20220136107 | SHOWERHEAD WITH CONFIGURABLE GAS OUTLETS - A deposition tool including a processing chamber, a deposition pedestal for supporting a substrate in the processing chamber and for depositing a layer of material on a first surface of the substrate and a showerhead assembly having a faceplate opposing a second surface of the substrate, the faceplate of the showerhead having a plurality of configurable gas outlets arranged to distribute a purge gas adjacent the second surface of the substrate when the layer of material is being deposited on the first surface of the substrate by the deposition pedestal. | 05-05-2022 |
20080202423 | VACUUM FILM-FORMING APPARATUS - A vacuum film-forming apparatus comprising substrate stages; vacuum chamber-forming containers opposed to the stages; a means for moving the substrate between the stages; and gas-introduction means connected to every containers, wherein one of the stage and the container is ascended or descended towards the other to bring the upper face of the stage and the opening of the container into contact with one another so that vacuum chambers can be formed and that a raw gas and/or a reactant gas can be introduced into each space of the chamber through each gas-introduction means to carry out either the adsorption or reaction step for allowing the raw gas to react with the reactant gas. The apparatus permits the independent establishment of process conditions for the adsorption and reaction processes and the better acceleration of the reaction between raw and reactant gases to give a film having excellent quality and the apparatus can be manufactured at a low cost. | 08-28-2008 |
20080257264 | FACILITY FOR DEPOSITING LUBRICANT COATING ON HARD MAGNETIC DISKS - A facility for depositing lubricant coatings on hard magnetic disks includes load and unload vacuum locks in which cassettes carrying hard magnetic disks to be coated with a lubricant coating are turned 90°. Lubricant coatings are simultaneously deposited on a plurality of hard magnetic disks in a process module. Plural cassettes carrying the hard magnetic disks are simultaneously located in the load and unload vacuum locks. Plural cassettes carrying the hard magnetic disks are simultaneously located in a buffer zone between a load vacuum lock and the module for depositing the lubricant coatings. | 10-23-2008 |
20090145361 | EVAPORATION APPARATUS - Thermal electrons emitted the filament | 06-11-2009 |
20100024731 | PROCESSING TOOL WITH COMBINED SPUTTER AND EVAPORATION DEPOSITION SOURCES - A substrate processing system particularly suitable for fabricating solar cells. The system has a front end module transporting cassettes, each cassette holding a preset number of substrates therein; a loading module coupled to the front end module and having mechanism for loading substrates from the cassettes onto carriers; and a plurality of processing chambers coupled to each other in series, each having tracks for transporting the carriers directly from one chamber to the next; wherein selected chambers of the plurality of processing chambers comprise at least one combination source having a sputtering module and an evaporation module arranged linearly in the direction of travel of the carriers. | 02-04-2010 |
20100089323 | METHOD FOR COATING INTERNAL MEMBER HAVING HOLES IN VACUUM PROCESSING APPARATUS AND THE INTERNAL MEMBER HAVING HOLES COATED BY USING THE COATING METHOD - A coating method for a internal member having holes in a vacuum processing apparatus is provided. The method includes a process (A) of filling small holes | 04-15-2010 |
20110067631 | ARC ION PLATING APPARATUS - An arc ion plating apparatus comprises a vacuum chamber, a rotary table for moving a substrate within the vacuum chamber vertically relative to its height direction, an arc evaporation source for bombardment for cleaning the surface of the substrate with metal ions, and an arc evaporation source for deposition group for depositing metal ions on the surface of the substrate. The arc evaporation source for deposition group is composed of a plurality of evaporation sources arranged so as to be opposite to the substrate set on the rotary table, and the arc evaporation source for bombardment is arranged so as to be opposite to the substrate, and formed so that its length in the height direction of the vacuum chamber is equal to the length between the upper and lower ends of the arc evaporation source for deposition group. According to such a structure, over temperature rise or abnormal discharge is hardly caused in the substrate at the time of bombardment, and process controllability is consequently enhanced. | 03-24-2011 |
20130269611 | GUIDED NON-LINE OF SIGHT COATING - A method and apparatus for applying a vapor deposition coating onto a substrate with a non line of sight or limited line of sight is disclosed. A vapor stream is provided in a chamber that is below atmospheric pressure. The vapor stream is impinged with a working gas that provides a flow that transports the vapor stream. The flow of the working gas is modified with a physical object that directs the flow to achieve a desired coating on the substrate. | 10-17-2013 |
20140102370 | MACHINE FOR IMPLANTING IONS IN PLASMA IMMERSION MODE FOR A LOW-PRESSURE METHOD - The present invention provides an ion implantation machine comprising:
| 04-17-2014 |
20150345008 | HEATING APPARATUS, AND COATING DEVICE COMPRISING SAME - Provided are a heating apparatus configured to generate a coating vapor for coating a base metal (steel sheet) which is continuously transferred in a vacuum state, and a coating device including the heating apparatus. A coating material to be converted into a coating vapor is initially supplied in a solid phase (solid state) and then phase changed into a liquid (liquid state). Thereafter, the liquid-state coating material is supplied to a heating unit, thereby preventing problems such as equipment erosion occurring when a liquid coating material is directly supplied and preventing the decrease of the temperature of a coating material when a solid wire is supplied as a coating material. As a result, the energy efficiency of the heating apparatus may be maximized. | 12-03-2015 |
20160076143 | VACUUM COATING APPARATUS - A vacuum coating apparatus includes at least a chamber, an arc discharge plasma source, a feeding-reeling unit, and a roller set. The first and second openings are connecting with the feeding or reeling unit so as to allow the substrate to enter and leave the chamber therethrough, respectively. The arc discharge plasma source located inside the chamber generates the plasma, which discharges radially from the arc discharge plasma source as its center. The roller set includes a plurality of the first rollers, which are located in the chamber and enclosing the arc discharge plasma source. A first surface of the substrate is facing the plurality of the first rollers and contacts tightly on the periphery of the first rollers so that the first rollers can rotate by the moving of the substrate. The material evaporated and emitted by the plasma is attached onto the first surface of the substrate. | 03-17-2016 |
20160111313 | APPARATUS FOR THE VACUUM TREATMENT OF SUBSTRATES - The invention relates to an apparatus for the vacuum treatment of substrates ( | 04-21-2016 |
20160177435 | EVAPORATION DEVICE | 06-23-2016 |
20190145000 | MECHANISM FOR CREATING VACUUM IN PROCESSING APPARATUS | 05-16-2019 |
20100006030 | TAKE-UP TYPE VACUUM VAPOR DEPOSITION APPARATUS - To provide a take up type vacuum vapor deposition apparatus capable of suppressing generation of a thermally-affected area on a film without lowering productivity. A take-up type vacuum vapor deposition apparatus according to the present invention includes: a payout roller configured to successively pay out a film ; a take-up roller configured to take up the film paid out from the payout roller; a cooling roller disposed between the payout roller and the take-up roller and configured to cool the film by coming into close contact with the film ; an evaporation source that faces the cooling roller and configured to deposit an evaporation material on the film; and an electron beam irradiator disposed between the payout roller and the evaporation source and configured to irradiate the film with an electron beam while the film is traveling. In the take-up type vacuum vapor deposition apparatus, the electron beam irradiator includes a filament configured to discharge electrons by electrical heating and DC generation means for supplying a direct current to the filament. | 01-14-2010 |
20080236489 | Plasma Processing Apparatus - The plasma processing apparatus includes: a processing container | 10-02-2008 |
20090120366 | MICROWAVE PLASMA CVD DEVICE - The present invention provides a microwave plasma CVD device that can satisfactorily perform plasma position control under a condition capable of fabricating a large-area high-quality diamond thin film or the like. A microwave plasma CVD device includes: a vacuum chamber | 05-14-2009 |
20100175621 | Microwave Plasma Processing Apparatus - Disclosed is improvement of a quartz-glass top plate to be used as a microwave-transmitting window in a microwave plasma processing apparatus. The surface, facing a substrate W, of the top plate has surface roughness equal to or less than 0.2 μm in arithmetic mean surface roughness Ra. Thereby, generation of particles derived from the quartz glass material constituting the top plate is minimized, even when the top plate is exposed to a severe environment of high electron density and high electron temperature. | 07-15-2010 |
20100186670 | PLASMA FORMATION REGION CONTROL APPARATUS AND PLASMA PROCESSING APPARATUS - There is provided a plasma formation region control apparatus, with which a large-scale plasma can be obtained under a high pressure with ease and at low cost. The plasma formation region control apparatus comprises a microwave oscillator, an antenna connected to the microwave oscillator, and controller for controlling the position of each of the microwave oscillator and the antenna. The controller positions the antenna towards a plasma formation region in accordance with a specification for a plasma region for respective points in time t; establishes a driving sequence for the microwave oscillator based on the temperature state of the specified plasma; and drives the microwave oscillator according to the driving sequence. | 07-29-2010 |
20100275846 | PLASMA PROCESSING METHOD, PLASMA PROCESSING APPARATUS, AND COMPUTER RECORDING MEDIUM - According to the present invention, plasma oxidation processing and plasma nitridation processing are applied at the same time to the surface of a semiconductor substrate by plasma using a microwave. After forming an insulating film by the plasma oxynitridation processing as described above, the plasma nitridation processing is further applied to the insulating film as necessary. Thereby, it is possible to form the insulating film with an excellent electrical characteristic. | 11-04-2010 |
20110011341 | SHOWER PLATE AND PLASMA PROCESSING DEVICE USING THE SAME - A shower plate ( | 01-20-2011 |
20110146576 | SYSTEMS FOR APPLYING A THERMAL BARRIER COATING TO A SUPERALLOY SUBSTRATE - Systems for applying a thermal barrier coating to a superalloy substrate including at least one target for supplying a material for making the thermal barrier coating; at least one laser operably directed toward the target for liberating atomic particles from the target; and a plasma torch for generating a plasma for accelerating and depositing the atomic particles onto the superalloy substrate as the thermal barrier coating where the superalloy substrate is a nickel based superalloy or a cobalt based superalloy. | 06-23-2011 |
20120152169 | PLASMA DEPOSITION DEVICE - A plasma deposition device for coating a substrate includes: a vacuum chamber; a waveguide disposed in the vacuum chamber for transmitting a microwave; an antenna unit disposed in the vacuum chamber above the substrate for receiving the microwave from the waveguide, and connected to the waveguide, the antenna unit including an outer conductor and an inner conductor wire that is disposed inside the outer conductor; a gas supplying conduit disposed inside the outer conductor and surrounding the inner conductor wire, and including a plurality of exhaust holes for blowing a plasma-inducing gas downward and toward the substrate to interact with the microwave and to produce plasma; and a blocking unit disposed in the vacuum chamber to prevent the plasma-inducing gas blown to the substrate from flowing back to the gas supplying conduit. | 06-21-2012 |
20120186521 | PLASMA PROCESSING APPARATUS AND GAS SUPPLY DEVICE FOR PLASMA PROCESSING APPARATUS - A plasma processing apparatus | 07-26-2012 |
20120247390 | FILM FORMATION APPARATUS - Disclosed is a film formation apparatus ( | 10-04-2012 |
20130047923 | FILM DEPOSITION APPARATUS, SUBSTRATE PROCESSING APPARATUS, AND PLASMA GENERATING DEVICE - A disclosed film deposition apparatus which forms a film on a substrate inside a vacuum chamber including a turntable having a substrate mounting area, includes an antenna facing the substrate mounting area for converting the plasma generating gas to plasma, a Faraday shield intervening between the antenna and the substrate to prevent an electric field of an electromagnetic field from passing therethrough, the Faraday shield including slits arranged on the conductive plate parallel to the antenna, the slits being opened on the conductive plate in perpendicular to a direction of arranging the slits to enable a magnetic field to reach the substrate, a window opened in an area of the conductive plate surrounded by the slits, an inner conductive path between the slits and the window and grounded, and an outer conductive path on a side opposite to the window relative to the slits and surrounds the slits. | 02-28-2013 |
20160177448 | PLASMA PROCESSING APPARATUS | 06-23-2016 |
20080210165 | CLUSTER TYPE SEMICONDUCTOR PROCESSING APPARATUS - A cluster type semiconductor processing apparatus includes a wafer handling chamber having a polygonal base including multiple sides for wafer processing chambers and two adjacent sides for wafer loading/unloading chambers as viewed in a direction of an axis of the wafer handling chamber. An angle A between two adjacent sides of the multiple sides for wafer processing chambers is greater than an angle B which is calculated by dividing 360° by the number of the total sides consisting of the multiple sides for wafer processing chambers and the two adjacent sides for wafer loading/unloading chambers. | 09-04-2008 |
20090183679 | ION SOURCE GAS REACTOR - An ion source is disclosed which includes a gas reaction chamber. The invention also includes a method of converting a gaseous feed material into a tetramer, dimer, other molecule or atomic species by supplying the feed material to the gas reaction chamber wherein the feed material is converted to the appropriate gas species to be supplied to the ion source and ionized. More particularly, the gas reaction chamber is configured to receive hydride and other feed materials in gaseous form, such as, AsH | 07-23-2009 |
20090071404 | Method of forming titanium film by CVD - A Ti film is formed by CVD in holes formed in an insulating film formed on a Si substrate or on a Si film formed on a Si substrate by a method according to the present invention. The method includes the steps of: loading a Si substrate into a film forming chamber; evacuating the chamber at a predetermined vacuum; supplying TiCl | 03-19-2009 |
20090114154 | PLASMA TREATMENT APPARATUS - The present invention provides a plasma treatment apparatus which has a plurality of UR-type plasma guns including reflected electron return electrodes, and can stably form a film having uniform film thickness and film quality. A plasma treatment apparatus according to one embodiment of the present invention sets an electric potential of at least one UR-type plasma gun at a floating potential. In one embodiment of the present invention, all UR-type plasma guns may be set at floating potentials. In other embodiment of the present invention, only one UR-type plasma gun may be grounded, and the other UR-type plasma guns may be set at floating potentials. | 05-07-2009 |
20090151636 | RPSC AND RF FEEDTHROUGH - The present invention generally comprises an apparatus having an RF choke and a remote plasma source combined into a single unit. Process gases may be introduced to the chamber via the showerhead assembly which may be driven as an RF electrode. The gas feed tube may provide process gases and the cleaning gases to the process chamber. The inside of the gas feed tube may remain at a zero RF field to avoid premature gas breakdown within the gas feed tube that may lead to parasitic plasma formation between the gas source and the showerhead during processing. Igniting the cleaning gas plasma within the gas feed tube permits the plasma to be ignited closer to the processing chamber. Thus, RF current travels along the outside of the apparatus during deposition and microwave current ignites a plasma within the apparatus before feeding the plasma to the processing chamber. | 06-18-2009 |
20120234241 | MICROWAVE PLASMA DEPOSITION DEVICE - A microwave plasma deposition device includes: a main chamber; a support disposed in the main chamber for supporting an article to be coated; a resonance chamber fluidly connected to the main chamber and disposed opposite to the support; a microwave plasma generator disposed in the resonance chamber for generating a plasma to travel to the support; a separation cover unit disposed in the main chamber to cover the support and to define a deposition space within the main chamber and around the support, and including a plurality of plasma through holes that connect fluidly the deposition space with a remaining part of the main chamber to permit the plasma to enter the deposition space; and a precursor supplying device for supplying a precursor to the deposition space. | 09-20-2012 |
20130125817 | APPARATUS FOR PERFORMING A PLASMA CHEMICAL VAPOUR DEPOSITION PROCESS - The invention relates to an apparatus for performing a plasma chemical vapour deposition process. The apparatus comprises a mainly cylindrical resonator being provided with an outer cylindrical wall enclosing a resonant cavity having a substantially rotational symmetric shape with respect to a cylindrical axis. The resonator further includes side wall portions bounding the resonant cavity in opposite cylindrical axis directions. In addition, the apparatus comprises a microwave guide extending through the outer cylindrical wall into the resonant cavity. The length of the resonant cavity in the cylindrical direction varies as a function of the radial distance to the cylindrical axis. | 05-23-2013 |
20130284093 | SUBSTRATE TREATING APPARATUS - Provided is a substrate treating apparatus. The substrate treating apparatus includes a process chamber providing an inner space in which a substrate is treated, a substrate support member disposed within the process chamber to support the substrate, a showerhead disposed to face the substrate support member and partitioning the inner space into an upper space and a lower space, the showerhead having a plasma supply hole through which the upper space and the lower space communicate with each other, an excitation gas supply unit supplying an excitation gas into the upper space, a process gas supply unit supplying a process gas into the lower space, and a microwave apply unit applying a microwave into the upper space. | 10-31-2013 |
20140102367 | PLASMA PROCESSING DEVICE - A plasma processing device including a stage for holding a substrate, a processing vessel, a first supply unit, a masking portion, a dielectric member, a microwave introduction unit, and a second supply unit. The first supply unit supplies a first process gas for layer deposition to the processing space. The masking portion is electrically conductive and has a first surface facing the processing space, a second surface at an opposite side, and one or more through holes extending from the first surface to the second surface. The dielectric member is in contact with the second surface of the masking portion, and is formed with one or more cavities connected to the one or more through holes. The microwave introduction unit introduces microwaves to the dielectric member. The second supply unit supplies a second process gas for plasma processing into the cavities of the dielectric member. | 04-17-2014 |
20110005461 | METHODS AND SYSTEMS FOR PLASMA DEPOSITION AND TREATMENT - A plasma deposition apparatus includes a waveguide conduit having a plurality of slots therein. The waveguide conduit is coupled to a microwave source for transmitting microwaves from the microwave source through the plurality of slots. One or more pipes have an outlet end positioned at each of the plurality of slots for transporting material from one or more material sources to the plurality of slots. The apparatus also includes a plasma chamber in communication with the waveguide tube through the plurality of slots. The plasma chamber receives through said plurality of slots microwaves from the waveguide tube and material to be melted or evaporated from the one or more pipes. The plasma chamber includes a plurality of magnets disposed in an outer wall of the plasma chamber for forming a magnetic field in the plasma chamber. The plasma chamber further includes one or more outlet openings for discharging plasma containing material to be deposited on a substrate. | 01-13-2011 |
20120024229 | CONTROL OF PLASMA PROFILE USING MAGNETIC NULL ARRANGEMENT BY AUXILIARY MAGNETS - Magnetrons for use in physical vapor deposition (PVD) chambers and methods of use thereof are provided herein. In some embodiments, an apparatus may include a support member having an axis of rotation; a plurality of first magnets coupled to the support member on a first side of the axis of rotation and having a first polarity oriented in a first direction perpendicular to the support member; and a second magnet coupled to the support member on a second side of the axis of rotation opposite the first side and having a second polarity oriented in a second direction opposite the first direction. In some embodiments, the apparatus is capable of forming a magnetic field including one or more magnetic nulls that modulate local plasma uniformity in a physical vapor deposition (PVD) chamber. | 02-02-2012 |
20150020735 | METHODS AND SYSTEMS FOR PLASMA DEPOSITION AND TREATMENT - This application is directed to an apparatus for creating microwave radiation patterns for an object detection system. The apparatus includes a waveguide conduit having first slots at one side of the conduit and corresponding second slots at an opposite side of the conduit. The waveguide conduit is coupled to a microwave source for transmitting microwaves from the microwave source through the plurality of first slots. A plunger is moveably positioned in the waveguide conduit from one end thereof. The plunger allows the waveguide conduit to be tuned to generally optimize the power of the microwaves exiting the first slots. Secondary plungers are each fitted in one of the second slots to independently tune or detune microwave emittance through a corresponding first slot. | 01-22-2015 |