TOSHIBA AMERICA ELECTRONIC COMPONENTS, INC. Patent applications |
Patent application number | Title | Published |
20150103591 | SEMICONDUCTOR MEMORY WITH INTEGRATED BIOLOGIC ELEMENT - A memory includes cytokines, such as macromolecule proteins, as a poly-state data storage. Each fold state of multiple fold states of a protein are associated with a data value. Current flow through the protein is associated with a resistance of the protein associated with its current fold state. Application of light, electric fields or heat via an associated element or elements facilitates placement of a protein in a fold state that corresponds to an associated resistance and correlates with an incoming data value. Measuring of current or resistance allows for reading of a data value associated with the protein. | 04-16-2015 |
20140323875 | STENT APPARATUS WITH INTEGRATED IMAGING ELEMENT FOR IN SITU DETECTION OF BUILDUP OF MATERIAL IN A VASCULAR SYSTEM - According to one embodiment, an apparatus for detecting obstructions in biological vessels includes a cylindrical hollow stent with an expandable body portion having an outer surface configured to engage the inner surface of the lumen of the vessel to urge the vessel against collapse, and an imaging system operatively coupled with stent. The imaging system includes a first power source, a light generating element, a light sensor generating a first signal representative of light received by the sensor element from the light generating elements, and a processor unit receiving the first signal and processing the first signal in accordance with image processing logic stored in a memory of the processor unit to generate an image signal representative of as image of associated target material such as plaque obstructing the flow. The imaging system and stent may be formed on opposite sides of a flexible organic substrate. | 10-30-2014 |
20140303980 | SYSTEM AND METHOD FOR AUDIO KYMOGRAPHIC DIAGNOSTICS - A system and method for assisting in a determination of one or more maladies associated with a human voice anatomy utilizes voice information acquired over at least two temporally displaced acquisitions. Acquired voice samples, including plural vowel sounds, are digitized and passed through one or more bandpass filters to isolate one or more frequency ranges. Curve fitting of acquired data is completed in accordance with a plurality of parameter weights applied in either a time domain or frequency domain model of the voice. This process is repeated a second, later time, for the same human, and the same process is completed for the subsequently-acquired voice information. A difference between the curve information in the respective data sets is analyzed relative to the weights, and corresponding changes are correlated to maladies of various areas of the human voice anatomy. | 10-09-2014 |
20140070328 | SEMICONDUCTOR DEVICE AND METHOD OF FABRICATING THE SAME - Semiconductor devices and methods of fabricating semiconductor devices are provided. Two or more layers can be formed on a silicon substrate, wherein one or more of the layers are used for controlling an isolation recess. A first layer can comprise a first material and a second layer can comprise a second material. | 03-13-2014 |
20140054754 | OPTICALLY REACTIVE MASKING - Systems and methods are presented for filling an opening with material of a high integrity. A material having properties in a first physical state suitable for formation of a hard mask layer and in a second physical state having properties facilitating removal of the former hard mask layer is utilized. Utilizing the material as a mask layer and subsequently removing the material enables a number of mask layers to be minimized in a subsequent filling operation (e.g., metallization). Material amenable to being in a first physical state and a second physical state is an optically reactive material. The optically reactive dielectric can comprise an element or compound which can act as an agent/catalyst in the optical conversion process along with any element or compound which can act as an accelerator for the optical reaction. Conversion can be brought about by exposure to electromagnetic radiation and/or application of thermal energy. | 02-27-2014 |
20140054648 | NEEDLE-SHAPED PROFILE FINFET DEVICE - Structures and methods are presented relating to formation of finFET semiconducting devices. A finFET device is presented comprising fin(s) formed on a substrate, wherein the fin(s) has a needle-shaped profile. The needle-shaped profile, in conjunction with at least a buffer layer or a doped layer, epitaxially formed on the fin(s), facilitates strain to be induced into the fin(s) by the buffer layer or the doped layer. The fin(s) can comprise silicon aligned on a first plane, while at least one of the buffer layer or the doped layer are grown on a second plane, the alignment of the first and second planes are disparate and are selected such that formation of the buffer layer or the doped layer generates a stress in the fin(s). The generated stress results in a strain being induced into the fin(s) channel region, which can improve electron and/or hole mobility in the channel. | 02-27-2014 |
20140027914 | PROTECTION OF UNDER-LAYER CONDUCTIVE PATHWAY - Systems and methods are presented for preventing removal of material comprising a metal gate during removal of a mask layer in a semiconductor structure. Upon exposure of the metal line during formation of a via opening the exposed portion of the metal line undergoes chemical modification to form a passivation layer. The passivation layer is subsequently covered by an etch selectivity layer, wherein the etch selectivity layer prevents removal of at least one of a portion of the metal line or the passivation layer during removal of a hard mask layer comprising the semiconductor structure. In an alternate approach, the metal line is formed with a capping layer which, following exposure by a via opening formed in the semiconductor structure, is chemically modified to form a layer having etch selectivity to acts as a protective layer during removal of a hard mask layer comprising the semiconductor layer. | 01-30-2014 |
20130328155 | GENERATION OF ADDITIONAL SHAPES ON A PHOTOMASK FOR A MULTIPLE EXPOSURE PROCESS - The disclosed aspects relate to controlling density of photomasks. One or more unprintable auxiliary patterns can be placed near a mask feature as well as onto a location of a feature of the main pattern. If a density is measured and is not within an acceptable density range, one or more printable auxiliary patterns can be replaced with unprintable auxiliary patterns and/or one or more unprintable auxiliary patterns can be replaced with printable auxiliary patterns. The disclosed aspects can be utilized to create a photomask and/or a semiconductor device, such as a large scale integrated circuit device, that comprises the photomask. | 12-12-2013 |
20130320412 | ISOLATED INSULATING GATE STRUCTURE - Systems and methods are presented for forming a gate structure comprising an insulative portion, whereby the insulative portion is utilized to electrically isolate an electrically conductive portion of the gate structure from a conductive element located in the vicinity of the gate structure. The insulative portion is formed by chemically modifying a conductive portion of the gate. Chemical modification is an oxidation process, converting aluminum conductor to aluminum oxide insulator material. Utilizing a chemically modified gate structure enables self aligning contact technique(s) to be utilized with semiconductor devices comprising a replacement metal gate(s). The chemical modification process can be performed prior or after forming a contact opening. | 12-05-2013 |
20130270614 | FORMATION OF A TRENCH SILICIDE - Systems and methods are presented for controlling formation of a silicide region. A selective etch layer is utilized to control formation of a trench opening, and further can be utilized to open up a trench to facilitate correct exposure of an active Si region to subsequently form a silicide. Issues regarding over-dimension, under-dimension, and misalignment of a trench are addressed. The selective etch material is chosen to facilitate control of the trench formation and also to enable removal of the selective etch layer without affecting any adjacent structures/material. The selective etch layer can be an oxide, for example aluminum oxide, Al | 10-17-2013 |
20130246980 | EFFICIENT DECOMPOSITION OF LAYOUTS - Described herein are methods and systems for efficiently preparing a wafer layout for processing into a photomask. Portions of layouts containing semiconductor features and designs that are frequently used can be stored in a database. These portions can be post-decomposition, with all treatment and error checking already performed upon them. When a wafer layout is received for processing into a photomask, the processing and decomposition time can be reduced by analyzing the layout, and replacing sections of the layout with the portions from the database that have already been decomposed and processed. As these sections no longer need to be decomposed, error checked, and treated, the processing time is greatly reduced, and photomasks can be made quicker and more efficiently. | 09-19-2013 |
20130193517 | SEMICONDUCTOR DEVICE WITH LATERAL AND VERTICAL CHANNEL CONFINEMENT AND METHOD OF FABRICATING THE SAME - Semiconductor devices and methods of making semiconductor devices are provided. Boron diffusion into source/drain regions is restricted by a vertical and lateral confinement area formed on the surfaces of the source/drain regions. In an aspect, a silicon-carbon layer formed on the surface of the channel region suppresses boron diffusion toward a first source/drain region and toward at least a second source/drain region. | 08-01-2013 |
20130178058 | INTERCONNECT STRUCTURE EMPLOYING A Mn-GROUP VIIIB ALLOY LINER - A metallic liner stack including at least a Group VIIIB element layer and a CuMn alloy layer is deposited within a trench in a dielectric layer. Copper is deposited on the metallic liner stack and planarized to form a conductive interconnect structure, which can be a metal line, a metal via, or a combination thereof. The deposited copper and the metallic liner stack are annealed before or after planarization. The Mn atoms are gettered by the Group VIIIB element layer to form a metallic alloy liner including Mn and at least one of Group VIIIB elements. Mn within the metallic alloy liner combines with oxygen during the anneal to form MnO, which acts as a strong barrier to oxygen diffusion, thereby enhancing the reliability of the conductive interconnect structure. | 07-11-2013 |
20130171819 | METHODS FOR INTEGRATION OF METAL/DIELECTRIC INTERCONNECTS - Described herein are methods for copper/low-k dielectric material integration. The methods involve depositing and curing a low-k dielectric material and depositing a mask on the low-k dielectric material. A via is patterned in the low-k dielectric material and a trench is patterned in the low-k dielectric material. After the via or trench is patterned, a portion of the low-k material is backfilled with a backfill material. The trench and via are filled with copper, then the mask and the copper filling the via are removed. After a first pre-CLN, the backfill material is removed. This creates a robust copper/porous low-k dielectric material interconnect. | 07-04-2013 |
20130168823 | SYSTEMS AND METHODS FOR BACKSIDE THRESHOLD VOLTAGE ADJUSTMENT - Described herein are semiconductor devices with a threshold voltage (V | 07-04-2013 |
20130161798 | GRADED DENSITY LAYER FOR FORMATION OF INTERCONNECT STRUCTURES - Methods and structure are provided for utilizing a dielectric mask layer having a gradated density structure. The density of the dielectric mask layer is greatest at the interface of the dielectric mask layer and an underlying dielectric layer. The density of the dielectric mask layer is lowest at the interface of the dielectric mask layer and an overlaying hard mask. The lower density dielectric mask layer is more susceptible to removal than the higher density dielectric mask layer. The lower density dielectric mask layer is removed during at least one of an RIE etch or a post-RIE etch wet clean. Selective removal of the lower density dielectric mask layer creates a dielectric mask layer having a rounded profile. The dielectric mask layer comprises tetraethyl orthosilicate. | 06-27-2013 |
20130119506 | FORMATION OF STI TRENCHES FOR LIMITING PN-JUNCTION LEAKAGE - Methods and structure are provided to facilitate isolation of respective ground plane regions in an SOTB semiconductor device. In one aspect a shallow STI trench can be combined with Si:C or Si:C/SiGe layers to confine n-type and p-type regions. In a further aspect, Ge can be implanted at the bottom of a shallow STI trench and subsequently oxidized to form SiGe oxide thereby extending the effective isolation provided by the shallow STI trench. In an aspect, a shallow STI trench can be extended to expose an underlying layer of SiGe, wherein the SiGe is subsequently oxidized to extending the effective isolation provide by the shallow STI trench. Such aspects enable a shallow STI trench to be seamlessly filled while having an extended region of isolation. | 05-16-2013 |
20130017674 | CRYOGENIC SILICON ION-IMPLANTATION AND RECRYSTALLIZATION ANNEALINGAANM Itokawa; HiroshiAACI MaltaAAST NYAACO USAAGP Itokawa; Hiroshi Malta NY US - Described herein are methods for forming a semiconductor structure. The methods involve forming a doped semiconductor film, amorphizing the doped semiconductor film through ion implantation; and annealing the doped semiconductor film. The ion implantation and the annealing can increase an activation efficiency of the dopant. The ion implantation and the annealing can also reduce a number of crystalline defects in the doped semiconductor film. | 01-17-2013 |
20120319207 | SEMICONDUCTOR DEVICE WITH THRESHOLD VOLTAGE CONTROL AND METHOD OF FABRICATING THE SAME - Semiconductor devices and methods of making semiconductor devices are provided. According to one embodiment, the field effect transistor can contain a semiconductor substrate containing shallow trench isolations; a p-FET and an n-FET; a silicon germanium layer in a recess in the upper surface of the p-FET; a pair of gate dielectrics including a hafnium compound and a rare earth compound disposed on the silicon germanium layer and the upper surface of the n-FET; and a pair of gate electrodes both including the same material disposed on the pair of gate dielectrics. | 12-20-2012 |
20120313251 | INTERCONNECT STRUCTURE WITH IMPROVED ALIGNMENT FOR SEMICONDUCTOR DEVICES - Methods and structure are provided for creating and utilizing hard masks to facilitate creation of a grating effect to control an anisotropic etching process for the creation of an opening, and subsequent formation of a interconnect structure (e.g., a via) in a multilayered semiconductor device. A first hard mask can be patterned to control etching in a first dimension, and a second hard mask can be patterned to control etching in a second dimension, wherein the second hard mask is patterned orthogonally opposed to the first hard mask. A resist can be patterned by inverting the pattern of a metal line patterning. Interconnects can be formed with critical dimension(s) and also self-aligned. | 12-13-2012 |
20120244690 | ION IMPLANTED RESIST STRIP WITH SUPERACID - According to certain embodiments, a resist is placed over the surface of a semiconductor structure, wherein the resist covers a portion of the semiconductor structure. Dopants are implanted into the semiconductor structure using an ion implantation beam in regions of the semiconductor structure not covered by the resist. Due to exposure to the ion implantation beam, at least a portion of the resist is converted by exposure to the ion beam to contain an inorganic carbonized material. The semiconductor structure with resist is contacted with a superacid composition containing a superacid species to remove the resist containing inorganic carbonized materials from the semiconductor structure. | 09-27-2012 |
20120244461 | OVERLAY CONTROL METHOD AND A SEMICONDUCTOR MANUFACTURING METHOD AND APPARATUS EMPLOYING THE SAME - Overlay control methods, semiconductor manufacturing method and a semiconductor manufacturing apparatus are provided for restraining overlay error between lithography processes, of a semiconductor manufacturing process, within a tolerance of a semiconductor device. According to one or more aspects, enhanced overlay control mechanisms are provided to enable previous layers to perform corrections to an extent that does not exceed a correction ability of a next layer. For instance, the next layer can inform the previous layer of a tolerated range that is correctable so that the previous layer can perform corrections without exceeding the tolerate range. Accordingly, a feedback loop is established that extends across two exposure events and is not closed within a single exposure event as with conventional systems. | 09-27-2012 |
20120242356 | SPECIFIC CONTACT RESISTIVITY MEASUREMENT METHOD, SEMICONDUCTOR DEVICE FOR SPECIFIC CONTACT RESISTIVITY MEASUREMENT, AND METHOD FOR MANUFACTURING THE SAME - A test structure, a method of employing the test structure, and a method of manufacturing the test structure are provided for measuring a contact resistance between a silicide and a semiconductor. The test structure includes a set of silicide layers separated from one another and upon which electrodes from a set of electrodes are placed. One pair of electrodes is employed to force a constant current through the silicide layers and a diffusion layer of a semiconductor substrate of the test structure. Another pair of electrodes determines a potential drop between the silicide layers and the diffusion layer. Based upon the constant current and the potential drop determined, a contact resistance is extracted. | 09-27-2012 |
20120241963 | SELF-ALIGNED SILICIDE FORMATION ON SOURCE/DRAIN THROUGH CONTACT VIA - According to certain embodiments, a silicide layer is formed after the fabrication of a functional gate electrode using a gate-last scheme. An initial semiconductor structure has at least one impurity regions formed on a semiconductor substrate, a sacrifice film formed over the impurity region, an isolation layer formed over the sacrifice film and a dielectric layer formed over the isolation film. A via is patterned into the dielectric layer of the initial semiconductor structure and through the thickness of the isolation layer such that a contact opening is formed in the isolation layer. The sacrifice film underlying the isolation layer is then removed leaving a void space underlying the isolation layer. Then, a metal silicide precursor is placed within the void space, and the metal silicide precursor is converted to a silicide layer through an annealing process. | 09-27-2012 |
20120241866 | TRANSISTOR STRUCTURE AND MANUFACTURING METHOD WHICH HAS CHANNEL EPITAXIAL EQUIPPED WITH LATERAL EPITAXIAL STRUCTURE - A semiconductor device and methods of fabricating semiconductor devices are provided. Provided is an epitaxial layer equipped with a lateral epitaxial layer that can block a Shallow Trench Isolation (STI) edge from a downstream etching process step, which can result in a reduced STI divot. A method involves forming a semiconductor substrate on a source region and a drain region and forming a semiconductor region on the semiconductor substrate. The method also comprises creating at least a first isolation feature adjacent to the semiconductor region and depositing an epitaxial layer on the semiconductor region and laterally between the semiconductor region and the at least the first isolation feature. | 09-27-2012 |
20120228628 | SEMICONDUCTOR DEVICE AND METHOD OF FABRICATING THE SAME - A semiconductor device and methods of fabricating semiconductor devices are provided. A method involves forming a semiconductor substrate on a source region and a drain region, the semiconductor substrate comprises a first crystal. The method also involves forming an epitaxial layer of a second crystal on the semiconductor substrate. The first crystal has a first lattice constant and the second crystal has a second lattice constant. The first epitaxial layer does not touch a spacer or a gate electrode. Forming the epitaxial layer can comprise forming a first epitaxial layer and a second epitaxial layer, wherein the first epitaxial layer has a conductivity type impurity that is less than the conductivity type impurity of the second epitaxial layer. | 09-13-2012 |
20120193751 | SEMICONDUCTOR DEVICE AND METHOD OF MANUFACTURING - Methods of making fins and semiconductor structures containing fins are provided. The methods involve forming a multi-layer structure over a semiconductor substrate. The multi-layer structure comprises a first layer over the semiconductor substrate, a second layer over the first layer, and a third layer over the second layer. The method also comprises removing upper portions of the semiconductor substrate and portions of the multi-layer structure to form fins of the semiconductor substrate and portions of the multi-layer structure. Further, the method comprises selectively oxidizing the first layer while oxidization of the second layer and the third layer is less than the oxidization of the first layer. The oxidation can be performed before gap fill recess or after gap fill recess. | 08-02-2012 |
20120168957 | METHOD TO REDUCE DEPTH DELTA BETWEEN DENSE AND WIDE FEATURES IN DUAL DAMASCENE STRUCTURES - A method of forming a device is disclosed. The method includes providing a substrate prepared with a dielectric layer having first and second regions. The first region comprises wide features and the second region comprises narrow features. A depth delta exists between bottoms of the wide and narrow features. A non-conformal layer is formed on the substrate and it lines the wide and narrow trenches in the first and second regions. The non-conformal layer is removed. Removing the non-conformal layer reduces the depth delta between the bottoms of the wide and narrow features in the first and second region. | 07-05-2012 |
20120139057 | SEMICONDUCTOR DEVICE AND METHOD OF FABRICATING THE SAME - Semiconductors devices and methods of making semiconductor devices are provided. According to one embodiment, a semiconductor device, having more than two types of threshold voltages, can be employed in a logic integrated circuit with an embedded SRAM. The semiconductor device can include at least two transistors. The two transistors can be the same conductivity type (e.g., n-type or p-type). In addition, the two transistors can have disparate voltage thresholds. | 06-07-2012 |
20120139033 | SEMICONDUCTOR DEVICE AND METHOD OF MANUFACTURING THE SAME - Semiconductors devices and methods of making semiconductor devices are provided. According to one embodiment, a semiconductor device can include a p-type field effect transistor area having an active region with an epitaxial layer grown thereupon and an isolation feature adjacent to the active region. A height of the isolation feature equals or exceeds a height of an interface between the epitaxial layer and the active region. More particularly, a height of the isolation feature in the corner of a junction between the isolation feature and the action region equals or exceeds the height to the interface between the epitaxial layer and the active region. | 06-07-2012 |
20120133044 | METAL CONTAINING SACRIFICE MATERIAL AND METHOD OF DAMASCENE WIRING FORMATION - According to one embodiment, a via and trench are formed in a semiconductor structure. The via and the trench are suitable for having a metal-based wire placed therein by damascene, dual damascene, plating and other suitable techniques. The via is etched into a dielectric layer of a semiconductor structure comprising a base cap layer, the dielectric layer formed over the base cap layer, and a hardmask formed over the dielectric layer. The via is filled with a sacrifice material, where the sacrifice material contains a metal or a metal compound, where the sacrifice material additionally forms a sacrifice layer over the hardmask layer. The sacrifice material placed in the via does not contain a material or film containing a Si—O bond. The sacrifice material is used as a support for a photomask that is placed over the sacrifice layer, where the photomask is developed to have a trench pattern formed therein. Then, one or more of the hardmask layer and the dielectric layer is etched with the trench pattern, and the sacrifice material and the sacrifice layer are removed by contact with a remover solution containing one or more selected from an acidic compound, water, a base compound, and an oxidant. | 05-31-2012 |
20120080777 | TRIPLE OXIDATION ON DSB SUBSTRATE - According to certain embodiments, a semiconductor structure is formed having a gate oxide formed over a semiconductor substrate. The gate oxide is formed as to have three different regions characterized by a different average thickness of gate oxide in each region. A first oxidation process is performed on a semiconductor substrate having both a Si (110) orientation region and a Si (100) orientation region on a surface thereof. Gate oxide is formed at a faster rate on the Si (110) orientation region of the semiconductor substrate relative to the Si (100) orientation region. A portion of the gate oxide is selectively removed and a second oxidation process is performed to form additional gate oxide. A triple oxide semiconductor substrate is recovered with the gate oxide having three different thickness formed thereon. The triple oxide semiconductor substrate is formed using a decreased number of processing acts. | 04-05-2012 |
20120068347 | METHOD FOR PROCESSING SEMICONDUCTOR STRUCTURE AND DEVICE BASED ON THE SAME - Methods for fabricating a device and related device structures are provided herein. According to one embodiment, a method for fabricating a device includes the acts of producing a substrate; forming a structure on the substrate having a lower dielectric layer, a metal layer, an upper dielectric layer, a planarizing layer, and a layer of photoresist material; developing the photoresist material according to a mask pattern; etching the planarizing layer and the upper dielectric layer according to the mask pattern; removing the photoresist material and the planarizing layer upon etching of the planarizing layer and the upper dielectric layer; applying a selective metal growth or metal/organic film to respective exposed portions of the metal layer following etching of the upper dielectric layer, thereby obtaining an inverted mask pattern; and etching at least the metal layer and the lower dielectric layer according to the inverted mask pattern. | 03-22-2012 |
20120061773 | SEMICONDUCTOR DEVICE AND METHOD OF FABRICATING THE SAME - MOSFETs and methods of making MOSFETs are provided. According to one embodiment, a semiconductor device includes a substrate and a Metal-Oxide-Semiconductor (MOS) transistor that includes a semiconductor region formed on the substrate, a source region and drain region formed in the semiconductor region that are separated from each other, a channel region formed in the semiconductor region that separates the source region and the drain region, an interfacial oxide layer (IL) formed on the channel region into which at least one element disparate from Si, O, or N is incorporated at a peak concentration greater than 1×10 | 03-15-2012 |
20120045898 | Ru CAP METAL POST CLEANING METHOD AND CLEANING CHEMICAL - According to certain embodiments, Ru is removed from the surface of a semiconductor structure by contact with a cleaning solution comprising one or more selected from permanganate ion, orthoperiodic ion and hypochlorous ion, such that Ru is removed from surfaces of the semiconductor substrate where the presence of Ru is undesirable. In some embodiments, a semiconductor structure is formed or provided having at least one metalized layer formed over an underlying layering or semiconductor substrate. The metalized layer contains a dielectric material with one or more metal wires of copper-containing material formed in a trench and/or via in the dielectric material. A cap layer having Ru is formed on the surface of the copper-containing material forming the one or more metal wires. The semiconductor structure is contacted with the cleaning solution comprising one or more selected from permanganate ion, orthoperiodic ion and hypochlorous ion to remove a portion of the Ru present in the semiconductor structure. | 02-23-2012 |
20110298058 | FACETED EPI SHAPE AND HALF-WRAP AROUND SILICIDE IN S/D MERGED FINFET - FinFETs and methods of making. FinFETs are provided. The FinFET contains two or more fins over a semiconductor substrate; two or more epitaxial layers over side surfaces of the fins; and metal-semiconductor compounds over an upper surfaces of the epitaxial layers. The fin has side surfaces that are substantially vertical relative to the upper surface of the semiconductor substrate. The epitaxial layer has an upper surface that extends at an oblique angle with respect to the side surface of the fin. The FinFET can contain a contact over the metal-semiconductor compounds. | 12-08-2011 |
20110285024 | SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THEREOF - A semiconductor structure having a cap layer formed over a metalized dielectric layer is formed by depositing manganese on the surface of the metalized dielectric layer. The deposited manganese serves as a first cap layer to remove oxidation on the surface of the metalized dielectric layer. The presence of oxidation on the surface of the metalized dielectric layer can be delirious for performance of a device constructed out of the semiconductor structure. A second cap layer is then formed by depositing silicon carbide or nitrogen enriched silicon carbide over the first cap layer. | 11-24-2011 |
20110266676 | METHOD FOR FORMING INTERCONNECTION LINE AND SEMICONDUCTOR STRUCTURE - A semiconductor structure is formed by placing a thin barrier metal layer in an interconnection trench or via in a manner such that the opening of the trench or via is not obstructed by an overhang that interferes with the placement of copper into the interconnection trench or via. The material for forming a copper interconnection line contains copper and manganese. Upon annealing, a manganese oxide layer is formed having barrier properties against copper diffusion. | 11-03-2011 |
20110260282 | SEMICONDUCTOR DEVICE AND MANUFACTURING METHODS - Methods of making fins and semiconductor structures containing fins are provided. The methods involve forming a multi-layer structure over fins and isolation materials and performing a multi-stage etching process to remove upper portions of the multi-layer structure and upper portions of isolation materials. Upper portions of the fins are exposed by removing the upper portions of the isolation materials via the multi-stage etching process. A stage of the multi-stage etching process removes an upper layer of the multi-layer structure and an upper portion of the isolation materials, and the stage can be terminated about at the same time when the upper surface of the underlying layer of the multi-layer structure is exposed. | 10-27-2011 |
20110246695 | CONTROLLING BANDWIDTH RESERVATIONS METHOD AND APPARATUS - Disclosed is an apparatus which operates to substantially evenly distribute commands and/or data packets issued from a managed program or other entity over a given time period. The even distribution of these commands or data packets minimizes congestion in critical resources such as memory, I/O devices and/or the bus for transferring the data between source and destination. Any unmanaged commands or data packets are treated as in conventional technology. | 10-06-2011 |
20110230030 | STRAIN-PRESERVING ION IMPLANTATION METHODS - An embedded epitaxial semiconductor portion having a different composition than matrix of the semiconductor substrate is formed with a lattice mismatch and epitaxial alignment with the matrix of the semiconductor substrate. The temperature of subsequent ion implantation steps is manipulated depending on the amorphizing or non-amorphizing nature of the ion implantation process. For a non-amorphizing ion implantation process, the ion implantation processing step is performed at an elevated temperature, i.e., a temperature greater than nominal room temperature range. For an amorphizing ion implantation process, the ion implantation processing step is performed at nominal room temperature range or a temperature lower than nominal room temperature range. By manipulating the temperature of ion implantation, the loss of strain in a strained semiconductor alloy material is minimized. | 09-22-2011 |
20110180309 | INTERCONNECT STRUCTURE EMPLOYING A Mn-GROUP VIIIB ALLOY LINER - A metallic liner stack including at least a Group VIIIB element layer and a CuMn alloy layer is deposited within a trench in a dielectric layer. Copper is deposited on the metallic liner stack and planarized to form a conductive interconnect structure, which can be a metal line, a metal via, or a combination thereof. The deposited copper and the metallic liner stack are annealed before or after planarization. The Mn atoms are gettered by the Group VIIIB element layer to form a metallic alloy liner including Mn and at least one of Group VIIIB elements. Mn within the metallic alloy liner combines with oxygen during the anneal to form MnO, which acts as a strong barrier to oxygen diffusion, thereby enhancing the reliability of the conductive interconnect structure. | 07-28-2011 |
20110147839 | SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING THE SAME - Multi-gate metal oxide silicon transistors and methods of making multi-gate metal oxide silicon transistors are provided. The multi-gate metal oxide silicon transistor contains a bulk silicon substrate containing one or more convex portions between shallow trench regions; one or more dielectric portions over the convex portions; one or more silicon fins over the dielectric portions; a shallow trench isolation layer in the shallow trench isolation regions; and a gate electrode. The upper surface of the shallow trench isolation layer can be located below the upper surface of the convex portion, or the upper surface of the shallow trench isolation layer can be located between the lower surface and the upper surface of first dielectric layer. The multi-gate metal oxide silicon transistor can contain second spacers adjacent to side surfaces of the convex portions in a source/drain region. | 06-23-2011 |
20110006349 | FIELD EFFECT TRANSISTOR HAVING CHANNEL SILICON GERMANIUM - Field effect transistors and methods of making field effect transistors are provided. The field effect transistor can contain a semiconductor substrate containing shallow trench isolations; a silicon germanium layer in a trench at an upper surface of the semiconductor substrate between the shallow trench isolations; a gate feature on the silicon germanium layer; and metal silicides on the upper potions of silicon germanium layer and semiconductor substrate that are not covered by the gate feature. The silicon germanium layer has a bottom surface and a top surface having a (100) plane and side surfaces having two or more planes. | 01-13-2011 |
20100327395 | SEMICONDUCTOR DEVICE ON DIRECT SILICON BONDED SUBSTRATE WITH DIFFERENT LAYER THICKNESS - A Direct Silicon Bonded substrate can include a first substrate and a second substrate in which the second substrate can be rotated to an azimuthal twist angle of 45 degrees in comparison to the first substrate. Disclosed are a semiconductor device and a method for making a semiconductor device that includes a DSB substrate with an adjusted thickness based upon the threshold voltage (Vt). In other words, a thicker substrate or layer can correspond to a high threshold voltage (HVt) and a thinner substrate or layer can correspond to a low threshold voltage (LVt) in order to improve mobility in LVt devices. | 12-30-2010 |
20100327364 | SEMICONDUCTOR DEVICE WITH METAL GATE - A semiconductor device includes: a substrate and an n-channel MIS transistor. The n-channel MIS transistor includes a p-type semiconductor region formed on the substrate, wherein a first source/drain region is formed in the p-type semiconductor region and separated from each other. The n-channel MIS transistor includes a first gate insulating film on the p-type semiconductor region between the first source/drain regions. The n-channel MIS transistor further includes a first gate electrode having a stack structure formed with a gate dielectric, a first metal layer and a first compound layer, the first metal layer having a thickness less than 2 nm and having a work function of 4.3 eV or smaller, the first metal layer being formed on the metallic layer having a work function larger than 4.4 eV and the first compound layer containing Al and a second metal that is different from the first metal. | 12-30-2010 |
20100323514 | RESTORATION METHOD USING METAL FOR BETTER CD CONTROLLABILITY AND CU FILING - Methods of making interconnect structures are provided. In one aspect of the innovation, when forming a trench or via in a dielectric layer, the sidewall surface of another via and/or trench is covered with a metal oxide layer. The metal oxide layer can prevent and/or mitigate surface erosion of the sidewall surface. As a result, the methods can improve the controllability of critical dimensions of the via and trench. | 12-23-2010 |
20100320604 | APPLICATION OF MN FOR DAMAGE RESTORATION AFTER ETCHBACK - Back end of line interconnect structures and methods of making a back end of line interconnect structure are provided. The back end of line interconnect structure contains a first interconnect layer containing a first conductive feature and a first dielectric layer; a first cap layer over the first interconnect layer, and a second interconnect layer over the first cap layer. The second interconnect layer contains a second conductive feature, a second dielectric layer, and two or more barrier layers therebetween. The two or more barrier layers contain a first barrier layer over the second dielectric layer and a MnO | 12-23-2010 |
20100314692 | Structures Of SRAM Bit Cells - A SRAM bit cell and an associated method of producing the SRAM bit cell with improved performance and stability is provided. In one configuration, channel mobility of the transistors within the SRAM bit cell may be adjusted to provide improved stability. In order to adjust the channel mobility, a stress memorization technique may be used, a wide spacer may be used, germanium may be implanted on tensile stress silicon nitride, a compressive liner may be used or silicon germanium may be embedded in one or more of the devices in the cell. In another configuration, the gate capacitance of each device within the SRAM bit cell may be adjusted to achieve high SRAM yield. For instance, a thick gate oxide may be used, phosphorous pre-doping may be used or fluorine pre-doping may be used in one or more of the devices within the cell. | 12-16-2010 |
20100276760 | SEMICONDUCTOR DEVICE WITH METAL GATE - Gate electrode structures having a thin layer of ReO | 11-04-2010 |
20100244207 | MULTIPLE THICKNESS AND/OR COMPOSITION HIGH-K GATE DIELECTRICS AND METHODS OF MAKING THEREOF - Disclosed are methods of making an integrated circuit with multiple thickness and/or multiple composition high-K gate dielectric layers and integrated circuits containing multiple thickness and/or multiple composition high-K gate dielectrics. The methods involve forming a layer of high-K atoms over a conventional gate dielectric and heating the layer of high-K atoms to form a high-K gate dielectric layer. Methods of suppressing gate leakage current while mitigating mobility degradation are also described. | 09-30-2010 |
20100224943 | SEMICONDUCTOR DEVICE AND MANUFACTURING METHODS WITH USING NON-PLANAR TYPE OF TRANSISTORS - Static random access memory cells and methods of making static random access memory cells are provided. The static random access memory cells contain two non-planar pass-gate transistors, two non-planar pull-up transistors, two non-planar pull-down transistors. A portion of a fin of the non-planar pull-up transistor is electrically connected to a portion of a fin of the non-planar pull-down transistor by an assist-bar. The methods involve forming an assist-fin between fins of a non-planar pull-up transistor and a non-planar pull-down transistor and between gate electrodes, and widening a width of the assist-fin to form the assist-bar so that a portion of the fin of non-planar pull-up transistor is electrically connected to a portion of the fin of non-planar pull-down transistor via the assist-bar. | 09-09-2010 |
20090289375 | Dual Stress Liner Device and Method - A dual stress liner manufacturing method and device is described. Overlapping stress liner layers of opposite effect (e.g., tensile versus compression) may be deposited over portions of the device, and the uppermost overlapping layer may be polished down in a process that uses the bottom overlapping layer as a stopper. An insulating film may be deposited on the stress liner layers before the polishing, and another insulating film may be deposited above the first insulating film after the polishing. Contacts may be formed such that the contacts need only penetrate one stress liner layer to reach a transistor well or gate structure. | 11-26-2009 |
20090206414 | Contact Configuration and Method in Dual-Stress Liner Semiconductor Device - A method for manufacturing a semiconductor device may comprise forming a conductive layer on a substrate, removing at least one portion of the conductive layer to form a plurality of separate conductive lines, forming a first stress-inducing layer of a first stress type on the conductive lines and the substrate, and removing a portion of the first stress-inducing layer such that a remaining portion of the first stress-inducing layer is disposed on a first subset of the conductive lines but not a second subset of the conductive lines and has a boundary disposed between two of the conductive lines. This method, along with other methods and various semiconductor devices, are described. | 08-20-2009 |
20090201719 | Method and System for Semiconductor Memory - Methods and systems for embodiments of a 9T memory cell, memory devices which utilize such 9T memory cells and the creation of embodiments of such memory devices are disclosed. More specifically, an embodiment of a 9T memory cell may comprise a 6T memory cell portion and a 3T read port. Additionally, in one embodiment, a memory which utilizes 9T memory cells may be made by from a grid comprising columns and rows of transistors formed according to a layout for 6T memory cells. | 08-13-2009 |
20090196588 | Oven for Semiconductor Wafer - An oven is described that can more evenly heat the semiconductor wafer, even though the wafer may warp during heating. The oven may provide relatively uniform heating even though the type and location of warping may be unpredictable for any given wafer. The oven may have a heating surface divided into a plurality of heating zones that may each independently provide a given amount of heat to the wafer. The amount of heat provided by each zone may be determined using signals from sensors that sense the warping of the wafer. | 08-06-2009 |
20090191720 | COATING PROCESS AND EQUIPMENT FOR REDUCED RESIST CONSUMPTION - A coating system and method of coating semiconductor wafers is disclosed that is able to maintain a wet condition on the outer portion of the semiconductor wafer to provide ease of spreading for a photo-resist or anti-reflective coating (ARC) that is being dispensed. The system can include a plurality of nozzles on a movable arm. A first nozzle dispenses a pre-wet solvent onto the semiconductor wafer. A second nozzle then dispenses the photo-resist or ARC coating onto the semiconductor wafer. A third nozzle dispenses additional pre-wet solvent onto the outer edge of the semiconductor wafer as the photo-resist or ARC coating is being dispensed. The nozzles dispense solutions onto the semiconductor wafer as it rotates. The system produces semiconductor wafers with few coating defects and uses less photo-resist or ARC coating. | 07-30-2009 |
20090190108 | METHOD AND SYSTEM FOR LEVELING TOPOGRAPHY OF SEMICONDUCTOR CHIP SURFACE - A system and method of leveling the topography of a semiconductor wafer surface is presented. The system may induce low-order lens aberration to control the focal plane dynamically. The system may include a leveling sensor which measures the changes in topography on the surface, as well as an analyzer to determine the aberration to be induced. In addition, the system may include a controller that dynamically adjusts at least one lens to induce such aberration. In another arrangement, the system may control the focal plane by dividing the exposure slit into smaller slits. In this arrangement, the analyzer may be used to determine the appropriate number of divisions to make to produce a focal plane that closely matches the surface of the wafer. In addition, the controller may adjust the stage height and tilt for each division to produce such a focal plane. | 07-30-2009 |
20090189227 | STRUCTURES OF SRAM BIT CELLS - A SRAM bit cell and an associated method of producing the SRAM bit cell with improved performance and stability is provided. In one configuration, channel mobility of the transistors within the SRAM bit cell may be adjusted to provide improved stability. In order to adjust the channel mobility, a stress memorization technique may be used, a wide spacer may be used, germanium may be implanted on tensile stress silicon nitride, a compressive liner may be used or silicon germanium may be embedded in one or more of the devices in the cell. In another configuration, the gate capacitance of each device within the SRAM bit cell may be adjusted to achieve high SRAM yield. For instance, a thick gate oxide may be used, phosphorous pre-doping may be used or fluorine pre-doping may be used in one or more of the devices within the cell. | 07-30-2009 |
20090189198 | STRUCTURES OF SRAM BIT CELLS - An SRAM bit cell structure that can be produced in small sizes while maintaining performance is presented. In one configuration, an SRAM bit cell includes driver field effect transistors that are p-type field effect transistors, load field effect transistors that are n-type field effect transistors and transfer gates that are p-type field effect transistors. Each field effect transistor may be arranged on a substrate that will enhance performance. In one arrangement, the p-type field effect transistors may be arranged on a silicon ( | 07-30-2009 |
20090174036 | PLASMA CURING OF PATTERNING MATERIALS FOR AGGRESSIVELY SCALED FEATURES - A methodology is disclosed that enables the fabrication of semiconductor devices (i.e., STI structures, gates, and interconnects) with significantly reduced line edge roughness (LER) and line width roughness (LEW) post lithography patterning. The inventive methodology entails the use of an inert species containing plasma tuned to enhanced its' vacuum ultra violet (VUV) emissions post lithography and/or post one of the etch processes of a given feature (on an identical etch platform) to entice increased crosslinking of one or more patterning materials, thus enabling increased etch resistance and reduced LER and LEW post etching processing. | 07-09-2009 |
20090173967 | STRAINED-CHANNEL FET COMPRISING TWIST-BONDED SEMICONDUCTOR LAYER - This invention provides a strained-channel field effect transistor (FET) in which the semiconductor of the channel of the FET is formed in a compliant substrate layer disposed over a twist-bonded semiconductor interface. This FET geometry increases the efficacy of local stress elements such as stress liners and embedded lattice-mismatched source/drain regions by mechanically decoupling the semiconductor of the channel region from the underlying rigid substrate. These strained-channel FETs may be incorporated into complementary metal oxide semiconductor (CMOS) circuits in various combinations. In one embodiment of this invention, both pFETs and nFETs are in a twist-bonded (001) silicon layer on a (001) silicon base layer. In another embodiment, pFETs are in a twist-bonded (011) silicon layer on a (001) silicon base layer and nFETs are in a conventional, non-twist-bonded (001) silicon base layer. This invention also provides a twist-bonded semiconductor layer on a polycrystalline base layer, as well as methods for fabricating the aforementioned FETs. | 07-09-2009 |
20090146290 | Interconnect Structure and Method for Semiconductor Device - An interconnect method in a semiconductor device may include a step of examining various regions of an inter layer dielectric to identify regions having high densities or concentrations of trench features. A cap insulator layer may be added to the dielectric to assist in outgassing of absorbed impurities from the dielectric, but may be removed from the high density areas to allow the lower density areas to increase outgassing. The lower density areas may then compensate for increased outgassing on the high density areas due to the trench features, and may result in an overall device with a more stable dielectric constant across the device. | 06-11-2009 |
20090101943 | Reversely Tapered Contact Structure Compatible With Dual Stress Liner Process - A semiconductor device having a silicon layer, a transistor having an electrical connection region in the silicon layer; and a conductive plug formed on and in electrical contact with the electrical connection region, the plug having side walls that taper inward away from the silicon layer. | 04-23-2009 |
20090001466 | METHOD OF FORMING AN SOI SUBSTRATE CONTACT - A method is provided of forming a conductive via for contacting a bulk semiconductor region of a semiconductor-on-insulator (“SOI”) substrate. A first opening is formed in a conformal layer overlying a trench isolation region, where the trench isolation region shares an edge with the SOI layer. A dielectric layer then is deposited atop the conformal layer and the trench isolation region, after which a second opening is formed which is aligned with the first opening, the second opening extending through the dielectric layer to expose the bulk semiconductor region. Finally, the conductive via is formed in the second opening. | 01-01-2009 |
20080290456 | Electrical Fuse With Metal Silicide Pipe Under Gate Electrode - An electrical fuse (eFuse) has a gate prepared from a conductive or partially conductive material such as polysilicon, a semiconductor substrate having a pipe region in proximity to the gate, and first and second electrode regions adjacent the pipe region. A metal silicide layer is provided on the semiconductor substrate adjacent the pipe region. When a programming voltage is applied, the metal silicide undergoes a thermally induced phase transition in the pipe region. The eFuse has improved reliability and can be programmed with relatively low voltages. | 11-27-2008 |
20080284524 | Phase Locked Loop Circuit Having Regulator - Embodiments of present invention provide a circuit including a voltage regulator, a phase frequency detector, a charge pump, a low pass filter a control-voltage generating circuit and a voltage controlled oscillator. In a first mode of operation the voltage controlled oscillator produces an output clock in accordance with a control voltage produced from the control-voltage generating circuit and the output voltage of the voltage regulator. In a second mode of operation, the voltage controlled oscillator produces an output clock in accordance with a control voltage from the low pass filter and the output voltage of the voltage regulator. | 11-20-2008 |
20080199596 | FLUID DISPENSE SYSTEM - A system and method for dispensing fluid onto a surface during a manufacturing process. A fluid storage container holds a chemical, such as resist, used in a semiconductor lithography process. When not dispensing the chemical over the surface of a wafer, a pump and nozzle dispense the chemical into a dedicated dispense receptacle. A drain and pump may return the contents of the dispense receptacle to the fluid storage container for reuse. | 08-21-2008 |