Semiconductor Manufacturing International (Beijing) Corporation Patent applications |
Patent application number | Title | Published |
20160027670 | HEAT RESERVOIR CHAMBER, AND METHOD FOR THERMAL TREATMENT - The present disclosure provides a thermal treatment chamber. The thermal treatment chamber includes a wafer holder to hold a to-be-processed wafer; a heat reservoir located under the wafer holder, but being separated from the wafer holder, for adjusting a temperature of the wafer holder based on the to-be-processed wafer; and a first driving unit connected to the heat reservoir for adjusting a distance between the wafer holder and the heat reservoir to adjust the temperature of the wafer holder. | 01-28-2016 |
20150348835 | SEMICONDUCTOR DEVICE AND FABRICATION METHOD THEREOF - A method for forming an interconnect device is provided by the present disclosure. The method includes providing a dielectric layer on a substrate, forming openings in the dielectric layer to expose a portion of a surface of the substrate at a bottom of each opening and forming a metal layer to fill up the openings. The method also includes forming a semiconductor cover layer on the metal layer and on the dielectric layer, and performing a thermal annealing reaction to convert portions of the semiconductor cover layer that are on the metal layer into a metal capping layer. The method further includes performing a nitridation process on the metal capping layer and a remaining semiconductor cover layer to convert the metal capping layer into a metal nitride capping layer and the remaining semiconductor cover layer into a semiconductor nitride layer. | 12-03-2015 |
20150348777 | SEMICONDUCTOR DEVICE AND FABRICATION METHOD THEREOF - The present disclosure provides a method for forming a semiconductor device. The method includes providing a substrate and forming a dielectric layer on the substrate by a deposition process using reactant gases. The reactant gases include a silicon-source gas and an oxygen-source gas under a radio-frequency (RF) power. The deposition process performed for a total deposition time to form the dielectric layer is divided into a first time length, a second time length and a third time length. The RF power of the deposition process in the first time length is a first power, the first power gradually increases from the first power to a second power in the second time length, the RF power in the third time length is the second power, and the first power is less than the second power. | 12-03-2015 |
20150311288 | MULTI-GATE VDMOS TRANSISTOR - Various embodiments provide multi-gate VDMOS transistors. The transistor can include a substrate having a first surface and a second surface opposite to the first surface, a drift layer on the first surface of the substrate, and an epitaxial layer on the drift layer. The transistor can further include a plurality of trenches. Each trench can pass through the epitaxial layer and a thickness portion of the drift layer. The transistor can further include a plurality of gate structures. Each gate structure can fill the each trench. The transistor can further include a plurality of doped regions in the epitaxial layer. Each doped region can surround a sidewall of the each gate structure. The transistor can further include a source metal layer on the epitaxial layer to electrically connecting the plurality of doped regions, and a drain metal layer on the second surface of the substrate. | 10-29-2015 |
20150185828 | WEARABLE INTELLIGENT SYSTEMS AND INTERACTION METHODS THEREOF - A wearable intelligent system is provided. The system includes a frame; and a micro projector disposed on the frame configured to project an image interface onto a beam splitter. The system also includes the beam splitter disposed on the frame configured to receive the image interface and form a virtual image in a user's eye; and a position sensor disposed on the front of the frame configured to sense a position of at least a body part and a change mode of the position with time and convert the change mode of the position into operation commands and the position into a position data. Further, the system includes a central data hub disposed on the frame configured to at least receive the position data and the operation commands and adjust the image interface to match the part of the user's body and perform corresponding operations according to the position data. | 07-02-2015 |
20150183081 | CHEMICAL MECHANICAL PLANARIZATION APPARATUS AND METHODS - A chemical mechanical planarization (CMP) apparatus is provided. The CMP apparatus includes at least one platen; and a polishing pad disposed on the platen. The CMP apparatus also includes a polishing head disposed above the platen and configured to clamp a to-be-polished wafer; and a basic solution supply port disposed above the platen and configured to supply a basic solution onto a surface of the polishing pad. Further, the CMP apparatus includes a slurry arm disposed above the platen and configured to supply a polish slurry on the surface of the polishing pad; and a deionized water supply port configured to supply deionized water onto the surface of the polishing pad. Further, the CMP apparatus also includes a negative power source configured to apply a negative voltage onto the surface of the polishing pad. | 07-02-2015 |
20150179571 | METAL INTERCONNECT STRUCTURES AND FABRICATION METHOD THEREOF - A method is provided for fabricating a metal interconnection structure. The method includes providing a semiconductor substrate having an active region and an isolation structure surrounding the active region; and forming a metal layer on a surface of the semiconductor substrate. The method also includes forming a metal silicide layer on the active region by a reaction of the metal layer and material of the active regions; and forming an inter metal connection layer electrically connecting with the active regions on the isolation structure. Further, the method includes forming a dielectric layer covering the metal silicide layer, the isolation structure and the inter metal connection layer on the semiconductor substrate; and forming a metal contact via electrically connecting with the active region through the inter metal connection layer in the dielectric layer. | 06-25-2015 |
20150113486 | ENHANCED OPTICAL PROXIMITY CORRECTION (OPC) METHOD AND SYSTEM - An enhanced optical proximity correction method is provided. The method includes providing a mask substrate and a substrate and obtaining a customer target pattern. The method also includes obtaining a production layout by performing an optical proximity correction process onto the customer target pattern using the pattern and a pattern formed on the substrate. Further, the method includes obtaining the light intensity information instead of dimension of the production layout. Further, the method includes storing the light intensity information of the production layout, the production layout and surrounding coherence radius in an optical proximity correction model database if the light intensity information of the production layout does not coincide with light intensity information of original modeling patterns already stored in the optical proximity correction model database. Further, the method also includes generating actual patterns using the stored optical proximity correction model corresponding to the stored light intensity information. | 04-23-2015 |
20150102451 | NANOSCALE SILICON SCHOTTKY DIODE ARRAY FOR LOW POWER PHASE CHANGE MEMORY APPLICATION - Methods and devices associated with a phase change memory include Schottky diodes operating as selectors having a low turn-on voltage, low sneak current and high switching speed. A method of forming a semiconductor device includes providing a semiconductor substrate having a diode array region and a peripheral device region, forming an N+ buried layer in the diode array region, forming a semiconductor epitaxial layer on the N+ buried layer, and forming deep trench isolations through the epitaxial layer and the N+ buried layer along a first direction. The method also includes forming shallow trench isolations in the diode array region and in the peripheral region along a second line direction. The method also includes forming an N− doped region between the deep and shallow trench isolations and forming a metal silicide on a surface of the N− doped region. | 04-16-2015 |
20150093871 | ENHANCED STRESS MEMORIZATION TECHNIQUE FOR METAL GATE TRANSISTORS - A method of manufacturing a semiconductor device includes forming a dummy gate structure on a semiconductor substrate, forming sidewall spacers, and forming heavily doped source/drain regions. After removing the spacers, a stress material layer is formed over the dummy gate structure. An annealing process is performed to transfer the stress to the device channel region. After the annealing process, the stress material layer is removed. The dummy gate structure is replaced by a high-k dielectric layer and a metal gate structure. Subsequently, contact holes are formed to expose at least part of the heavily doped source/drain regions, and self-aligned silicide is formed over exposed portions of the heavily doped source/drain regions. | 04-02-2015 |
20150091065 | PIXEL STRUCTURES OF CMOS IMAGING SENSORS AND FABRICATION METHOD THEREOF - A method is provided for fabricating a pixel structure of a CMOS transistor. The method includes providing a semiconductor substrate doped with first type doping ions; and forming a trench in the semiconductor substrate by etching the semiconductor substrate. The method also includes forming isolation layers on side surfaces of the trench to prevent dark current from laterally transferring; and forming an epitaxial layer doped with second type doping ions with a doping type opposite to a doping type of the first type doping ions in the trench. Further, the method includes forming a pinning layer on a top surface of the epitaxial layer; and forming a gate structure on a surface of the semiconductor substrate at one side of the epitaxial layer. Further, the method also includes forming a floating diffusion region in the semiconductor substrate at one side of the gate structure far from the epitaxial layer. | 04-02-2015 |
20150087150 | SEMICONDUCTOR STRUCTURES AND FABRICATION METHOD THEREOF - A method is provided for fabricating a semiconductor structure. The method includes providing a to-be-etched layer; and forming a hard mask layer on the to-be-etched layer. The method also includes forming a photoresist layer on the hard mask layer; and forming a patterned photoresist layer having openings exposing the hard mask layer by exposing and developing the photoresist layer. Further, the method includes forming sidewall spacers on side surfaces of the openings; and forming a patterned hard mask layer by etching the hard mask layer using the patterned photoresist layer and the sidewall spacers as an etching mask such that patterns in the hard mask layer have a substantially right angle at edge. Further, the method also includes forming to-be-etched patterns by etching the to-be-etched layer based on the patterned hard mask layer. | 03-26-2015 |
20150084110 | FLASH MEMORY AND FABRICATION METHOD THEREOF - A method is provided for forming a flash memory. The method includes providing a semiconductor substrate; and forming a first dielectric layer. The method also includes forming a first semiconductor layer on a surface of the first dielectric layer; and performing an ion implantation onto a portion of the first semiconductor layer corresponding to a position of a subsequently formed floating gate. Further, the method includes performing an oxygen ion implantation process onto a portion of the first semiconductor layer between the position of the subsequently formed floating gate and the position of a subsequently formed first select gate to form an oxide layer; and forming a second dielectric layer having an opening exposing the position of the first select gate. Further, the method also includes forming a second semiconductor layer on the second dielectric layer; and forming a flash cell and a select gate structure. | 03-26-2015 |
20150078067 | METHOD OF MEASURING THRESHOLD VOLTAGE OF MOS TRANSISTOR IN SRAM ARRAY - Methods of measuring threshold voltages of MOS transistors in a SRAM array are provided. The SRAM array includes array-arranged cells having a first pass NMOS transistor, a second pass NMOS transistor, a first pull-down NMOS transistor, a second pull-down NMOS transistor, a first pull-up PMOS transistor, and a second pull-up transistor. A cell is selected from the SRAM array by a row decoding and a column decoding. A voltage is applied to a word line, a first bit line, a second bit line, a first power line, a second power line, a first substrate terminal, and/or a second substrate terminal, that are connected to the selected cell. A bit line current of the selected cell is measured to obtain a threshold voltage of a MOS transistor in the selected cell. Threshold voltages of a large number of MOS transistors in a SRAM array can be measured. | 03-19-2015 |
20150061047 | CAPACITIVE PRESSURE SENSORS AND FABRICATION METHODS THEREOF - A capacitive pressure sensor is provided. The capacitive pressure sensor includes a substrate; and a first electrode formed in one surface of the substrate and vertical to the surface of the substrate. The capacitive pressure sensor also includes a second electrode with a portion facing the first sub-electrode, a portion facing the second sub-electrode and a portion formed in the other surface of the substrate. Further, the capacitive pressure sensor includes a first chamber between the first electrode and the second electrode and a second chamber formed in the second electrode. Further, the pressure sensor also includes a first sealing layer formed on the second electrode; and a second sealing layer formed on the other surface of the substrate. | 03-05-2015 |
20150061029 | CMOS TRANSISTORS AND FABRICATION METHOD THEREOF - A method is provided for forming CMOS transistors. The method includes providing a semiconductor substrate having at least one first region and at least one second region; and forming a first gate in the first region and a second gate in the second region. The method also includes forming first offset spacers made of nitrogen-contained material on side surfaces of the first gate and the second gate; and forming dummy spacers on the first offset spacers in the first region and a dummy spacer material layer covering the second gate and the semiconductor substrate in the second region. Further, the method includes forming SiGe stress layers in the semiconductor substrate at both sides of the first gate; and removing the first offset spacers, the dummy spacers and the dummy spacer material layer. Further, the method also includes forming second offset spacers on the first gate and the second gate. | 03-05-2015 |
20150061028 | TRANSISTORS AND FABRICATION METHODS THEREOF - A method is provided for fabricating a transistor. The method includes providing a semiconductor substrate having a first region; and forming a first gate structure on a surface of the semiconductor substrate in the first region. The method also includes forming trenches in the semiconductor substrate at both sides of the first gate structure; and forming a first stress layer with one surface lower than the surface of the semiconductor substrate in the trenches. Further, the method includes forming a second stress layer containing carbon atoms with a surface leveling with or higher than the surface of the semiconductor substrate on the first stress layer; and forming a source region and a drain region in the semiconductor substrate at both sides of the first gate structure. | 03-05-2015 |
20150060961 | FINFET DEVICE AND METHOD OF FORMING FIN IN THE SAME - A method for manufacturing a fin for a FinFET device includes providing a semiconductor substrate, forming a plurality of implanted regions in the semiconductor substrate, and epitaxially forming fins between two adjacent implanted regions. The method also includes forming an insulating structure between two adjacent fins. | 03-05-2015 |
20150054051 | SEMICONDUCTOR DEVICE AND FABRICATION METHOD - Semiconductor devices and fabrication methods are provided. A semiconductor substrate includes a first region and a second region. A gate dielectric material layer is formed to cover the first region, and a control gate dielectric layer is formed over a surface portion of the second region. The control gate dielectric layer has a top surface higher than the gate dielectric layer. A gate material layer is conformally formed to cover an entire surface of the semiconductor substrate and has a top surface in the second region higher than a top surface in the first region. A first filling material layer is formed on the gate material layer. A first patterned mask layer is formed on the first filling material layer to form a gate on a gate dielectric layer in the first region. A control gate is formed on the control gate dielectric layer of the second region. | 02-26-2015 |
20150041948 | SEMICONDUCTOR DEVICE INCLUDING STI STRUCTURE AND METHOD FOR FORMING THE SAME - Semiconductor devices and fabrication methods are disclosed. A mask layer having an opening is formed on a semiconductor substrate. The semiconductor substrate is etched along the opening of the mask layer to form a trench therein. The mask layer is laterally etched from the opening of the mask layer along a top surface of the semiconductor substrate to expose a surface portion of the semiconductor substrate on each side of the opening. A liner oxide layer is formed by a thermal oxidation process on interior surface of the trench and on the exposed surface portion of the semiconductor substrate. The thermal oxidation process is controlled such that an upper corner between the top surface of the semiconductor substrate and the trench is rounded after the liner oxide layer is formed. An insulation layer is formed on the liner oxide layer and fills the trench. | 02-12-2015 |
20150041893 | LDMOS DEVICE AND FABRICATION METHOD - Various embodiments provide LDMOS devices and fabrication methods. An N-type buried isolation region is provided in a P-type substrate. A P-type epitaxial layer including a first region and a second region is formed over the P-type substrate. The first region is positioned above the N-type buried isolation region, and the second region surrounds the first region. An annular groove is formed in the second region to surround the first region and to expose a surface of the N-type buried isolation region. Isolation layers are formed on both sidewalls of the annular groove. An annular conductive plug is formed in the annular groove between the isolation layers. The annular conductive plug is in contact with the N-type buried isolation region at the bottom of the annular conductive plug. A gate structure of an LDMOS transistor is formed over the first region of the P-type epitaxial layer. | 02-12-2015 |
20150041889 | MULTI-GATE VDMOS TRANSISTOR AND METHOD FOR FORMING THE SAME - Various embodiments provide multi-gate VDMOS transistors. The transistor can include a substrate having a first surface and a second surface opposite to the first surface, a drift layer on the first surface of the substrate, and an epitaxial layer on the drift layer. The transistor can further include a plurality of trenches. Each trench can pass through the epitaxial layer and a thickness portion of the drift layer. The transistor can further include a plurality of gate structures. Each gate structure can fill the each trench. The transistor can further include a plurality of doped regions in the epitaxial layer. Each doped region can surround a sidewall of the each gate structure. The transistor can further include a source metal layer on the epitaxial layer to electrically connecting the plurality of doped regions, and a drain metal layer on the second surface of the substrate. | 02-12-2015 |
20150041867 | FIN FIELD EFFECT TRANSISTOR AND METHOD FOR FORMING THE SAME - Various embodiments provide FinFETs and methods for forming the same. In an exemplary method, a semiconductor substrate having sacrificial layers formed thereon is provided. First sidewall spacers and second sidewall spacers are sequentially formed on both sides of each sacrificial layer. The sacrificial layers can be removed. A first width is measured as a distance between adjacent first sidewall spacers, and a second width is measured as a distance between adjacent second sidewall spacers. When the first width is not equal to the second width, the first sidewall spacers or the second sidewall spacers are correspondingly etched such that the first width is equal to the second width. The semiconductor substrate is etched using the first sidewall spacers and the second sidewall spacers as an etch mask, to form fins, such that a top of each fin has a symmetrical morphology. | 02-12-2015 |
20150035038 | SEMICONDUCTOR STRUCTURE AND METHOD FOR FORMING THE SAME - Various embodiments provide semiconductor structures and methods for forming the same. In an exemplary method, a semiconductor substrate is provided. A first stop layer, a first sacrificial layer, a second stop layer, and a second sacrificial layer are formed sequentially on the semiconductor substrate. The second sacrificial layer, the second stop layer, the first sacrificial layer, the first stop layer, and the semiconductor substrate are etched to form a groove, the groove then being filled to form an isolation structure. The second sacrificial layer is removed to expose sidewalls and a top of an exposed portion of the isolation structure. The second stop layer is removed, and the exposed portion of the isolation structure is etched to reduce a width of the top of the exposed portion of the isolation structure. The first sacrificial layer is removed. A floating gate is formed on the first stop layer. | 02-05-2015 |
20140354347 | BIPOLAR TRANSISTOR, BAND-GAP REFERENCE CIRCUIT AND VIRTUAL GROUND REFERENCE CIRCUIT - The present invention provides a bipolar transistor, a method for forming the bipolar transistor, a method for turning on the bipolar transistor, and a band-gap reference circuit, virtual ground reference circuit and double band-gap reference circuit with the bipolar transistor. The bipolar transistor includes: a Silicon-On-Insulator wafer; a base area, an emitter area and a collector area; a base area gate dielectric layer on a top silicon layer and atop the base area; a base area control-gate on the base area gate dielectric layer; an emitter electrode connected to the emitter area via a first contact; a collector electrode connected to the collector area via a second contact; and a base area control-gate electrode connected to the base area control-gate via a third contact. Processes of forming the bipolar transistor are fully compatible with traditional standard CMOS processes; and the base current to turn on the bipolar transistor is based on the GIDL current and formed by applying a voltage to the base area control-gate electrode without any need of contact to the base. | 12-04-2014 |
20140213012 | METHOD AND SYSTEM FOR IMAGE SENSOR AND LENS ON A SILICON BACK PLANE WAFER - A method for forming image sensors includes providing a substrate and forming a plurality of photo diode regions, each of the photo diode regions being spatially disposed on the substrate. The method also includes forming an interlayer dielectric layer overlying the plurality of photo diode regions, forming a shielding layer formed overlying the interlayer dielectric layer, and applying a silicon dioxide bearing material overlying the shielding layer. The method further includes etching portions of the silicon dioxide bearing material to form a plurality of first lens structures, and continuing to form each of the plurality of first lens structures to provide a plurality of finished lens structures. | 07-31-2014 |
20140117235 | STANDARD WAFER AND ITS FABRICATION METHOD - A standard wafer is provided including a substrate; a first layer of semiconductor material formed on the substrate; a bar formed over the first layer of semiconductor material with an interlayer interposed therebetween; and a first sidewall spacer and a second sidewall spacer formed on the opposite sides of the bar respectively, in which the bar and the first layer of semiconductor material are formed of a same semiconductor material, and the interlayer interposed between the first layer of semiconductor material and the bar is formed of a first oxide, and the first sidewall spacer and the second sidewall spacer are formed of a second oxide. A corresponding fabrication method of the standard wafer is also provided. | 05-01-2014 |
20140077277 | SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THEREOF - A semiconductor device includes a substrate that has a surface. The semiconductor further includes a fin disposed on the surface and including a semiconductor member. The semiconductor further includes a spacer disposed on the surface, having a type of stress, and overlapping the semiconductor member in a direction parallel to the surface. A thickness of the spacer in a direction perpendicular to the surface is less than a height of the semiconductor member in the direction perpendicular to the surface. | 03-20-2014 |
20140004675 | SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THEREOF | 01-02-2014 |
20130320416 | SEMICONDUCTOR DEVICE - A semiconductor device and a method for forming the same are provided. The method includes: providing a substrate having a gate structure and first spacers on both sidewalls of the gate structure formed on a top surface of the substrate; forming first openings in the substrate by using the first spacers as a mask, wherein the first openings are located on both sides of the gate structure; forming second openings by etching the first openings with an etching gas, wherein each of the second openings is an expansion of a corresponding one of the first openings toward the gate structure and extends to underneath an adjacent first spacer; and forming epitaxial layers in the first openings and the second openings. | 12-05-2013 |
20130228847 | TFT Floating Gate Memory Cell Structures - A device having thin-film transistor (TFT) floating gate memory cell structures is provided. The device includes a substrate, a dielectric layer on the substrate, and one or more source or drain regions being embedded in the dielectric layer. the dielectric layer being associated with a first surface. Each of the one or more source or drain regions includes an N | 09-05-2013 |
20130147059 | CHIP-TO-WAFER BONDING METHOD AND THREE-DIMENSIONAL INTEGRATED SEMICONDUCTOR DEVICE - A chip-to-wafer bonding method and a three-dimensional integrated semiconductor device are provided. The method comprises providing a chip and a wafer having a bonding region of the same size and shape as the chip; preparing hydrophilic areas and hydrophobic areas on the chip; preparing in the bonding region hydrophilic areas and hydrophobic areas respectively corresponding to the hydrophilic and hydrophobic areas on the chip; adding a liquid drop onto the hydrophilic areas in the bonding region; and pre-aligning and placing the chip on the bonding region of the wafer, such that the hydrophilic areas on the chip each contacts the corresponding hydrophilic area in the bonding region via the liquid. The sum of perimeters of the hydrophilic areas on the chip is larger than a perimeter of the chip. The sum of perimeters of the hydrophilic areas in the bonding region is larger than a perimeter of the bonding region. | 06-13-2013 |
20130146989 | INTEGRATED SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THEREFOR - An integrated device includes a field effect transistor formed within and upon an active region of a substrate and a resistor formed on an isolation region of the substrate. The field effect transistor includes a gate stacked structure having respective portions of a dielectric layer, a first conductive layer and a second conductive layer arranged in order from bottom to top. The resistor includes a resistor body being an enclosure portion of the first conductive layer and resistor terminals being portions of the second conductive layer on distal ends of the resistor body. A method for manufacturing a semiconductor device includes forming a gate stacked structure and a resistor stacked structure at the same time by patterning a dielectric layer, a first conductive layer and a second conductive layer. The method also includes forming a resistor having a resistor body by patterning the resistor stacked structure. | 06-13-2013 |
20130146950 | SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THEREOF - A semiconductor device and manufacture method thereof include a silicide material formed on a source region and a drain region on opposite sides of a gate, wherein the gate having sidewalls on both side surfaces is formed on a substrate. The gate has a first sidewall spacer and a second sidewall spacer on each sidewall, the first spacer has a horizontal portion and a vertical portion, the horizontal portion is located between the second sidewall spacer and the substrate, the vertical portion is located between the second sidewall spacer and the sidewalls. A protecting layer is selectively deposited on the silicide material. | 06-13-2013 |
20130140576 | SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING THE SAME - A semiconductor device, and a method for manufacturing the same, comprises a source/drain region formed using a solid phase epitaxy (SPE) process to provide partially isolated source/drain transistors. Amorphous semiconductor material at the source/drain region is crystallized and then shrunk through annealing, to apply tensile stress in the channel direction. | 06-06-2013 |
20130134381 | SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THEREOF - A method of manufacturing a semiconductor device and a semiconductor device made by the method is disclosed. The method comprises forming a buried N+ layer in an upper portion of a P-type substrate; performing ion implantation on the buried N+ layer; annealing the buried N+ layer; forming an epitaxial semiconductor layer on the buried N+ layer through epitaxial deposition, wherein, an upper portion of said epitaxial semiconductor layer and a portion underlying said P+ region of said epitaxial semiconductor layer are doped to form a P+ region and an N− region, respectively. Increasing the ion implant dosage of the BNL layer, adjusting the method of annealing the BNL layer to increase the width of the BNL layer, or increasing the thickness of the EPI layer, reduces the vertical BJT current gain and suppressed the substrate leakage current. | 05-30-2013 |
20130126509 | REACTION APPARATUS FOR PROCESSING WAFER, ELECTROSTATIC CHUCK AND WAFER TEMPERATURE CONTROL METHOD - This invention discloses a reaction apparatus for wafer treatment, an electrostatic chuck and a wafer temperature control method, in the field of semiconductor processing. The electrostatic chuck comprises an insulating layer for supporting a wafer and a lamp array disposed in the insulating layer. Each lamp of the lamp array can be independently controlled to turn on and off and/or to adjust the output power. By controlling the on/off switch and/or output power of each lamp of the lamp array the temperature of the wafer held on the ESC is adjusted and temperature non-uniformity can be more favourably adjusted, greatly improving wafer temperature uniformity, particularly alleviating non-radial temperature non-uniformity. | 05-23-2013 |
20130119478 | SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THEREOF - A semiconductor device is described as including a first fin having a layer formed of a first semiconductor material and a second fin that is formed of a second semiconductor material. The first and second semiconductor materials are different. The second semiconductor material may have a mobility of P-type carriers that is greater than a mobility of P-type carriers of the first semiconductor material. The second fin includes a layer formed of the first semiconductor material below the layer formed of the second semiconductor material. The semiconductor device further includes a hard mask layer disposed on the first and second fins and an insulator layer disposed below the first and second fins. The first and second semiconductor materials include silicon and germanium, respectively. The first and second fins are used to form respective N-channel and a P-channel semiconductor devices. | 05-16-2013 |
20130119477 | SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THEREOF - A semiconductor device includes a first fin formed of a first semiconductor material and a second fin comprising a layer formed of a second semiconductor material. The first semiconductor material is silicon, and the second semiconductor material is silicon-germanium (SiGe). The second fin further includes a layer of the first semiconductor material below the layer of the second semiconductor material. The semiconductor device also includes a hard mask layer on the first and second fins and an insulator layer that is disposed below the first and second fins. The first and second fins are used to form an N-channel and a P-channel semiconductor device, respectively. | 05-16-2013 |
20130109175 | METHOD OF FABRICATING SEMICONDUCTOR DEVICES | 05-02-2013 |
20130108948 | MASK, MANUFACTURING METHOD THEREOF AND MASK HAZE MONITORING METHOD | 05-02-2013 |
20130105918 | SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THEREOF | 05-02-2013 |
20130099336 | MAGNETIC TUNNEL JUNCTION DEVICE AND ITS FABRICATING METHOD - The present disclosure relates to a magnetic tunnel junction (MTJ) device and its fabricating method. Through forming MTJ through a damascene process, device damage due to the etching process and may be avoided. In some embodiments, a spacer is formed between a first portion and a second portion of the MTJ to prevent the tunnel insulating layer of the MTJ from being damaged in subsequent processes, greatly increasing product yield thereby. In other embodiments, signal quality may be improved and magnetic flux leakage may be reduced through the improved cup-shaped MTJ structure of this invention. | 04-25-2013 |
20130099335 | Novel Magnetic Tunnel Junction Device And Its Fabricating Method - Using a damascene process, a cup-shaped MTJ device is formed in an opening within a dielectric layer. A passivation layer is formed on the top surfaces of the sidewalls of the cup-shaped MTJ device to enclose the top of the sidewalls, thereby reducing magnetic flux leakage. Accordingly, the MTJ device may be fabricated using the same equipment that are compatible with and commonly used in CMOS technologies/processes. | 04-25-2013 |
20130095657 | POST-ETCH TREATING METHOD - This disclosure relates to a post-etch treating method. An opening is formed by etching a stacked structure of a dielectric layer, an intermediate layer and a metal hard mask layer arranged in order from bottom to top. The treating method sequentially comprises steps of: performing a first cleaning process on the stacked structure with the opening so as to remove at least a part of the metal hard mask layer; and performing a second cleaning process on the stacked structure with the opening so as to remove etching residues. | 04-18-2013 |
20130084532 | PHOTOLITHOGRAPHIC METHOD - A method for performing photolithography using a photo-resist is disclosed. The photo-resist comprises a first component and a second component. The method includes providing a substrate having a surface coated with the photo-resist and selectively illuminating a region of the surface of the photo-resist using light in a first wavelength band. The method further includes illuminating the entire surface of the photo-resist using light in a second wavelength band. The first and second wavelength bands are different and may not overlap. The method also includes performing a development process for the photo-resist upon illumination with the light of the first and second wavelength bands. | 04-04-2013 |
20130083890 | Apparatus and Method for Detecting Marks and Semiconductor Device Processing System - The present invention discloses an apparatus and a method for detecting a mark as well as a semiconductor device processing system. In order to address the problem existing in the prior art that detection of a mark in a layer of a semiconductor device has a low accuracy, the present invention uses an X-ray emitter and an X-ray detector to image the mark contained in the layer of the semiconductor device supported on the supporting member. According to the present invention, due to the use of the X-ray, even if the mark is covered by multiple layers which are opaque to visible light, the mark may be clearly imaged. | 04-04-2013 |
20130083305 | METHOD, OPTICAL MODULE AND AUTO-FOCUSING SYSTEM FOR WAFER EDGE EXPOSURE - Embodiments relate to a method, optical module and auto-focusing system for wafer edge exposure. The optical module comprises a light source emitting light of a wavelength to expose a photoresist, an exposing optics and a mask with an aperture between the light source and the exposing optics. The light emitted from the light source passes through the mask and then reaches the exposing optics to image the aperture on the wafer edge covered with the photoresist to form a focused light spot. The positions of the light source, the mask and the exposing optics, and the size of the aperture are configured such that the optical axis of the incident light is perpendicular to the wafer surface, and the light spot completely covers the wafer edge in the radial direction of the wafer. | 04-04-2013 |
20130083302 | PHOTOLITHOGRAPHIC APPARATUS - A photolithographic apparatus for use with a photo-resist comprises a first component that generates a first chemical substance and produces a chemical amplification action and a second component that generates a second chemical substance. The photolithographic apparatus comprises a first exposure subsystem for selectively illuminating a surface of the photo-resist using a light of a first wavelength band such that the first component generates the first chemical substance and a second exposure subsystem for uniformly illuminating the surface using a light of a second wavelength band such that the second component generates the second chemical substance. The second chemical substance reacts with the first chemical substance to reduce the mass concentration of the first chemical substance in the photo-resist and improves the contrast of a latent image of the first chemical substance formed in the photo-resist. | 04-04-2013 |
20130078805 | SEMICONDUCTOR DEVICE MANUFACTURING METHOD - The present invention provides a semiconductor device manufacturing method. This method comprises: etching a first dielectric layer to form a recess; depositing a second dielectric layer over said first dielectric layer and said recess, such that said recess is enclosed by said first dielectric layer and said second dielectric layer to form an air gap; and performing etching, such that a first trench is formed in said first dielectric layer and said second dielectric layer, adjacent to said air gap. The first trench can be filled with a conductive material to form wiring. | 03-28-2013 |
20130075938 | PHOTOLITHOGRAPHY ALIGNMENT MARK, MASK AND SEMICONDUCTOR WAFER CONTAINING THE SAME MARK - A photolithography alignment mark and a mask and semiconductor wafer containing said mark are described. The alignment mark comprises: a plurality of first alignment lines arranged parallel with each other in a first direction; a plurality of second alignment lines arranged parallel with each other in a second direction perpendicular to the first direction, and wherein each of the plurality of first alignment lines is composed of a predetermined number of first fine alignment lines uniformly spaced from each other, and each of the plurality of second alignment lines is composed of a predetermined number of second fine alignment lines uniformly spaced from each other. Alignment marks can be located in non-circuit pattern regions of the mask and on a plurality of layers in mark regions on the wafer. | 03-28-2013 |
20130075811 | DOUBLE GATE TRANSISTOR AND METHOD OF FABRICATING THE SAME - The present invention discloses a double gate transistor and a method of fabricating said transistor, said transistor comprising: a semiconductor layer on a substrate; a fin structure formed in said semiconductor layer, said fin structure having two end portions for forming source and drain regions and a middle portion between said two end portions for forming a channel region, said middle portion including two opposed side surfaces perpendicular to a substrate surface; a first gate dielectric layer and a first gate disposed on one side surface of said middle portion; and a second gate dielectric layer and a second gate disposed on the other side surface of said middle portion. | 03-28-2013 |
20130070376 | ELECTROSTATIC DISCHARGE PROTECTION CIRCUIT AND ELECTROSTATIC DISCHARGE PROTECTION METHOD - An ESD protection circuit and method for its use are provided. The circuit comprising: a discharge path formed by first and second NMOS transistors which are sequentially connected between a ground and a power supply; an ESD event detection unit; first and second drive units respectively connected between an output of the ESD event detection unit and a gate of the first transistor and between the output of the ESD event detection unit and a gate of the second transistor. The first and second drive units respectively cause the first and second transistors to be turned on during an ESD event and to be turned off when there is no ESD event. | 03-21-2013 |
20130069205 | SEMICONDUCTOR WAFER AND PROCESSING METHOD THEREFOR - A semiconductor wafer and a method which are capable of reducing chippings or cracks generated during the die sawing process. The semiconductor wafer comprises a plurality of dies formed on the semiconductor wafer in row and column directions and separated from each other by scribe lane areas, and a passivation layer formed on the plurality of dies and the scribe lane areas, wherein a groove structure is formed in the passivation layer. The groove structure includes grooves formed along the scribe lane areas, and corners of the passivation layer at intersections of the grooves being removed. | 03-21-2013 |
20130062695 | SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD FOR THE SAME - A semiconductor device and manufacturing method for the same are disclosed. The method includes providing a substrate that has an insulator layer and a semiconductor layer overlying the insulator layer. The method further includes forming a hard mask layer pattern on the semiconductor layer and etching the semiconductor layer using the patterned hard mask layer to form portions having different thickness in the semiconductor layer. The method also includes performing an oxygen-based treatment on the semiconductor layer to form a supporting oxide layer. A portion of the semiconductor layer is buried in the supporting oxide layer. | 03-14-2013 |
20130062586 | Semiconductor Device and Manufacturing Method Thereof - This invention discloses a semiconductor device and its manufacturing method. According to the method, a stop layer is deposited on a step-shaped bottom electrode, and then a first insulating layer is deposited through a high aspect ratio process. A first chemical mechanical polishing is performed until the stop layer. A second chemical mechanical polishing is then performed to remove the upper horizontal portion of the bottom electrode. Then, a phase-change material can be formed on the vertical portion of the bottom electrode to form a phase-change element. Through arranging a stop layer, the chemical mechanical polishing process is divided into two stages. Thus, during the second chemical mechanical polishing process preformed on the bottom electrode, polishing process can be precisely controlled to avoid the unnecessary loss of the bottom electrode. | 03-14-2013 |
20130062214 | METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE - A method for manufacturing semiconductor devices comprises: applying a dual pulse power to the semiconductor device during metal electroplating a part of the semiconductor device and applying ultrasonic energy to said semiconductor device during the metal electroplating. | 03-14-2013 |
20130059438 | METHOD FOR FORMING PATTERN AND MASK PATTERN, AND METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE - A pattern formation method, mask pattern formation method and a method for manufacturing semiconductor devices are provided in this disclosure, which are directed to the field of semiconductor processes. The pattern formation method comprises: providing a substrate; forming a polymer thin film containing a block copolymer on the substrate; forming a first pattern through imprinting the polymer thin film with a stamp; forming domains composed of different copolymer components through directed self assembly of the copolymer in the first pattern; selectively removing the domains composed of copolymer components to form a second pattern. In the embodiments of the present invention, finer pitch patterns can be obtained through combining the imprinting and DSA process without exposure, which as compared to the prior art methods has the advantage of simplicity. Furthermore, stamps used in imprinting may have relative larger pitches, facilitating and simplifying the manufacture and alignment of the stamps. | 03-07-2013 |
20130056856 | SEMICONDUCTOR DEVICE CAPABLE OF REDUCING PLASMA INDUCED DAMAGE AND FABRICATION METHOD THEREOF - A method of fabricating a semiconductor device having reduced plasma-induced damage includes providing a p-type semiconductor substrate. The p-type semiconductor substrate has a front surface including the semiconductor device and a back surface. The method further includes doping the back surface with an n-type dopant to form an n-type semiconductor region before forming metal interconnections on the front surface. The n-type semiconductor region and the p-type semiconductor substrate form a pn junction. The method also includes forming an insulation layer on an exposed surface of the n-type semiconductor region. | 03-07-2013 |
20130049078 | SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THEREOF - A semiconductor device and a manufacturing method thereof is provided. The method comprises: providing a substrate for the semiconductor device with a gate structure and a first dielectric interlayer being formed thereon, said gate structure comprising a metal gate and an upper surface of said first dielectric interlayer being substantially flush with an upper surface of said gate; forming an interface layer to cover at least the upper surface of said gate such that the upper surface of said gate is protected from being oxidized; and forming a second dielectric interlayer on said interface layer. | 02-28-2013 |
20130045581 | METHOD OF MANUFACTURING A SEMICONDUCTOR DEVICE - The present invention discloses a method of manufacturing semiconductor devices. The method includes a step of performing a chemical mechanical planarization processing on a poly-silicon layer before fabricating a poly-silicon gate such that the poly-silicon gates obtained in subsequent fabrication process are kept at the same height, which thus avoids the silicon nitride residues issue that occurs in the prior art. Therefore, the present invention is capable of enhancing product yield of semiconductor devices and improving device performances. | 02-21-2013 |
20130043696 | Electric-Controlled Operator - An electric-controlled operator includes, in part, a first group of grippers and a second group of grippers which are coupled to a base. Grippers in said first group of grippers are adapted to cooperatively grip an object and cooperatively release the object. Grippers in the second group of grippers are adapted to cooperatively grip an object and cooperatively release the object. When the first (or the second) group of grippers cooperatively grips an object, the second (or the first) group of grippers is in a state in which the object is released. The first and second groups of grippers are adapted to put in and take out objects from the same surface of the said base thus eliminating the need for turning of the base during the operation. | 02-21-2013 |
20130043516 | Semiconductor Device and Manufacturing Method Thereof - A method for manufacturing a semiconductor device includes forming a contact etch stop layer on an active area of a substrate that has a gate stack formed thereon. The gate stack includes a metal gate and a metal oxide. The contact etch stop layer includes a silicon oxide layer sandwiched between a first and a silicon nitride layers, the second silicon nitride layer is disposed on the active area. The method further includes forming a contact hole extending through an interlayer dielectric layer on the first silicon nitride layer using the first silicon nitride layer as a protection for the active area, removing a portion the first silicon nitride layer disposed at the bottom of the contact hole using the silicon oxide layer as a protection for the active area, and removing the metal oxide using the second silicon nitride layer as a protection for the active area. | 02-21-2013 |
20130040462 | METHOD OF FABRICATING A SEMICONDUCTOR DEVICE - A method of fabricating a semiconductor device for improving the performance of “Σ” shaped embedded source/drain regions is disclosed. A “U” shaped recess is formed in a Si substrate. The recess is treated with a surfactant, the amount of surfactant adsorbed on the recess sidewalls being greater than that on the recess bottom. An oxide is formed on the bottom. The presence of surfactant on the sidewalls, prevents oxide from forming thereon. The surfactant on the sidewalls is then removed and an orientation selective wet etching process is performed on the sidewalls. The oxide protects the Si at the bottom is from being etched. | 02-14-2013 |
20130037858 | SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THEREOF - This invention relates to a semiconductor device and a manufacturing method thereof for reducing stacking faults caused by high content of Ge in an embedded SiGe structure. The semiconductor device comprises a Si substrate with a recess formed therein. A first SiGe layer having a Ge content gradually increased from bottom to top is formed on the recess bottom, a SiGe seed layer is formed on sidewalls of the recess and a second SiGe layer having a constant content of Ge is formed on the first SiGe layer. The thickness of the first SiGe layer is less than the depth of the recess. The Ge content in the SiGe seed layer is less than the Ge content in the second SiGe layer and the Ge content at the upper surface of the first SiGe layer is less than or equal to the Ge content in the second SiGe layer. | 02-14-2013 |
20130037856 | SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THEREOF - This invention relates to a semiconductor device and a manufacturing method therefor for reducing stacking faults caused by high content of Ge in an embedded SiGe structure. The semiconductor device comprises a Si substrate with a recess formed therein. A SiGe seed layer is formed on sidewalls of the recess, and a first SiGe layer having a Ge content gradually increased from bottom to top is formed on the recess bottom. A second SiGe layer having a constant content of Ge is formed on the first SiGe layer. The thickness of the first SiGe layer is less than the depth of the recess. The Ge content in the SiGe seed layer is less than the Ge content in the second SiGe layer, and the Ge content at the upper surface of the first SiGe layer is less than or equal to the Ge content in the second SiGe layer. | 02-14-2013 |
20130034964 | METHOD FOR MANUFACTURING A SEMICONDUCTOR DEVICE - The present invention discloses a method of manufacturing a semiconductor device. In order to form a trench with a smaller width, patterns of various monomers are formed by utilizing self-assembly characteristics of a block copolymer comprising various monomers. A metal or metal nitride is deposited on a surface of the block copolymer, the metal or metallic nitride selectively depositing due to a preferential chemical affinity between various monomers and the metal or metal nitride. After reaching a certain thickness, the metal or metal nitride layer begins to grow laterally. Deposition can be stopped by controlling deposition time so that the metal or metal nitride layer grows laterally but does not completely cover the surface of the block copolymer. Etching is then conducted using the metal or metal nitride layer as a mask to obtain a trench with a very small width. | 02-07-2013 |
20130034960 | METHOD OF FABRICATING A SEMICONDUCTOR DEVICE - The present invention discloses a method of fabricating a semiconductor device. In the present invention, after the formation of a photo-resist mask on a substrate, the photo-resist is subjected to a plasma pre-treatment, and then etch is conducted. With the plasma pre-treatment, a line width roughness of a linear pattern of the photo-resist can be improved, and thus much better linear patterns can be formed on the substrate during the subsequent etching steps. | 02-07-2013 |
20130032887 | SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THEREOF - A manufacturing method for manufacturing a semiconductor device includes depositing a spacer material on a semiconductor substrate, the substrate includes an NMOS region and a PMOS region, each region has a gate formed thereon. The method further includes covering the NMOS region with a first mask, forming a spacer for the PMOS gate by etching the spacer material, forming a recess in the PMOS region by etching, and growing SiGe or SiGe with in-situ-doped B in the recess of the PMOS region to form a PMOS source/drain region. The method further includes performing an anisotropic wet etching on the recess. After growing SiGE or SiGe with in-situ-doped B, the method further includes covering the PMOS region with a second mask and forming a spacer for the NMOS gate by etching the spacer material. The spacer for the PMOS and NMOS gate has a different critical dimension. | 02-07-2013 |
20130020655 | SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THEREOF - The present invention relates to a semiconductor device and its manufacturing method. The semiconductor device comprises: a gate structure located on a substrate, Ge-containing semiconductor layers located on the opposite sides of the gate structure, a doped semiconductor layer epitaxially grown between the Ge-containing semiconductor layers, the bottom surfaces of the Ge-containing semiconductor layers located on the same horizontal plane as that of the epitaxial semiconductor layer. The epitaxial semiconductor layer is used as a channel region, and the Ge-containing semiconductor layers are used as source/drain extension regions. | 01-24-2013 |
20130020613 | SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THEREOF - This disclosure relates to a semiconductor device and a manufacturing method thereof. The semiconductor device comprises: a patterned stacked structure formed on a semiconductor substrate, the stacked structure comprising a silicon-containing semiconductor layer overlaying the semiconductor substrate, a gate dielectric layer overlaying the silicon-containing semiconductor layer and a gate layer overlaying the gate dielectric layer; and a doped epitaxial semiconductor layer on opposing sides of the silicon-containing semiconductor layer forming raised source/drain extension regions. Optionally, the silicon-containing semiconductor layer may be used as a channel region. According to this disclosure, the source/drain extension regions can be advantageously made to have a shallow junction depth (or a small thickness) and a high doping concentration. | 01-24-2013 |
20130017661 | METHOD FOR FABRICATING A SEMICONDUCTOR DEVICEAANM WEI; QINGSONGAACI BeijingAACO CNAAGP WEI; QINGSONG Beijing CNAANM He; YONGGENAACI BeijingAACO CNAAGP He; YONGGEN Beijing CNAANM Liu; HUANXINAACI BeijingAACO CNAAGP Liu; HUANXIN Beijing CNAANM Liu; JialeiAACI BeijingAACO CNAAGP Liu; Jialei Beijing CNAANM Li; ChaoweiAACI BeijingAACO CNAAGP Li; Chaowei Beijing CN - A method of fabricating semiconductor device includes forming a recess having a substantially rectangular section and forming an oxide layer on sidewalls and an oxide layer on a bottom of the recess by anisotropic oxidation, wherein the oxide layer on the sidewalls is thinner than the oxide layer on the bottom of recess. The method further includes completely removing the oxide layer on the sidewalls and partially removing the oxide layer on the bottom of the recess. The method also includes performing an orientation selective wet etching on the recess using a remaining oxide layer of the recess as a stop layer to shape the sidewalls into a Σ shaped section. The method includes removing the remaining oxide layer using an isotropic wet etching. | 01-17-2013 |
20130017656 | METHOD OF FABRICATING A SEMICONDUCTOR DEVICEAANM Wei; QingsongAACI BeijingAACO CNAAGP Wei; Qingsong Beijing CNAANM Lu; WeiAACI BeijingAACO CNAAGP Lu; Wei Beijing CNAANM Liu; WupingAACI BeijingAACO CNAAGP Liu; Wuping Beijing CNAANM He; YonggenAACI BeijingAACO CNAAGP He; Yonggen Beijing CN - A method of fabricating semiconductor device is provided. First, a recess having a substantially rectangular cross section is formed in a substrate. Then, oxide layers are formed on sidewalls and bottom of the recess by oxygen ion implantation process, wherein oxide layer on sidewalls of recess is thinner than oxide layer on bottom of recess. Thereafter, oxide layer on sidewalls of recess is completely removed, and only a portion of oxide layer on bottom of recess remains. Then, sidewalls of recess are shaped into Σ form by orientation selective wet etching using oxide layer remained on bottom of recess as a stop layer. Finally, oxide layer on bottom of recess is removed. By forming oxide layer on bottom of recess and using it as stop layer in subsequent orientation selective wet etching, the disclosed method can prevent a Σ-shaped recess with a cuspate bottom. | 01-17-2013 |
20130015443 | SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THEREOFAANM HE; YONGGENAACI BeijingAACO CNAAGP HE; YONGGEN Beijing CNAANM Wu; BingAACI BeijingAACO CNAAGP Wu; Bing Beijing CNAANM Liu; HuanxinAACI BeijingAACO CNAAGP Liu; Huanxin Beijing CN - A method for manufacturing a semiconductor device comprises: forming a recess in a substrate; implanting at the bottom of the recess to form an amorphous layer to a predetermined depth under the bottom of the recess; carrying out crystal orientation selective wet etching to form a Sigma shaped recess by use of the amorphous layer as a stopping layer. Through forming an amorphous layer by means of implantation which is used as a stopping layer in a subsequent wet etching, a Sigma shaped recess with a cuspate bottom is avoided, and a Sigma shaped recess having a planar bottom is obtained, which may further improve semiconductor device performance. | 01-17-2013 |
20120326320 | SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THEREOF - The present invention relates to a semiconductor device and the manufacturing method thereof. First, a hole is formed on a first side of a substrate. Then, an isolation layer is formed on an inner side of the hole and the hole is filled with a semiconductor material. Next, functional structures are formed on the first side of the substrate, the substrate is thinned from its second side opposite to the first side to expose the semiconductor material in the hole, and then the semiconductor material in the hole is removed to form a through hole penetrating through the substrate. The through hole is filled with a conductive material, thereby obtaining a final through substrate via (TSV) for facilitating electrical connection between different chips. By using a semiconductor material as TSV dummy material before filling the TSV with metal, the method can be better compatible with the standard process flow. | 12-27-2012 |
20120326317 | SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THEREFOR - The present invention discloses a semiconductor device and a manufacturing method therefor. Conventionally, platinum is deposited in a device substrate to suppress diffusion of nickel in nickel silicide. However, introducing platinum by means of deposition makes the platinum only stay on the surface but fails to effectively suppress the diffusion of nickel over a desirable depth. According to the present invention, a semiconductor device is formed by implanting platinum into a substrate and forming NiSi in a region of the substrate where platinum is implanted. With the present invention, platinum can be distributed over a desirable depth range so as to more effectively suppress nickel diffusion. | 12-27-2012 |
20120319168 | SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THEREOF - A semiconductor device and manufacturing method therefor includes a Σ-shaped embedded source or drain regions. A U-shaped recess is formed in a Si substrate using dry etching and a SiGe layer is grown epitaxially on the bottom of the U-shaped recess. Using an orientation selective etchant having a higher etching rate with respect to Si than SiGe, wet etching is performed on the Si substrate sidewalls of the U-shaped recess, to form a Σ-shaped recess. | 12-20-2012 |
20120319120 | SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THEREOF - The disclosure involves a semiconductor device and a manufacturing method thereof. First, a dielectric layer and a stack comprising a Si layer and at least one SiGe layer located on the Si layer are formed in sequence on a substrate. Then the stack and the dielectric layer are patterned to form a dummy gate and a gate dielectric layer, respectively. Next, sidewall spacers are formed on opposite sides of the dummy gate, and source and drain regions with embedded SiGe are formed. Then, the dummy gate is removed to form an opening, in which a gate material such as metal is filled. In RMG techniques, by adopting the stack consisting of Si and SiGe layers as a dummy gate, the method can further increase the compressive stress in the channel of a MOS device and thus improve carrier mobility as compared to traditional polysilicon dummy gate process. | 12-20-2012 |
20120315762 | METHOD OF FABRICATING A SEMICONDUCTOR DEVICE - The present invention discloses a method for fabricating semiconductor devices. After removing excessive aluminium to form aluminium gates through a chemical mechanical planarization (CMP) process, the exposed surfaces of the aluminium gates are oxidized with H | 12-13-2012 |
20120313171 | SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THEREOF - A Si-on-half-insulator device and its manufacturing method are disclosed in this invention. In one embodiment, a horizontal insulating layer located below at least one of the source and drain regions is realized to reduce junction capacitance. In another embodiment, a horizontal insulating layer located below at least one of the source and drain regions and a vertical insulating layer located below at least one side surface of the gate are realized. The additional vertical insulating layer can reduce punch leakage. Further, a method of manufacturing the above semiconductor device is also disclosed, wherein the horizontal and vertical insulating layers are formed using an additional layer of epitaxially grown semiconductor material and isolating trenches. | 12-13-2012 |
20120313165 | SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THEREOF - A semiconductor device and its manufacturing method are disclosed. The semiconductor device comprises a gate, and source and drain regions on opposite sides of the gate, wherein a portion of a gate dielectric layer located above the channel region is thinner than a portion of the gate dielectric layer located at the overlap region of the drain and the gate. The thicker first thickness portion may ensure that the device can endure a higher voltage at the drain to gate region, while the thinner second thickness portion may ensure excellent performance of the device. | 12-13-2012 |
20120309152 | Method of Fabricating Semiconductor Devices - A method of fabricating semiconductor device includes forming a plurality of gates on a substrate, forming a top layer on a top surface of each gate, forming sidewall spacers on opposite sides of each gate, and forming sacrificial spacers on the sidewall spacers. The method further includes performing a dry etching process on the substrate using the top layer and the sacrificial spacers as a mask to form a recess of a first width in the substrate between two adjacent gates, performing an isotropic wet etching process on the recess to expand the first width to a second width, and performing an orientation selective wet etching process on the recess to shape the rectangular-shaped recess into a Σ-shaped recess. | 12-06-2012 |
20120309151 | Method of Fabricating Semiconductor Devices - Method of fabricating a semiconductor device includes forming a gate having a first material on a substrate and forming a layer of a second material overlaying the gate. Sidewall spacers are formed on opposite sides of the gate. The substrate is dry etched using the layer of second material and the sidewall spacers as a mask forming a recess in the substrate between two adjacent gates. A liner oxide layer is formed on inner walls of the recess. The liner oxide layer is removed by isotropic wet etching. Orientation selective wet etching is performed on the recess to shape the inner wall of the recess so as to cause the inner wall of the recess to be sigma-shaped. By removing the substrate portions having lattice defects due to dry etching through oxidation and wet etching, defect-free epitaxial growth performance is realized. | 12-06-2012 |
20120295441 | METHOD FOR FORMING HARD MASK IN SEMICONDUCTOR DEVICE FABRICATION - A method for forming a hard mask in semiconductor device fabrication comprises: forming first and second patterned material layers on a third material layer, the second patterned material layer only covering the top of predetermined regions of the first patterned material layer; changing a property of exposed top and side portions of the first patterned material layer using the second patterned material layer as a mask, forming property-changed roofs at the exposed top portions of the first patterned material layer and forming property-changed sidewalls with a predetermined width at the exposed side portions of the first patterned material layer; removing the second patterned material layer and portions of the first patterned material layer with exposed tops and an unchanged property located between the property-changed sidewalls, to form the hard mask. | 11-22-2012 |
20120168879 | TRANSISTOR AND METHOD FOR FORMING THE SAME - The invention discloses a semiconductor device which comprises an NMOS transistor and a PMOS transistor formed on a substrate; and grid electrodes, source cathode doped areas, drain doped areas, and side walls formed on two sides of the grid electrodes are arranged on the NMOS transistor and the PMOS transistor respectively. The device is characterized in that the side walls on the two sides of the grid electrode of the NMOS transistor possess tensile stress, and the side walls on the two sides of the grid electrode of the PMOS transistor possess compressive stress. The stress gives the side walls a greater role in adjusting the stress applied to channels and the source/drain areas, with the carrier mobility further enhanced and the performance of the device improved. | 07-05-2012 |
20120168860 | TRANSISTOR AND METHOD FOR FORMING THE SAME - The invention provides a method for forming a transistor, which includes: providing a substrate, a semiconductor layer being formed on the substrate; forming a dummy gate structure on the semiconductor layer; forming a source region and a drain region in the substrate and the semiconductor layer and at opposite sides of the dummy gate structure; forming an interlayer dielectric layer on the semiconductor layer; removing the dummy gate structure for forming an opening in the interlayer dielectric layer; non-crystallizing the semiconductor layer exposed in the opening for forming a channel layer; annealing the channel layer so that the channel layer and the substrate have same crystal orientation; and forming a metal gate structure in the opening, the metal gate being formed on the channel layer. Saturation current of the transistor is raised, and the performance of a semiconductor device is promoted. | 07-05-2012 |
20120164923 | POLISHING METHOD - A polishing method is disclosed, which includes: conditioning a polishing pad, after polishing metal material of a previous wafer; spraying organic acid solution to the polishing pad; spraying deionized water to the polishing pad; performing a water-removing treatment on the polishing pad; and spraying polishing liquid to the polishing pad and polishing metal material of a next wafer. The method can prevent scratches on the surface of metal material of wafers and improve yield rate. | 06-28-2012 |
20120161097 | PHASE CHANGE MEMORY AND METHOD FOR FABRICATING THE SAME - The invention provides a phase change memory and a method for forming the phase change memory. The phase change memory includes a storage region and a peripheral circuit region. The peripheral circuit region has a peripheral substrate, peripheral shallow trench isolation (STI) units in the peripheral substrate, and MOS transistors on the peripheral substrate and between the peripheral STI units. The storage region has a storage substrate, an N-type ion buried layer on the storage substrate, vertical LEDs on the on the N-type ion buried layer, storage shallow trench isolation (STI) units between the vertical LEDs, and phase change layers on the vertical LEDs and between the storage STI units. The storage STI units have thickness equal to thickness of the vertical LEDs. Each vertical LED comprises an N-type conductive region on the N-type ion buried layer, and a P-type conductive region on the N-type conductive region. The P-type conductive region contains SiGe. The peripheral STI units have thickness equal to thickness of the storage STI units. A top of P-type conductive region is flush with a top of the peripheral substrate. The P-type conductive region containing SiGe reduces drain current through the vertical LED and raises current efficiency of the vertical LED. The peripheral circuit region can work normally without adverse influence on performance of the phase change memory. | 06-28-2012 |
20120161092 | PHASE CHANGE MEMORY AND METHOD FOR FABRICATING THE SAME - The invention provides a phase change memory and a method for forming the phase change memory. The phase change memory includes a storage region and a peripheral circuit region. The peripheral circuit region has a peripheral substrate, a plurality of peripheral shallow trench isolation (STI) units in the peripheral substrate, and at least one MOS transistor on the peripheral substrate and between the peripheral STI units. The storage region has a storage substrate, an N-type ion buried layer on the storage substrate, a plurality of vertical LEDs on the N-type ion buried layer, a plurality of storage shallow trench isolation (STI) units between the vertical LEDs, and a plurality of phase change layers on the vertical LED and between the storage STI units. The storage STI units have thickness substantially equal to thickness of the vertical LEDs. The peripheral STI units have thickness substantially equal to thickness of the storage STI units. The N-type conductive region contains SiC. A top of P-type conductive region is flush with a top of the peripheral substrate. The N-type conductive region containing SiC reduces drain current through the vertical LED and raises current efficiency of the vertical LED. The peripheral circuit region can work normally without adverse influence on performance of the phase change memory. | 06-28-2012 |
20120153470 | BGA PACKAGE STRUCTURE AND METHOD FOR FABRICATING THE SAME - A BGA package structure and a method for fabricating the same, wherein the BGA package structure comprises: a substrate having a first surface used to carry a chip and a second surface opposite to the first surface, wherein the substrate is divided into several regions according to different distances from a central point of the substrate; a plurality of contact bonding pads on the second surface electrically connected with the chip; and a plurality of bumps respectively attached to each of the contact bonding pads, wherein the contact bonding pads and bumps in a region which is closest to the central point are the smallest, while the contact bonding pads and bumps in a region which is farthest to the central point are the biggest. Therefore the situation that the bumps at the edge are liable to peel off may improved. | 06-21-2012 |
20120153459 | METHOD FOR CHIP SCALE PACKAGE AND PACKAGE STRUCTURE THEREOF - This invention provides a method for chip scale package and a chip scale package structure. The chip scale package structure includes: a semiconductor substrate, on which sets a plurality of contact bonding pads being connected with semiconductor devices; and a plurality of bumps respectively attached to all of the contact bonding pads. The semiconductor substrate is divided into several regions according to different distances from a central point. The contact bonding pads and the bumps in the region which is closest to the central point are the smallest, while the contact bonding pads and the bumps in the region which is farthest to the central point are the largest. The invention effectively improves the situation that the bumps at the edge tend to flake off easily; in addition, it avoids short-circuit caused by bridging between the bumps. | 06-21-2012 |
20120142150 | METHOD FOR FORMING METAL GATE AND MOS TRANSISTOR - The invention provides a method for forming a metal gate and a method for forming a MOS transistor. The method for forming a metal gate includes: providing a substrate; forming a sacrificial oxide layer and a polysilicon gate on the substrate; forming a silicon oxide layer on sidewalls of the sacrificial oxide layer and the polysilicon gate; forming a stop layer that covers the substrate; removing a part of the stop layer in the spacers; forming a second interlayer dielectric layer that covers the first interlayer dielectric layer, the spacers and the polysilicon gate; polishing the second interlayer dielectric layer to expose the spacers and the polysilicon gate; removing the polysilicon gate to form a trench; removing the sacrificial oxide layer in the trench; and forming a metal gate in the trench. The invention prevents from recesses and therefore metal bridge and metal residuals in the recesses. | 06-07-2012 |
20120139016 | SEMICONDUCTOR DEVICE AND METHOD FOR FORMING THE SAME - A semiconductor device and a method for forming the same are provided. The method includes: providing a substrate having a gate structure and first spacers on both sidewalls of the gate structure formed on a top surface of the substrate; forming first openings in the substrate by using the first spacers as a mask, wherein the first openings are located on both sides of the gate structure; forming second openings by etching the first openings with an etching gas, wherein each of the second openings is an expansion of a corresponding one of the first openings toward the gate structure and extends to underneath an adjacent first spacer; and forming epitaxial layers in the first openings and the second openings. | 06-07-2012 |
20120135594 | METHOD FOR FORMING A GATE ELECTRODE - A method for forming a gate electrode includes: providing a substrate; forming a gate dielectric layer and forming a sacrificial layer, the sacrificial layer including doping ions, a density of the doping ions in the sacrificial layer decreasing with increasing distance from the substrate; forming a hard mask layer; patterning the sacrificial layer and the hard mask layer; removing part of the patterned sacrificial layer by wet etching with the patterned hard mask layer as a mask, to form a dummy gate electrode which has a top width bigger than a bottom width, and removing the patterned hard mask layer; removing the dummy gate electrode and filling a gate trench with gate material to form a gate electrode which has a top width bigger than a bottom width, which facilitates the filling of the gate material and can avoid or reduce cavity forming in the gate material. | 05-31-2012 |