NOVELLUS SYSTEMS, INC. Patent applications |
Patent application number | Title | Published |
20150013607 | IN-SITU DEPOSITION OF FILM STACKS - An apparatus for depositing film stacks in-situ (i.e., without a vacuum break or air exposure) are described. In one example, a plasma-enhanced chemical vapor deposition apparatus configured to deposit a plurality of film layers on a substrate without exposing the substrate to a vacuum break between film deposition phases, is provided. The apparatus includes a process chamber, a plasma source and a controller configured to control the plasma source to generate reactant radicals using a particular reactant gas mixture during the particular deposition phase, and sustain the plasma during a transition from the particular reactant gas mixture supplied during the particular deposition phase to a different reactant gas mixture supplied during a different deposition phase. | 01-15-2015 |
20140357089 | APPARATUS FOR ADVANCED PACKAGING APPLICATIONS - The embodiments disclosed herein pertain to novel methods and apparatus for removing material from a substrate. In certain embodiments, the method and apparatus are used to remove negative photoresist, though the disclosed techniques may be implemented to remove a variety of materials. In practicing the disclosed embodiments, a stripping solution may be introduced from an inlet to an internal manifold, sometimes referred to as a cross flow manifold. The solution flows laterally through a relatively narrow cavity between the substrate and the base plate. Fluid exits the narrow cavity at an outlet, which is positioned on the other side of the substrate, opposite the inlet and internal manifold. The substrate spins while in contact with the stripping solution to achieve a more uniform flow over the face of the substrate. In some embodiments, the base plate includes protuberances which operate to increase the flow rate (and thereby increase the local Re) near the face of the substrate. | 12-04-2014 |
20140348618 | VACUUM ROBOT WITH LINEAR TRANSLATION CARRIAGE - A robot for use in vacuum chambers is disclosed. The robot may be mounted within an oblong transfer chamber and may be translated within the transfer chamber by an umbilical arm operating in conjunction with a linear motion guide and carriage. Motors or drive systems for the robot may be housed in atmospheric conditions, and the transfer chamber may be kept at a vacuum. The robot may include one or more arms configured for wafer handling. The robot may include one or more motors or drive systems and a multi-axial seal to realize independent extension/retraction of each arm and overall simultaneous rotation of the arm assembly. | 11-27-2014 |
20140302689 | METHODS AND APPARATUS FOR DIELECTRIC DEPOSITION - Methods for depositing flowable dielectric films are provided. In some embodiments, the methods involve introducing a silicon-containing precursor to a deposition chamber wherein the precursor is characterized by having a partial pressure:vapor pressure ratio between 0.01 and 1. In some embodiments, the methods involve depositing a high density plasma dielectric film on a flowable dielectric film. The high density plasma dielectric film may fill a gap on a substrate. Also provided are apparatuses for performing the methods. | 10-09-2014 |
20140238608 | CERAMIC SHOWERHEAD WITH EMBEDDED RF ELECTRODE FOR CAPACITIVELY COUPLED PLASMA REACTOR - A showerhead assembly for a substrate processing system includes a back plate connected to a gas channel. A face plate is connected adjacent to a first surface of the back plate and includes a gas diffusion surface. An electrode is arranged in one of the back plate and the face plate and is connected to one or more conductors. A gas plenum is defined between the back plate and the face plate and is in fluid communication with the gas channel. The back plate and the face plate are made of a non-metallic material. | 08-28-2014 |
20140230861 | PURGING OF POROGEN FROM UV CURE CHAMBER - A purge ring for providing a gas to a wafer processing chamber includes an inlet ring wall defining a ring hole space. An outer perimeter of the inlet ring wall is elliptical. An outer perimeter of the ring hole space is circular. The inlet ring wall is a continuous structure surrounding the ring hole space. An inlet baffle formed within the inlet ring wall surrounds at least 180 degrees of the outer perimeter of the ring hole space. An inlet plenum arranged in a first end of the inlet ring wall provides the gas to the ring hole space through the inlet baffle. An exhaust channel is formed within the inlet ring wall in a second end of the inlet ring wall. An exhaust outlet hole arranged in the second end of the inlet ring wall exhausts the gas out of the ring hole space via the exhaust channel. | 08-21-2014 |
20140230860 | METHODS AND APPARATUS FOR WETTING PRETREATMENT FOR THROUGH RESIST METAL PLATING - Disclosed are pre-wetting apparatus designs and methods for cleaning solid contaminants from substrates prior to through resist deposition of metal. In some embodiments, a pre-wetting apparatus includes a process chamber having a substrate holder, and at least one nozzle located directly above the wafer substrate and configured to deliver pre-wetting liquid (e.g., degassed deionized water) onto the substrate at a grazing angle of between about 5 and 45 degrees. In some embodiments the nozzle is a fan nozzle configured to deliver the liquid to the center of the substrate, such that the liquid first impacts the substrate in the vicinity of the center and then flows over the center of the substrate. In some embodiments the substrate is rotated unidirectionally or bidirectionally during pre-wetting with multiple accelerations and decelerations, which facilitate removal of contaminants. | 08-21-2014 |
20140217193 | METHOD AND APPARATUS FOR PURGING AND PLASMA SUPPRESSION IN A PROCESS CHAMBER - A substrate processing system includes a showerhead that comprises a head portion and a stem portion and that delivers precursor gas to a processing chamber. A baffle includes a base portion having an outer diameter that is greater than an outer diameter of the head portion of the showerhead, that comprises a dielectric material and that is arranged between the head portion of the showerhead and an upper surface of the processing chamber. | 08-07-2014 |
20140216337 | PLASMA ACTIVATED CONFORMAL DIELECTRIC FILM DEPOSITION - Methods of depositing a film on a substrate surface include surface mediated reactions in which a film is grown over one or more cycles of reactant adsorption and reaction. In one aspect, the method is characterized by intermittent delivery of dopant species to the film between the cycles of adsorption and reaction. | 08-07-2014 |
20140216336 | METAL AND SILICON CONTAINING CAPPING LAYERS FOR INTERCONNECTS - Disclosed methods cap exposed surfaces of copper lines with a layer of metal or metal-containing compound combined with silicon. In some cases, the metal or metal-containing compound forms an atomic layer. In certain embodiments, the methods involve exposing the copper surface first to a metal containing precursor to form an atomic layer of adsorbed precursor or metal atoms, which may optionally be converted to an oxide, nitride, carbide, or the like by, e.g., a pinning treatment. Subsequent exposure to a silicon-containing precursor may proceed with or without metallic atoms being converted. | 08-07-2014 |
20140209562 | PLASMA ACTIVATED CONFORMAL FILM DEPOSITION - Methods of depositing a film on a substrate surface include surface mediated reactions in which a film is grown over one or more cycles of reactant adsorption and reaction. In one aspect, the method is characterized by the following operations: (a) exposing the substrate surface to a first reactant in vapor phase under conditions allowing the first reactant to adsorb onto the substrate surface; (b) exposing the substrate surface to a second reactant in vapor phase while the first reactant is adsorbed on the substrate surface; and (c) exposing the substrate surface to plasma to drive a reaction between the first and second reactants adsorbed on the substrate surface to form the film. | 07-31-2014 |
20140209026 | PLASMA ACTIVATED DEPOSITION OF A CONFORMAL FILM ON A SUBSTRATE SURFACE - An apparatus deposits a film on a substrate including a reaction chamber arranged on a substrate support. An inlet port delivers gas phase reactants to the reaction chamber. A plasma generator provides plasma to the reaction chamber. A controller is configured to flow a silicon-containing reactant from a precursor group consisting of di-tert-butyl diazidosilane, tris(dimethylamido)silylazide, and bis(tert-butylhydrazido)diethyl silane. The silicon-containing reactant is introduced in vapor phase into the reaction chamber. The controller flows a second reactant in vapor phase into the reaction chamber. | 07-31-2014 |
20140183049 | ENHANCEMENT OF ELECTROLYTE HYDRODYNAMICS FOR EFFICIENT MASS TRANSFER DURING ELECTROPLATING - The embodiments herein relate to methods and apparatus for electroplating one or more materials onto a substrate. In many cases the material is a metal and the substrate is a semiconductor wafer, though the embodiments are no so limited. Typically, the embodiments herein utilize a channeled plate positioned near the substrate, creating a cross flow manifold defined on the bottom by the channeled plate, on the top by the substrate, and on the sides by a cross flow confinement ring. During plating, fluid enters the cross flow manifold both upward through the channels in the channeled plate, and laterally through a cross flow side inlet positioned on one side of the cross flow confinement ring. The flow paths combine in the cross flow manifold and exit at the cross flow exit, which is positioned opposite the cross flow inlet. These combined flow paths result in improved plating uniformity. | 07-03-2014 |
20140182619 | HIGH DOSE IMPLANTATION STRIP (HDIS) IN H2 BASE CHEMISTRY - Plasma is generated using elemental hydrogen, a weak oxidizing agent, and a fluorine containing gas. An inert gas is introduced to the plasma downstream of the plasma source and upstream of a showerhead that directs gas mixture into the reaction chamber where the mixture reacts with the high-dose implant resist. The process removes both the crust and bulk resist layers at a high strip rate, and leaves the work piece surface substantially residue free with low silicon loss. | 07-03-2014 |
20140162451 | METHODS FOR DEPOSITING ULTRA THIN LOW RESISTIVITY TUNGSTEN FILM FOR SMALL CRITICAL DIMENSION CONTACTS AND INTERCONNECTS - Provided are methods of void-free tungsten fill of high aspect ratio features. According to various embodiments, the methods involve a reduced temperature chemical vapor deposition (CVD) process to fill the features with tungsten. In certain embodiments, the process temperature is maintained at less than about 350° C. during the chemical vapor deposition to fill the feature. The reduced-temperature CVD tungsten fill provides improved tungsten fill in high aspect ratio features, provides improved barriers to fluorine migration into underlying layers, while achieving similar thin film resistivity as standard CVD fill. Also provided are methods of depositing thin tungsten films having low-resistivity. According to various embodiments, the methods involve performing a reduced temperature low resistivity treatment on a deposited nucleation layer prior to depositing a tungsten bulk layer and/or depositing a bulk layer via a reduced temperature CVD process followed by a high temperature CVD process. | 06-12-2014 |
20140158792 | TEMPERATURE CONTROLLED SHOWERHEAD - A temperature controlled showerhead for chemical vapor deposition (CVD) chambers enhances heat dissipation to enable accurate temperature control with an electric heater. Heat dissipates by conduction through a showerhead stem and fluid passageway and radiation from a back plate. A temperature control system includes one or more temperature controlled showerheads in a CVD chamber with fluid passageways serially connected to a heat exchanger. | 06-12-2014 |
20140141542 | METHODS FOR DEPOSITING FILMS ON SENSITIVE SUBSTRATES - Methods and apparatus to form films on sensitive substrates while preventing damage to the sensitive substrate are provided herein. In certain embodiments, methods involve forming a bilayer film on a sensitive substrate that both protects the underlying substrate from damage and possesses desired electrical properties. Also provided are methods and apparatus for evaluating and optimizing the films, including methods to evaluate the amount of substrate damage resulting from a particular deposition process and methods to determine the minimum thickness of a protective layer. The methods and apparatus described herein may be used to deposit films on a variety of sensitive materials such as silicon, cobalt, germanium-antimony-tellerium, silicon-germanium, silicon nitride, silicon carbide, tungsten, titanium, tantalum, chromium, nickel, palladium, ruthenium, or silicon oxide. | 05-22-2014 |
20140134827 | CONFORMAL FILM DEPOSITION FOR GAPFILL - A method and apparatus for conformally depositing a dielectric oxide in high aspect ratio gaps in a substrate is disclosed. A substrate is provided with one or more gaps into a reaction chamber where each gap has a depth to width aspect ratio of greater than about 5:1. A first dielectric oxide layer is deposited in the one or more gaps by CFD. A portion of the first dielectric oxide layer is etched using a plasma etch, where etching the portion of the first dielectric oxide layer occurs at a faster rate near a top surface than near a bottom surface of each gap so that the first dielectric oxide layer has a tapered profile from the top surface to the bottom surface of each gap. A second dielectric oxide layer is deposited in the one or more gaps over the first dielectric oxide layer via CFD. | 05-15-2014 |
20140131211 | ELECTROLYTE LOOP WITH PRESSURE REGULATION FOR SEPARATED ANODE CHAMBER OF ELECTROPLATING SYSTEM - An electrolyte, and particularly anolyte, may be circulated via an open loop having a pressure regulator, so that the pressure in the plating chamber is maintained at a constant (or substantially constant) value with respect to atmospheric pressure. In these embodiments, a pressure regulator is in fluid communication with the anode chamber. | 05-15-2014 |
20140124123 | ELECTROSTATIC CHUCKS AND METHODS FOR REFURBISHING SAME - Novel methods for extending electrostatic chuck lifetimes are provided. The methods involve providing a chuck having a metal cooling plate attached to a ceramic top plate, and after a period of use, disassembling the chuck, and providing a new chuck including the used metal cooling plate. In certain embodiments, the use of a low temperature bond material uniquely allows the described disassembly and reassembly without damage to other parts of the chuck. | 05-08-2014 |
20140120733 | LOW DAMAGE PHOTORESIST STRIP METHOD FOR LOW-K DIELECTRICS - Improved methods for stripping photoresist and removing etch-related residues from dielectric materials are provided. In one aspect of the invention, methods involve removing material from a dielectric layer using a hydrogen-based etch process employing a weak oxidizing agent and fluorine-containing compound. Substrate temperature is maintained at a level of about 160° C. or less, e.g., less than about 90° C. | 05-01-2014 |
20140097088 | ELECTROFILL VACUUM PLATING CELL - The disclosed embodiments relate to methods and apparatus for immersing a substrate in electrolyte in an electroplating cell under sub-atmospheric conditions to reduce or eliminate the formation/trapping of bubbles as the substrate is immersed. Various electrolyte recirculation loops are disclosed to provide electrolyte to the plating cell. The recirculation loops may include pumps, degassers, sensors, valves, etc. The disclosed embodiments allow a substrate to be immersed quickly, greatly reducing the issues related to bubble formation and uneven plating times during electroplating. | 04-10-2014 |
20140094038 | ENHANCING ADHESION OF CAP LAYER FILMS - The present invention provides methods and apparatuses for improving adhesion of dielectric and conductive layers on a substrate to the underlying layer. The methods involve passing a process gas through a plasma generator downstream of the substrate to create reactive species. The underlying layer is then exposed to reactive species that interact with the film surface without undesirable sputtering. The gas is selected such that the interaction of the reactive species with the underlying layer modifies the surface of the layer in a manner that improves adhesion to the subsequently formed overlying layer. During exposure to the reactive species, the substrate and/or process gas may be exposed to ultraviolet radiation to enhance surface modification. In certain embodiments, a single UV cure tool is used to cure the underlying film and improve adhesion. | 04-03-2014 |
20140094035 | CARBON DEPOSITION-ETCH-ASH GAP FILL PROCESS - Techniques, systems, and apparatuses for performing carbon gap-fill in semiconductor wafers are provided. The techniques may include performing deposition-etching operations in a cyclic fashion to fill a gap feature with carbon. A plurality of such deposition-etching cycles may be performed, resulting in a localized build-up of carbon film on the top surface of the semiconductor wafer near the gap feature. An ashing operation may then be performed to preferentially remove the built-up material from the top surface of the semiconductor wafer. Further groups of deposition-etching cycles may then be performed, interspersed with further ashing cycles. | 04-03-2014 |
20140087587 | High Temperature Electrode Connections - Embodiments include a high temperature electrode connection assembly for a wafer-processing pedestal. The high temperature electrode connection assembly includes an electrode rod having a cup that mounts to a stud embedded in the pedestal and a plate adapter portion. The assembly also includes a floating plate having an outer surface and an aperture for receiving the electrode rod. The floating plate contacts an inner surface of the pedestal to resist lateral movement of the electrode rods. The assembly also includes an anti-rotation retainer ring that frictionally engages the electrode rod and an anti-rotation post extending from the outer surface of the floating plate. The anti-rotation post limits rotation of the electrode rod with respect to the floating plate. | 03-27-2014 |
20140080324 | MULTI-STATION SEQUENTIAL CURING OF DIELECTRIC FILMS - The present invention addresses provides improved methods of preparing a low-k dielectric material on a substrate. The methods involve multiple operation ultraviolet curing processes in which UV intensity, wafer substrate temperature and other conditions may be independently modulated in each operation. In certain embodiments, a film containing a structure former and a porogen is exposed to UV radiation in a first operation to facilitate removal of the porogen and create a porous dielectric film. In a second operation, the film is exposed to UV radiation to increase cross-linking within the porous film. In certain embodiments, the curing takes place in a multi-station UV chamber wherein UV intensity and substrate temperature may be independently controlled at each station. | 03-20-2014 |
20140061158 | REDUCED ISOTROPIC ETCHANT MATERIAL CONSUMPTION AND WASTE GENERATION - Methods and apparatus for isotropically etching a metal from a work piece, while recovering and reconstituting the chemical etchant are described. Various embodiments include apparatus and methods for etching where the recovered and reconstituted etchant is reused in a continuous loop recirculation scheme. Steady state conditions can be achieved where these processes are repeated over and over with occasional bleed and feed to replenish reagents and/or adjust parameters such as pH, ionic strength, salinity and the like. | 03-06-2014 |
20140057454 | METHODS AND APPARATUS FOR PLASMA-BASED DEPOSITION - High-deposition rate methods for forming transparent ashable hardmasks (AHMs) that have high plasma etch selectivity to underlying layers are provided. The methods involve placing a wafer on a powered electrode such as a powered pedestal for plasma-enhanced deposition. According to various embodiments, the deposition is run at low hydrocarbon precursor partial pressures and/or low process temperatures. Also provided are ceramic wafer pedestals with multiple electrode planes embedded with the pedestal are provided. According to various embodiments, the pedestals have multiple RF mesh electrode planes that are connected together such that all the electrode planes are at the same potential. | 02-27-2014 |
20140053867 | PLASMA CLEAN METHOD FOR DEPOSITION CHAMBER - Improved methods and apparatuses for removing residue from the interior surfaces of the deposition reactor are provided. The methods involve increasing availability of cleaning reagent radicals inside the deposition chamber by generating cleaning reagent radicals in a remote plasma generator and then further delivering in-situ plasma energy while the cleaning reagent mixture is introduced into the deposition chamber. Certain embodiments involve a multi-stage process including a stage in which the cleaning reagent mixture is introduced at a high pressure (e.g., about 0.6 Torr or more) and a stage the cleaning reagent mixture is introduced at a low pressure (e.g., about 0.6 Torr or less). | 02-27-2014 |
20140048141 | FLOW BALANCING IN GAS DISTRIBUTION NETWORKS - Flow distribution networks that supply process gas to two or more stations in a multi-station deposition chamber. Each flow distribution network includes an inlet and flow distribution lines for carrying process gas to the stations. The flow distribution lines include a branch point downstream from the inlet and two or more branches downstream from the branch point. Each branch supplies a station. The flow distribution network also includes highly variable flow elements in each branch. Restrictive components are placed downstream from the variable control elements in each branch. These restrictive components are nominally identical and designed to shift the bulk of the pressure drop away from the variable flow components to improve flow balancing while not unduly increasing inlet pressure. In some cases, the load shifting allows the more variable flow components to operate in the unchoked flow regime. | 02-20-2014 |
20140030444 | HIGH PRESSURE, HIGH POWER PLASMA ACTIVATED CONFORMAL FILM DEPOSITION - Methods and apparatus for depositing a film on a substrate surface including plasma assisted surface mediated reactions in which a film is grown over one or more cycles of reactant adsorption and reaction are provided. The embodiments disclosed herein relate to methods and apparatus for performing conformal film deposition and atomic layer deposition reactions that result in highly uniform films with low particle contamination. According to various embodiments, the methods and apparatus involve high deposition chamber pressures and plasma generation using high radio frequency powers. | 01-30-2014 |
20140020259 | SYSTEMS AND METHODS FOR AT LEAST PARTIALLY CONVERTING FILMS TO SILICON OXIDE AND/OR IMPROVING FILM QUALITY USING ULTRAVIOLET CURING IN STEAM AND DENSIFICATION OF FILMS USING UV CURING IN AMMONIA - A processing system includes a chamber and a steam source that supplies steam in the chamber. A UV source directs UV light onto a deposited layer of a substrate in the presence of the steam from the steam source for a predetermined conversion period to at least partially convert the deposited layer. | 01-23-2014 |
20140014522 | PHOTORESIST-FREE METAL DEPOSITION - Selectively accelerated or selectively inhibited metal deposition is performed to form metal structures of an electronic device. A desired pattern of an accelerator or of an inhibitor is applied to the substrate; for example, by stamping the substrate with a patterned stamp or spraying a solution using an inkjet printer. In other embodiments, a global layer of accelerator or inhibitor is applied to a substrate and selectively modified in a desired pattern. Thereafter, selective metal deposition is performed. | 01-16-2014 |
20130344245 | SUPPRESSION OF PARASITIC DEPOSITION IN A SUBSTRATE PROCESSING SYSTEM BY SUPPRESSING PRECURSOR FLOW AND PLASMA OUTSIDE OF SUBSTRATE REGION - A substrate processing system includes a showerhead that comprises a base portion and a stem portion and that delivers precursor gas to a chamber. A collar connects the showerhead to an upper surface of the chamber. The collar includes a plurality of slots, is arranged around the stem portion of the showerhead, and directs purge gas through the plurality of slots into a region between the base portion of the showerhead and the upper surface of the chamber. | 12-26-2013 |
20130330932 | HARDMASK MATERIALS - Hardmask films having high hardness and low stress are provided. In some embodiments a film has a stress of between about −600 MPa and 600 MPa and hardness of at least about 12 GPa. In some embodiments, a hardmask film is prepared by depositing multiple sub-layers of doped or undoped silicon carbide using multiple densifying plasma post-treatments in a PECVD process chamber. In some embodiments, a hardmask film includes a high-hardness boron-containing film selected from the group consisting of Si | 12-12-2013 |
20130319329 | PLASMA-ACTIVATED DEPOSITION OF CONFORMAL FILMS - Embodiments related to depositing thin conformal films using plasma-activated conformal film deposition (CFD) processes are described herein. In one example, a method of processing a substrate includes, applying photoresist to the substrate, exposing the photoresist to light via a stepper, patterning the resist with a pattern and transferring the pattern to the substrate, selectively removing photoresist from the substrate, placing the substrate into a process station, and, in the process station, in a first phase, generating radicals off of the substrate and adsorbing the radicals to the substrate to form active species, in a first purge phase, purging the process station, in a second phase, supplying a reactive plasma to the surface, the reactive plasma configured to react with the active species and generate the film, and in a second purge phase, purging the process station. | 12-05-2013 |
20130316094 | RF-POWERED, TEMPERATURE-CONTROLLED GAS DIFFUSER - A gas diffusing device includes a first portion defining a gas supply conduit having a first inlet and a first outlet and including a second inlet, a second outlet and passages connecting the second inlet to the second outlet. The passages receive non-conductive fluid to cool the first portion. A second portion is connected to the first portion, includes a diffuser face with spaced holes and defines a cavity that is in fluid communication with the first outlet of the gas supply conduit and the diffuser face. A heater is in contact with the second portion to heat the second portion. | 11-28-2013 |
20130309415 | SYSTEMS AND METHODS FOR MODULATING STEP COVERAGE DURING CONFORMAL FILM DEPOSITION - Systems and methods for processing a substrate include a) arranging a substrate on a pedestal in a processing chamber; b) supplying precursor to the processing chamber; c) purging the processing chamber; d) performing radio frequency (RF) plasma activation; e) purging the processing chamber; and f) prior to purging the processing chamber in at least one of (c) or (e), setting a vacuum pressure of the processing chamber to a first predetermined pressure that is less than a vacuum pressure during at least one of (b) or (d) for a first predetermined period. | 11-21-2013 |
20130269609 | CAROUSEL REACTOR FOR MULTI-STATION, SEQUENTIAL PROCESSING SYSTEMS - A reactor for processing a plurality of substrates includes P processing station assemblies arranged symmetrically around an axis, where P is an integer greater than one. A pedestal carousel assembly includes P pedestal assemblies arranged symmetrically around the axis, each of the P pedestal assemblies including a pedestal. A rotational actuator rotates the pedestal carousel assembly relative to the axis to selectively index the P pedestal assemblies with the P processing station assemblies. Each of the P processing station assemblies processes substrates arranged on corresponding ones of the P pedestal assemblies at the same time. | 10-17-2013 |
20130260057 | CONTINUOUS PLASMA AND RF BIAS TO REGULATE DAMAGE IN A SUBSTRATE PROCESSING SYSTEM - Systems and methods include supplying process gas to a processing chamber including a substrate. Plasma is created in the processing chamber. After performing a first substrate processing step, the plasma is maintained in the processing chamber and at least one operating parameter is adjusted. The operating parameters may include RF bias to a pedestal, a plasma voltage bias, a gas admixture, a gas flow, a gas pressure, an etch to deposition (E/D) ratio and/or combinations thereof. One or more additional substrate processing steps are performed without an interruption in the plasma between the first substrate processing step and the one or more additional substrate processing steps. | 10-03-2013 |
20130228225 | SEQUENTIAL CASCADING OF REACTION VOLUMES AS A CHEMICAL REUSE STRATEGY - A substrate processing system includes one or more processing chambers defining N reaction volumes. N-1 first valves are arranged between the N reaction volumes. A controller communicates with the N-1 first valves and is configured to pressurize a first one of the N reaction volumes with precursor gas to a first target pressure, wait a first predetermined soak period, evacuate a second one of the N reaction volumes to a second target pressure that is lower than the first target pressure, and open one of the N-1 first valves between the first one of the N reaction volumes and a second one of the N reaction volumes. | 09-05-2013 |
20130210241 | Precursors for Plasma Activated Conformal Film Deposition - A method of depositing a film on a substrate surface includes providing a substrate in a reaction chamber; selecting a silicon-containing reactant from a precursor group consisting of di-tert-butyl diazidosilane, bis(ethylmethylamido)silane, bis(diisopropylamino)silane, bis(tert-butylhydrazido)diethylsilane, tris(dimethylamido) silylazide, tris(dimethylamido)silylamide, ethylsilicon triazide, diisopropylaminosilane, and hexakis(dimethylamido)disilazane; introducing the silicon-containing reactant in vapor phase into the reaction chamber under conditions allowing the silicon-containing reactant to adsorb onto the substrate surface; introducing a second reactant in vapor phase into the reaction chamber while the silicon-containing reactant is adsorbed on the substrate surface, and wherein the second reactant is introduced without first sweeping the silicon-containing reactant out of the reaction chamber; and exposing the substrate surface to plasma to drive a reaction between the silicon-containing reactant and the second reactant on the substrate surface to form the film. | 08-15-2013 |
20130160946 | PURGING OF POROGEN FROM UV CURE CHAMBER - An apparatus for purging a space in a processing chamber comprises a source of a purge gas; an inlet portion of a purge ring; an inlet baffle located in the inlet portion and fluidically connected to the source of purge gas; and an exhaust portion of the purge ring. The inlet portion and the exhaust portion define a ring hole space having a 360° periphery. The inlet baffle preferably surrounds not less than 180° of said periphery. The inlet baffle is operable to convey purge gas into the ring hole space. The exhaust portion is operable to convey purge gas and other matter out of the ring hole space. Cleaning of the purge ring and other structures in a processing chamber is conducted by flowing a cleaning gas through the inlet baffle. Some embodiments include a gas inlet plenum and an exhaust channel but not a purge ring. | 06-27-2013 |
20130092086 | MECHANICAL SUPPRESSION OF PARASITIC PLASMA IN SUBSTRATE PROCESSING CHAMBER - A system for reducing parasitic plasma in a semiconductor process comprises a first surface and a plurality of dielectric layers that are arranged between an electrode and the first surface. The first surface and the electrode have substantially different electrical potentials. The plurality of dielectric layers defines a first gap between the electrode and one of the plurality of dielectric layers, a second gap between adjacent ones of the plurality of dielectric layers, and a third gap between a last one of the plurality of dielectric layers and the first surface. A number of the plurality of dielectric layers and sizes of the first gap, the second gap and the third gap are selected to prevent parasitic plasma between the first surface and the electrode during the semiconductor process. | 04-18-2013 |
20130005140 | SYSTEMS AND METHODS FOR CONTROLLING ETCH SELECTIVITY OF VARIOUS MATERIALS - A method for filling a recessed feature of a substrate includes a) at least partially filling a recessed feature of a substrate with tungsten-containing film using at least one of chemical vapor deposition (CVD) and atomic layer deposition (ALD); b) at a predetermined temperature, using an etchant including activated fluorine species to selectively etch the tungsten-containing film more than an underlying material of the recessed feature without removing all of the tungsten-containing film at a bottom of the recessed feature; and c) filling the recessed feature using at least one of CVD and ALD. | 01-03-2013 |
20130000848 | PEDESTAL WITH EDGE GAS DEFLECTOR FOR EDGE PROFILE CONTROL - A substrate processing system includes a pedestal including a substrate supporting surface having a diameter that is greater than a diameter of a substrate to be processed by the substrate processing system. A first surface extends a first distance above the substrate supporting surface in a direction substantially perpendicular to the substrate supporting surface. The first distance is greater than or equal to one-half of a thickness of the substrate. A gap is defined between the first surface and an outer diameter of the substrate. A second surface extends a second distance from the first surface at an angle with respect to the first surface. The angle is greater than zero and less than ninety degrees. A third surface extends from the second surface and is substantially parallel to the substrate supporting surface. An etchant source directs etchant onto the substrate to etch the substrate. | 01-03-2013 |
20120264051 | PEDESTAL COVERS - Examples of novel semiconductor processing pedestals, and apparatuses including such pedestals, are described. These pedestals are specifically configured to provide uniform heat transfer to semiconductor substrates and to reduce maintenance complexity and/or frequency. Specifically, a pedestal may include a removable cover positioned over a metal platen of the pedestal. The removable cover is configured to maintain a consistent and uniform temperature profile of its substrate-facing surface even though the platen's upper-surface, which supports the cover and is in thermal communication with the cover, may have a much less uniform temperature profile. The cover may be made from certain ceramic materials and shaped as a thin plate. These materials are resistant to the processing environments and maintain their thermal characteristics over many processing cycles. The cover can be easily removed from the platen and replaced with a new one without a need for major disassembly of the entire apparatus. | 10-18-2012 |
20120258261 | INCREASING ETCH SELECTIVITY OF CARBON FILMS WITH LOWER ABSORPTION CO-EFFICIENT AND STRESS - A method for depositing a film includes arranging a substrate in a plasma enhanced chemical vapor deposition chamber. A first ashable hardmask (AHM) layer that is carbon-based is deposited on the substrate. During the depositing of the first AHM layer, doping is performed with at least one dopant selected from a group consisting of silicon, silane, boron, nitrogen, germanium, carbon, ammonia, and carbon dioxide. An atomic percentage of the at least one dopant is greater than or equal to 5% of the first AHM layer. | 10-11-2012 |
20120251271 | SYSTEMS AND METHODS FOR INHIBITING OXIDE GROWTH IN SUBSTRATE HANDLER VACUUM CHAMBERS - A substrate handling robot includes an arm section and a wrist portion connected to the arm section. An end effector is connected to the wrist portion and is configured to support a substrate. A housing is arranged adjacent to the end effector and includes a gas outlet that directs gas onto an exposed surface of the substrate during transport. | 10-04-2012 |
20120231628 | REDUCTION OF A PROCESS VOLUME OF A PROCESSING CHAMBER USING A NESTED DYNAMIC INERT VOLUME - A substrate processing chamber includes a lift actuator that moves a pedestal between a substrate loading position and a substrate processing position. An adjustable seal defines an expandable sealed volume between a bottom surface of the pedestal and a bottom surface of the substrate processing chamber and is moveable between the substrate loading position and the substrate processing position. When the pedestal is in the substrate processing position, the pedestal and the adjustable seal define a first inert volume and a first process volume. When the pedestal is in the substrate loading position, the pedestal and the adjustable seal define a second inert volume and a second process volume. The second inert volume is less than the first inert volume and the second process volume is greater than the first process volume. | 09-13-2012 |
20120129277 | METHODS AND APPARATUSES FOR DETERMINING THICKNESS OF A CONDUCTIVE LAYER - Methods and apparatuses for calibrating eddy current sensors. A calibration curve is formed relating thickness of a conductive layer in a magnetic field to a value measured by the eddy current sensors or a value derived from such measurement, such as argument of impedance. The calibration curve may be an analytic function having infinite number terms, such as trigonometric, hyperbolic, and logarithmic, or a continuous plurality of functions, such as lines. High accuracy allows the omission of optical sensors, and use of eddy current sensors for endpoint detection, transition call detection, and closed loop control in which a process parameter is changed based on the measured magnetic flux density change in one or more processing zones. | 05-24-2012 |
20120123737 | FAULT DETECTION APPARATUSES AND METHODS FOR FAULT DETECTION OF SEMICONDUCTOR PROCESSING TOOLS - Fault detection apparatuses and methods for detecting a processing or hardware performance fault of a semiconductor production tool have been provided. In an exemplary embodiment, a method for detecting a fault of a semiconductor production tool includes sensing a signal associated with a test component of the production tool during operation of the production tool and converting the signal to an electronic test signal. A prerecorded signature signal corresponding to the test component is provided and the test signal and the prerecorded signature signal are compared. | 05-17-2012 |
20120097331 | GAS FLOW DISTRIBUTION RECEPTACLES, PLASMA GENERATOR SYSTEMS, AND METHODS FOR PERFORMING PLASMA STRIPPING PROCESSES - Systems, system components, and methods for plasma stripping are provided. In an embodiment, a gas flow distribution receptacle may have a rounded section that includes an inner surface defining a reception cavity, an outer surface forming an enclosed end, and a centerpoint on the outer surface having a longitudinal axis extending therethrough and through the reception cavity. First and second rings of openings provide flow communication with the plasma chamber. The second ring of openings are disposed between the first ring and the centerpoint, and each opening of the second ring of openings extends between the inner and outer surfaces at a second angle relative to the longitudinal axis that is less than the first angle and has a diameter that is substantially identical to a diameter of an adjacent opening and smaller than the diameters of an opening of the first ring of openings. | 04-26-2012 |
20110256724 | GAS AND LIQUID INJECTION METHODS AND APPARATUS - A liquid injection system for a processing chamber includes a liquid injector that receives a liquid from a liquid supply and that selectively pulses the liquid into a conduit. A control module selects a number of pulses and a pulse width of the liquid injector. A gas supply supplies gas into the conduit. A sensor senses at least one of a first temperature and a first pressure in the conduit and that generates at least one of a first temperature signal and a first pressure signal, respectively. The control module confirms that the selected number of pulses occur based on the at least one of the first temperature signal and the first pressure signal. | 10-20-2011 |
20110233056 | ELECTROPLATING CUP ASSEMBLY - Embodiments of a closed-contact electroplating cup are disclosed. One embodiment comprises a cup bottom comprising an opening, and a seal disposed on the cup bottom around the opening. The seal comprises a wafer-contacting peak located substantially at an inner edge of the seal. The embodiment also comprises an electrical contact structure disposed over a portion of the seal, wherein the electrical contact structure comprises an outer ring and a plurality of contacts extending inwardly from the outer ring, and wherein each contact has a generally flat wafer-contacting surface. The embodiment further comprises a wafer-centering mechanism configured to center a wafer in the cup. | 09-29-2011 |
20110217465 | SHIELDS FOR SUBSTRATE PROCESSING SYSTEMS - A shielding system for a physical vapor deposition (PVD) chamber is disclosed. The PVD chamber includes a pedestal supporting a substrate. The shielding system includes a first annular portion and a second annular portion of a pedestal shield. The first annular portion is attached the pedestal at a first location. The first annular portion is located at or below a plane including the substrate. The second annular portion is attached to the pedestal at a second location that is below the first location. The first annular portion is spaced a predetermined distance from the second annular portion and is electrically isolated from the second annular portion. | 09-08-2011 |
20110181000 | RAPIDLY CLEANABLE ELECTROPLATING CUP SEAL - Embodiments of a closed-contact electroplating cup assembly that may be rapidly cleaned while an electroplating system is on-line are disclosed. One disclosed embodiment comprises a cup assembly and a cone assembly, wherein the cup assembly comprises a cup bottom comprising an opening, a seal surrounding the opening, an electrical contact structure comprising a plurality of electrical contacts disposed around the opening, and an interior cup side that is tapered inwardly in along an axial direction of the cup from a cup top toward the cup bottom. | 07-28-2011 |
20110111136 | PRECURSOR VAPOR GENERATION AND DELIVERY SYSTEM WITH FILTERS AND FILTER MONITORING SYSTEM - A vapor delivery system for supplying vapor to a chamber in a plasma-enhanced chemical vapor deposition (PECVD) system includes a vapor supply that supplies vapor by vaporizing at least one liquid precursor in a carrier gas. A first path includes a first filter that filters the vapor flowing from the vapor supply to the chamber. At least one second path is parallel to the first path and includes a second filter that filters vapor flowing from the vapor supply to the chamber. A plurality of valves are configured to switch delivery of the vapor to the chamber between the first path and the second path. | 05-12-2011 |
20110083965 | Electrolyte Concentration Control System for High Rate Electroplating - An electroplating apparatus for filling recessed features on a semiconductor substrate includes an electrolyte concentrator configured for concentrating an electrolyte having Cu | 04-14-2011 |
20110076390 | METHODS FOR MULTI-STEP COPPER PLATING ON A CONTINUOUS RUTHENIUM FILM IN RECESSED FEATURES - Methods are provided for multi-step Cu metal plating on a continuous Ru metal film in recessed features found in advanced integrated circuits. The use of a continuous Ru metal film prevents formation of undesirable micro-voids during Cu metal filling of high-aspect-ratio recessed features, such as trenches and vias, and enables formation of large Cu metal grains that include a continuous Cu metal layer plated onto the continuous Ru metal film. The large Cu grains lower the electrical resistivity of the Cu filled recessed features and increase the reliability of the integrated circuit. | 03-31-2011 |
20110059608 | METHOD FOR IMPROVING ADHESION OF LOW RESISTIVITY TUNGSTEN/TUNGSTEN NITRIDE LAYERS - Methods of improving the adhesion of low resistivity tungsten/tungsten nitride layers are provided. Low resistivity tungsten/tungsten nitride layers with good adhesion are formed by treating a tungsten or tungsten nitride layer before depositing low resistivity tungsten. Treatments include a plasma treatment and a temperature treatment. According to various embodiments, the treatment methods involve different gaseous atmospheres and plasma conditions. | 03-10-2011 |
20110048924 | PLASMA IGNITION PERFORMANCE FOR LOW PRESSURE PHYSICAL VAPOR DEPOSITION (PVD) PROCESSES - A plasma ignition system includes a first voltage supply that selectively supplies a plasma ignition voltage and a plasma maintenance voltage across an adapter ring and a cathode target of a physical vapor deposition (PVD) system. A second voltage supply selectively supplies a second voltage across the adapter ring and an anode ring of the PVD system. A plasma ignition control module ignites plasma using the plasma ignition voltage and the auxiliary plasma ignition voltage and, after the plasma ignites, supplies the plasma maintenance voltage and ceases supplying the plasma ignition voltage and the auxiliary plasma ignition voltage. | 03-03-2011 |
20110025338 | Monitoring of electroplating additives - The working electrode in the flow channel of a flow-through electrolytic detection cell is preconditioned by flowing a preconditioning electroplating solution with preconditioner species through the flow channel while applying a negative potential. Flow of liquid through the flow channel is rapidly switched from preconditioning solution to a target solution containing an organic target solute to be measured. The transient response of the system resulting from exposure of the working electrode to organic target solute is detected by measuring current density during an initial transient time period. An unknown concentration of target solute is determined by comparing the transient response with one or more transient responses characteristic of known concentrations. A preferred measuring system is operable to switch flow from preconditioning solution to target solution in about 200 milliseconds or less. | 02-03-2011 |
20110017139 | System for Depositing a Film by Modulated Ion-Induced Atomic Layer Deposition (MII-ALD) - The present invention relates to an enhanced sequential atomic layer deposition (ALD) technique suitable for deposition of barrier layers, adhesion layers, seed layers, low dielectric constant (low-k) films, high dielectric constant (high-k) films, and other conductive, semi-conductive, and non-conductive films. This is accomplished by 1) providing a non-thermal or non-pyrolytic means of triggering the deposition reaction; 2) providing a means of depositing a purer film of higher density at lower temperatures; and, 3) providing a faster and more efficient means of modulating the deposition sequence and hence the overall process rate resulting in an improved deposition method. | 01-27-2011 |
20100317198 | REMOTE PLASMA PROCESSING OF INTERFACE SURFACES - Embodiments related to the cleaning of interface surfaces in a semiconductor wafer fabrication process via remote plasma processing are disclosed herein. For example, in one disclosed embodiment, a semiconductor processing apparatus comprises a processing chamber, a load lock coupled to the processing chamber via a transfer port, a wafer pedestal disposed in the load lock and configured to support a wafer in the load lock, and a remote plasma source configured to provide a remote plasma to the load lock. | 12-16-2010 |
20100317197 | Heat Shield for Heater in Semiconductor Processing Apparatus - A heat shield employed in semiconductor processing apparatus comprises a high performance insulation that has low thermal conductivity, such as, below the thermal conductivity of still air over a wide range of temperatures utilized in operation of the apparatus. As an example, the thermal conductivity of the insulation may be in the range of about 0.004 W/m·h to about 0.4 W/m·h over a temperature range of about 0° C. to about 600° C. or more. The deployment of the high performance heat shield reduces the power consumption necessary for the heater by as much as 20% to reach a desired processing temperature as compared to a case of heater power consumption required to reach the same desired temperature without the shield. Further, the heat shield significantly reduces the amount of undesired depositions from gas-entrained constituents on components in the chamber of the apparatus, particularly below or beyond the heat shield, by as much as 90% since the temperature drop is as much as ten orders of magnitude difference. | 12-16-2010 |
20100317178 | REMOTE PLASMA PROCESSING OF INTERFACE SURFACES - Embodiments related to the cleaning of interface surfaces in a semiconductor wafer fabrication process via remote plasma processing are disclosed herein. For example, in one disclosed embodiment, a semiconductor processing apparatus comprises a processing chamber, a load lock coupled to the processing chamber via a transfer port, a wafer pedestal disposed in the load lock and configured to support a wafer in the load lock, a remote plasma source configured to provide a remote plasma to the load lock, and an ion filter disposed between the remote plasma source and the wafer pedestal. | 12-16-2010 |
20100278623 | MAGNETIC ROTATIONAL HARDSTOP FOR ROBOT - Rotational hardstop assemblies that provide greater than 360 degrees of non-continuous rotation for rotating mechanisms are provided. In certain embodiments, an assembly is used to provide 630 or more degrees of rotation for the shoulder axis of a robot, such as a wafer transfer robot. The rotational hardstop assemblies include opposing magnets as springs. According to various embodiments, the opposing magnets provide non-contact engagement and produce no contact noise nor have any wear over time. The rotational hardstop assemblies provide the ability to location from either direction of rotation of a robot cylindrical coordinate system. | 11-04-2010 |
20100273327 | METHOD FOR IMPROVING UNIFORMITY AND ADHESION OF LOW RESISTIVITY TUNGSTEN FILM - Methods of improving the uniformity and adhesion of low resistivity tungsten films are provided. Low resistivity tungsten films are formed by exposing the tungsten nucleation layer to a reducing agent in a series of pulses before depositing the tungsten bulk layer. According to various embodiments, the methods involve reducing agent pulses with different flow rates, different pulse times and different interval times. | 10-28-2010 |
20100224501 | PLATING METHODS FOR LOW ASPECT RATIO CAVITIES - The present invention relates to methods and apparatus for plating a conductive material on a workpiece surface in a highly desirable manner. Using a workpiece-surface-influencing device, such as a mask or sweeper, that preferentially contacts the top surface of the workpiece, relative movement between the workpiece and the workpiece-surface-influencing device is established so that an additive in the electrolyte solution disposed on the workpiece and which is adsorbed onto the top surface is removed or otherwise its amount or concentration changed with respect to the additive on the cavity surface of the workpiece. Plating of the conductive material can place prior to, during and after usage of the workpiece-surface-influencing device, particularly after the workpiece surface influencing device no longer contacts any portion of the top surface of the workpiece, to achieve desirable semiconductor structures. | 09-09-2010 |
20100219920 | MAGNETICALLY ACTUATED CHUCK FOR EDGE BEVEL REMOVAL - Provided are magnetically actuated wafer chucks that permit a wafer to be clamped or undamped at any time during a process and at any rotational speed, as desired. Such wafer chucks may include constraining members that are movable between open and closed positions. In a closed position, a constraining member aligns the wafer after wafer handoff and/or clamps the wafer during rotation to prevent it from flying off the chuck. In an open position, the constraining member moves away from the wafer to allow liquid etchant to flow from the wafer edge without obstruction. The constraining members may be, for example, cams, attached to arms or links of the chuck. The cams or other constraining members move between open and closed positions by self-balancing forces including a first force, such as a spring force, that acts to move a cam in a first direction, and a non-contact actuate-able force, such as a magnetic force, that acts to move the cam in the opposite direction. The resulting cam motion is smooth and continuous. | 09-02-2010 |
20100173074 | METHOD AND APPARATUS FOR MODULATION OF PRECURSOR EXPOSURE DURING A PULSED DEPOSITION PROCESS - A method of depositing material on a substrate comprises providing a reactor with a reaction chamber having a first volume, and contacting a surface of a substrate in the reaction chamber with a first precursor at the first chamber volume to react with and deposit a first layer on the substrate. The method further includes enlarging the reaction chamber to a second, larger volume and removing undeposited first precursor and any excess reaction product to end reaction of the first precursor with the substrate. | 07-08-2010 |
20100159694 | METHOD FOR DEPOSITING THIN TUNGSTEN FILM WITH LOW RESISTIVITY AND ROBUST MICRO-ADHESION CHARACTERISTICS - Methods of forming low resistivity tungsten films with good uniformity and good adhesion to the underlying layer are provided. The methods involve forming a tungsten nucleation layer using a pulsed nucleation layer process at low temperature and then treating the deposited nucleation layer prior to depositing the bulk tungsten fill. The treatment operation lowers resistivity of the deposited tungsten film. In certain embodiments, the depositing the nucleation layer involves a boron-based chemistry in the absence of hydrogen. Also in certain embodiments, the treatment operations involve exposing the nucleation layer to alternating cycles of a reducing agent and a tungsten-containing precursor. The methods are useful for depositing films in high aspect ratio and/or narrow features. The films exhibit low resistivity at narrow line widths and excellent step coverage. | 06-24-2010 |
20100151691 | METHOD FOR IMPROVED THICKNESS REPEATABILITY OF PECVD DEPOSITED CARBON FILMS - Provided herein are improved methods of depositing carbon-based films using acetylene as a precursor. The methods involve using a low-vapor pressure solvent, e.g., dimethylfluoride (DMF) to stabilize the acetylene and delivering the acetylene to a deposition chamber. The methods provide improved wafer-to-wafer thickness uniformity and increase the usable amount of acetylene in an acetylene source to over 95%. | 06-17-2010 |
20100147679 | Electroplating Apparatus with Vented Electrolyte Manifold - Embodiments related to increasing a uniformity of an electroplated film are disclosed. For example, one disclosed embodiment provides an electroplating apparatus comprising a plating chamber, a work piece holder, a cathode contact configured to electrically contact a work piece, and an anode contact configured to electrically contact an anode disposed in the plating chamber. A diffusing barrier is disposed between the cathode contact and the anode contact to provide a uniform electrolyte flow to the work piece, and electrolyte delivery and return paths are provided for delivering electrolyte to and away from the plating chamber. Additionally, a vented electrolyte manifold is disposed in the electrolyte delivery path immediately upstream of the plating chamber, the vented electrolyte manifold comprising one or more electrolyte delivery openings that open to the plating chamber and one or more vents that open to a location other than the plating chamber. | 06-17-2010 |
20100144140 | METHODS FOR DEPOSITING TUNGSTEN FILMS HAVING LOW RESISTIVITY FOR GAPFILL APPLICATIONS - Methods of filling gaps or recessed features on substrates are provided. According to various embodiments, the methods involve bulk deposition of tungsten to partially fill the feature followed by a removing a top portion of the deposited tungsten. In particular embodiments, the top portion is removed by exposing the substrate to activated fluorine species. By selectively removing sharp and protruding peaks of the deposited tungsten grains, the removal operation polishes the tungsten along the feature sidewall. Multiple deposition-removal cycles can be used to close the feature. The filled feature is less prone to coring during CMP. | 06-10-2010 |
20100120335 | Partial Contact Wafer Retaining Ring Apparatus - The partial contact wafer retaining ring apparatus is disclosed. For example, one disclosed embodiment provides a wafer retaining ring comprising a ring for retaining the wafer, the ring having an inner diameter surface configured to restrict lateral wafer motion, and at least one interface surface configured to interface with a polishing surface. The interface surface comprises a recessed section adjacent to the ring inner diameter, configured to preclude contact between the recessed section and the polishing surface. | 05-13-2010 |
20100116672 | METHOD AND APPARATUS FOR ELECTROPLATING - An apparatus for electroplating a layer of metal onto the surface of a wafer includes an ionically resistive ionically permeable element located in close proximity of the wafer and an auxiliary cathode located between the anode and the ionically resistive ionically permeable element. The ionically resistive ionically permeable element serves to modulate ionic current at the wafer surface. The auxiliary cathode is configured to shape the current distribution from the anode. The provided configuration effectively redistributes ionic current in the plating system allowing plating of uniform metal layers and mitigating the terminal effect. | 05-13-2010 |
20100099271 | METHOD FOR IMPROVING PROCESS CONTROL AND FILM CONFORMALITY OF PECVD FILM - A method for forming a silicon-based dielectric film on a substrate with a single deposition process operation using pulsed plasma enhanced chemical vapor deposition (PECVD) wherein the high frequency radio frequency power of the plasma is pulsed, allows enhanced control, efficiency and product quality of the PECVD process. Pulsing the high frequency RF power of the plasma reduces the deposited film thickness per unit time the high frequency RF power of the plasma is on. This yields silicon-based dielectric films that are both thin and conformal. | 04-22-2010 |
20100055904 | METHOD FOR REDUCING TUNGSTEN ROUGHNESS AND IMPROVING REFLECTIVITY - Methods of producing low resistivity tungsten bulk layers having lower roughness and higher reflectivity are provided. The smooth and highly reflective tungsten layers are easier to photopattern than conventional low resistivity tungsten films. The methods involve CVD deposition of tungsten in the presence of alternating nitrogen gas pulses, such that alternating portions of the film are deposited by CVD in the absence of nitrogen and in the presence of nitrogen. According to various embodiments, between 20-90% of the total film thickness is deposited by CVD in the presence of nitrogen. | 03-04-2010 |
20100055342 | MODULATED ION-INDUCED ATOMIC LAYER DEPOSITION (MII-ALD) - The present invention relates to a cyclic deposition process suitable for depositing an elemental film. The process employs an enhanced atomic layer deposition technique. | 03-04-2010 |
20100044236 | METHOD AND APPARATUS FOR ELECTROPLATING - An apparatus for electroplating a layer of metal onto the surface of a wafer includes an ionically resistive ionically permeable element located in close proximity of the wafer and an auxiliary cathode located between the anode and the ionically resistive ionically permeable element. The ionically resistive ionically permeable element serves to modulate ionic current at the wafer surface. The auxiliary cathode is configured to shape the current distribution from the anode. The provided configuration effectively redistributes ionic current in the plating system allowing plating of uniform metal layers and mitigating the terminal effect. | 02-25-2010 |
20100035427 | METHODS FOR GROWING LOW-RESISTIVITY TUNGSTEN FILM - Improved methods for depositing low resistivity tungsten films are provided. The methods involve depositing a tungsten nucleation layer on a substrate and then depositing a tungsten bulk layer over the tungsten nucleation layer to form the tungsten film. The methods provide precise control of the nucleation layer thickness and improved step coverage. According to various embodiments, the methods involve controlling thickness and/or improving step coverage by exposing the substrate to pulse nucleation layer (PNL) cycles at low temperature. Also in some embodiments, the methods may improve resistivity by using a high temperature PNL cycle of a boron-containing species and a tungsten-containing precursor to finish forming the tungsten nucleation layer. | 02-11-2010 |
20100032304 | High Resistance Ionic Current Source - A substantially uniform layer of a metal is electroplated onto a work piece having a seed layer thereon. This is accomplished by employing a “high resistance ionic current source,” which solves the terminal problem by placing a highly resistive membrane (e.g., a microporous ceramic or fretted glass element) in close proximity to the wafer, thereby swamping the system's resistance. The membrane thereby approximates a constant current source. By keeping the wafer close to the membrane surface, the ionic resistance from the top of the membrane to the surface is much less than the ionic path resistance to the wafer edge, substantially compensating for the sheet resistance in the thin metal film and directing additional current over the center and middle of the wafer. | 02-11-2010 |
20100029088 | Modulated metal removal using localized wet etching - An apparatus for wet etching metal from a semiconductor wafer comprises a wafer holder for rotating a wafer and a plurality of nozzles for applying separate flow patterns of etching liquid to the surface of the wafer. The flow patterns impact the wafer in distinct band-like impact zones. The flow pattern of etching liquid from at least one nozzle is modulated during a total etching time control the cumulative etching rate in one local etch region relative to the cumulative etching rate in one or more other local etch regions. Some embodiments include a lower etch chamber and an upper rinse chamber separated by a horizontal splash shield. Some embodiments include a retractable vertical splash shield used to prevent splashing of etching liquid onto the inside walls of a treatment container. An etch-liquid delivery system includes a plurality of nozzle flow paths having corresponding nozzle flow resistances, and a plurality of drain flow paths having corresponding drain flow resistances. Nozzle flow resistances and drain flow resistances are matched so that switching the flow from a nozzle to a corresponding drain flow path does not change the flow rate of etching liquid through other nozzles. A non-wafer-contacting measuring device measures a metal thickness on a rotating semiconductor wafer during metal wet etching by immersing a plurality of electrodes in etching liquid in close proximity to the wafer surface of the rotating wafer and determining electrical resistance between a plurality of electrodes. | 02-04-2010 |
20100015805 | Wet Etching Methods for Copper Removal and Planarization in Semiconductor Processing - Exposed copper regions on a semiconductor substrate can be etched by a wet etching solution comprising (i) one or more complexing agents selected from the group consisting of bidentate, tridentate, and quadridentate complexing agents; and (ii) an oxidizer, at a pH of between about 5 and 12. In many embodiments, the etching is substantially isotropic and occurs without visible formation of insoluble species on the surface of copper. The etching is useful in a number of processes in semiconductor fabrication, including for partial or complete removal of copper overburden, for planarization of copper surfaces, and for forming recesses in copper-filled damascene features. Examples of suitable etching solutions include solutions comprising a diamine (e.g., ethylenediamine) and/or a triamine (e.g., diethylenetriamine) as bidentate and tridentate complexing agents respectively and hydrogen peroxide as an oxidizer. In some embodiments, the etching solutions further include pH adjustors, such as sulfuric acid, aminoacids, and carboxylic acids. | 01-21-2010 |
20100009533 | Conformal Films on Semiconductor Substrates - A layer of diffusion barrier or seed material is deposited on a semiconductor substrate having a recessed feature. The method may include a series of new deposition cycles, for example, a first net deposition cycle and a second net deposition cycle. The first net deposition cycle includes depositing a first deposited amount of the diffusion barrier or seed material and etching a first etched amount of the diffusion barrier or seed material. The second net deposition cycle including depositing a second deposited amount of the diffusion barrier or seed material and etching a second etched amount of the diffusion barrier or seed material. At least one of the process parameters of the first cycle differs from that of the second allows providing a graded deposition effects to reduce a risk of damaging any under layers and dielectric. A deposited layer of diffusion barrier or seed material is generally more conformal. | 01-14-2010 |
20100008016 | ELECTROSTATIC CHUCK ASSEMBLY WITH CAPACITIVE SENSE FEATURE, AND RELATED OPERATING METHOD - A semiconductor workpiece processing system for treating a workpiece, such as a semiconductor wafer, is provided. A related operating control method is also provided. The system includes an electrostatic chuck configured to receive a workpiece, and a clamping voltage power supply coupled to the electrostatic chuck. The electrostatic chuck has a clamping electrode assembly, and the clamping voltage power supply is coupled to the clamping electrode assembly. The clamping voltage power supply includes a direct current (DC) voltage generator configured to generate a DC clamping voltage for the clamping electrode assembly, an alternating current (AC) voltage generator configured to generate an AC excitation signal for the clamping electrode assembly, and a processing architecture coupled to the clamping electrode assembly. The processing architecture is configured to analyze attributes of a workpiece presence signal obtained in response to the AC excitation signal, and, based on the attributes, verify proper/improper positioning of the workpiece relative to the electrostatic chuck. | 01-14-2010 |
20090291207 | GAS-PURGED VACUUM VALVE - A vacuum valve assembly for use in a vacuum processing chamber includes a seat defining an opening in the vacuum valve, with the seat having a sealing face adjacent the opening and normal to the direction of the opening; and a gate having a sealing face adapted to mate with the seat sealing face, the gate being movable toward and away from the seat sealing face to seal and open the vacuum valve opening. A continuous elastomeric seal extends around the vacuum valve opening between the gate sealing face and the seat sealing face of sufficient size such that when the gate is positioned to seal the vacuum valve opening, there exists a gap between the gate sealing face and the seat sealing face. A purge gas port system, disposed in the seat or in the gate, has an inlet for a purge gas, an essentially continuous outlet extending around the vacuum valve opening and adjacent the elastomeric seal and gap, and a manifold system connecting the inlet and the outlet. When a purge gas is introduced through the inlet, the manifold distributes the gas to the outlet which evenly distributes the gas to the vicinity of the continuous elastomeric seal around the vacuum valve opening in the gap between the gate sealing face and the seat sealing face. | 11-26-2009 |
20090286381 | Protective Layer To Enable Damage Free Gap Fill - In-situ semiconductor process that can fill high aspect ratio (typically at least 6:1, for example 7:1 or higher), narrow width (typically sub 0.13 micron, for example 0.1 micron or less) gaps without damaging underlying features and little or no incidence of voids or weak spots is provided. A protective layer is deposited to protect underlying features in regions of the substrate having lower feature density so that unwanted material may be removed from regions of the substrate having higher feature density. This protective layer may deposits thicker on a low density feature than on a high density feature and may be deposited using a PECVD process or low sputter/deposition ratio HDP CVD process. This protective layer may also be a metallic oxide layer that is resistant to fluorine etching, such as zirconium oxide (ZrO | 11-19-2009 |
20090283037 | Edge Profiling For Process Chamber Shields - Process chamber shields having specially profiled edges exhibit increased lifetime in PVD and CVD deposition chambers. Edge profiling reduces flaking and delamination of materials deposited onto the shields, thereby prolonging shield life, and, consequently, reducing costs associated with deposition. In one embodiment, a shield having an edge portion terminating in a rounded tip, where the tip has high curvature and a small thickness, is provided. In another aspect, a shield having a concave portion connecting with an edge portion, where an upper (inner) surface of the edge portion forms a tangent plane to the upper (inner) concave surface of the concave surface, is provided. In yet another aspect, a shield with a tapered edge portion is provided. Shields, having profiled edges in accordance with these aspects and in accordance with combinations of these aspects, can better support deposited films, particularly films containing compressively stressed materials, such as metal nitrides. | 11-19-2009 |
20090280649 | Topography reduction and control by selective accelerator removal - Plating accelerator is applied selectively to a substantially-unfilled wide (e.g., low-aspect-ratio feature cavity. Then, plating of metal is conducted to fill the wide feature cavity and to form an embossed structure in which the height of a wide-feature metal protrusion over the metal-filled wide-feature cavity is higher than the height of metal over field regions. Most of the overburden metal is removed using non-contact techniques, such as chemical wet etching. Metal above the wide feature cavity protects the metal-filled wide-feature interconnect against dishing, and improved planarization techniques avoid erosion of the metal interconnect and dielectric insulating layer. In some embodiments, plating of metal onto a substrate is conducted to fill narrow (e.g., high-aspect-ratio feature cavities) in the dielectric layer before selective application of plating accelerator and filling of the wide feature cavity. | 11-12-2009 |
20090280243 | Photoresist-free metal deposition - Selectively accelerated or selectively inhibited metal deposition is performed to form metal structures of an electronic device. A desired pattern of an accelerator or of an inhibitor is applied to the substrate; for example, by stamping the substrate with a patterned stamp or spraying a solution using an inkjet printer. In other embodiments, a global layer of accelerator or inhibitor is applied to a substrate and selectively modified in a desired pattern. Thereafter, selective metal deposition is performed. | 11-12-2009 |
20090277867 | Topography reduction and control by selective accelerator removal - Plating accelerator is applied selectively to a substantially-unfilled wide (e.g., low-aspect-ratio feature cavity. Then, plating of metal is conducted to fill the wide feature cavity and to form an embossed structure in which the height of a wide-feature metal protrusion over the metal-filled wide-feature cavity is higher than the height of metal over field regions. Most of the overburden metal is removed using non-contact techniques, such as chemical wet etching. Metal above the wide feature cavity protects the metal-filled wide-feature interconnect against dishing, and improved planarization techniques avoid erosion of the metal interconnect and dielectric insulating layer. In some embodiments, plating of metal onto a substrate is conducted to fill narrow (e.g., high-aspect-ratio feature cavities) in the dielectric layer before selective application of plating accelerator and filling of the wide feature cavity. | 11-12-2009 |
20090277802 | Pad-assisted electropolishing - Pad-assisted electropolishing of the substrate is conducted by performing anodic dissolution of metal at a first portion of the substrate and simultaneously mechanically buffing a second portion of the substrate with a buffing pad. Anodic dissolution includes forming a thin liquid layer of electropolishing liquid between the anodic substrate and a cathodic electropolishing head. The location of electrical contacts between the substrate and power supply allow peripheral edge regions of the substrate to be mechanically buffed with the pad. Preferably, a substrate is further planararized using an isotropic material-removal technique. An apparatus includes an electropolishing head that is movable to a position proximate to a first portion of a substrate to form a thin gap, and a buffing pad that mechanically buffs a second portion of the substrate using minimal pressure. | 11-12-2009 |
20090277801 | Photoresist-free metal deposition - Selectively accelerated or selectively inhibited metal deposition is performed to form metal structures of an electronic device. A desired pattern of an accelerator or of an inhibitor is applied to the substrate; for example, by stamping the substrate with a patterned stamp or spraying a solution using an inkjet printer. In other embodiments, a global layer of accelerator or inhibitor is applied to a substrate and selectively modified in a desired pattern. Thereafter, selective metal deposition is performed. | 11-12-2009 |
20090277472 | Photoresist Stripping Method and Apparatus - The present invention pertains to methods for removing unwanted material from a work piece. More specifically, the invention pertains to stripping photoresist material from, e.g., a semiconductor wafer during semiconductor manufacturing. Methods involve implementing a pedestal for supporting a wafer, which pedestal has a low emissivity surface to reduce heat transfer by radiation. | 11-12-2009 |
20090266707 | Pad-assisted electropolishing - Pad-assisted electropolishing of the substrate is conducted by performing anodic dissolution of metal at a first portion of the substrate and simultaneously mechanically buffing a second portion of the substrate with a buffing pad. Anodic dissolution includes forming a thin liquid layer of electropolishing liquid between the anodic substrate and a cathodic electropolishing head. The location of electrical contacts between the substrate and power supply allow peripheral edge regions of the substrate to be mechanically buffed with the pad. Preferably, a substrate is further planararized using an isotropic material-removal technique. An apparatus includes an electropolishing head that is movable to a position proximate to a first portion of a substrate to form a thin gap, and a buffing pad that mechanically buffs a second portion of the substrate using minimal pressure. | 10-29-2009 |
20090263918 | METHODS AND APPARATUSES FOR DETERMINING THICKNESS OF A CONDUCTIVE LAYER - Methods and apparatuses are provided for calibrating eddy current sensors. A calibration curve is formed relating thickness of a conductive layer in a magnetic field to a value measured by the eddy current sensors or a value derived from such measurement, such as argument of impedance. The calibration curve may be an analytic function having infinite number terms, such as trigonometric, hyperbolic, and logarithmic, or a continuous plurality of functions, such as lines. Such curves can reduce the number of wafers used in the calibration of the sensors while providing higher accuracy over a larger thickness range. High accuracy allows the omission of optical sensors, and use of eddy current sensors for endpoint detection, transition call detection, and closed loop control in which a process parameter is changed based on the measured magnetic flux density change in one or more processing zones. | 10-22-2009 |
20090250334 | PLASMA GENERATOR SYSTEMS AND METHODS OF FORMING PLASMA - Systems and methods of forming plasma are provided. In an embodiment, a plasma generator system is provided including a container, a single coil disposed around the container, the single coil being a single member and having a first end, a second end, a first winding, and a second winding, wherein the first winding extends from the first end, and the second winding is integrally formed as part of the first winding and extends to the second end, an energy source electrically coupled directly to the first end of the single member, and a capacitor electrically coupled directly to the second end of the single member. | 10-08-2009 |
20090239390 | METHODS FOR PRODUCING LOW STRESS POROUS AND CDO LOW-K DIELECTRIC MATERIALS USING PRECURSORS WITH ORGANIC FUNCTIONAL GROUPS - Methods of preparing a carbon doped oxide (CDO) layers having a low dielectric constant are provided. The methods involve, for instance, providing a substrate to a deposition chamber and exposing it to one or multiple carbon-doped oxide precursors having molecules with at least one carbon-carbon triple bond, or carbon-carbon double bond, or a combination of these groups and depositing the carbon doped oxide dielectric layer under conditions in which the resulting dielectric layer has a dielectric constant of not greater than about 2.7. Methods of preparing a low stress porous low-k dielectric material on a substrate are provided. The methods involve the use of a structure former precursor and/or porogen precursor with one or more organic functional groups. In some cases, the structure former precursor has carbon-carbon double or triple bonds. In other cases, one or both of the structure former precursor and porogen precursor has one or more bulky organic groups. In other cases, the structure former precursor has carbon-carbon double or triple bonds and one or both of the structure former precursor and porogen precursor has one or more bulky organic groups. Once the precursor film is formed, the porogen is removed, leaving a porous low-k dielectric matrix with high mechanical strength. Different types of structure former precursors and porogen precursors are described. The resulting low stress low-k porous film may be used as a low-k dielectric film in integrated circuit manufacturing applications. | 09-24-2009 |
20090236313 | GAS FLOW DISTRIBUTION RECEPTACLES, PLASMA GENERATOR SYSTEMS, AND METHODS FOR PERFORMING PLASMA STRIPPING PROCESSES - Systems, system components, and methods for plasma stripping are provided. In an embodiment, a gas flow distribution receptacle may have a rounded section that includes an inner surface defining a reception cavity, an outer surface forming an enclosed end, and a centerpoint on the outer surface having a longitudinal axis extending therethrough and through the reception cavity. First and second rings of openings provide flow communication with the plasma chamber. The second ring of openings are disposed between the first ring and the centerpoint, and each opening of the second ring of openings extends between the inner and outer surfaces at a second angle relative to the longitudinal axis that is less than the first angle and has a diameter that is substantially identical to a diameter of an adjacent opening and smaller than the diameters of an opening of the first ring of openings. | 09-24-2009 |
20090211900 | Convenient Replacement of Anode in Semiconductor Electroplating Apparatus - The convenient replacement of an anode in a semiconductor electroplating apparatus is disclosed. For example, in one disclosed embodiment, an electroplating system comprises an electroplating cell having an anode chamber, a cathode chamber, a selective transport barrier separating the anode chamber and the cathode chamber, and an anode disposed within the anode chamber. The anode comprises a plurality of pieces of anode material disposed within a removable anode holder. | 08-27-2009 |
20090163025 | METHODS FOR FORMING ALL TUNGSTEN CONTACTS AND LINES - Novel low-resistivity tungsten film stack schemes and methods for depositing them are provided. The film stacks include a mixed tungsten/tungsten-containing compound (e.g., WC) layer as a base for deposition of tungsten nucleation and/or bulk layers. According to various embodiments, these tungsten rich layers may be used as barrier and/or adhesion layers in tungsten contact metallization and bitlines. Deposition of the tungsten-rich layers involves exposing the substrate to a halogen-free organometallic tungsten precursor. The mixed tungsten/tungsten carbide layer is a thin, low resistivity film with excellent adhesion and a good base for subsequent tungsten plug or line formation. | 06-25-2009 |
20090153144 | FAULT DETECTION APPARATUSES AND METHODS FOR FAULT DETECTION OF SEMICONDUCTOR PROCESSING TOOLS - Fault detection apparatuses and methods for detecting a processing or hardware performance fault of a semiconductor production tool have been provided. In an exemplary embodiment, a method for detecting a fault of a semiconductor production tool comprises sensing a signal associated with a test component of the production tool during operation of the production tool and converting the signal to an electronic test signal. A prerecorded signature signal corresponding to the test component is provided and the test signal and the prerecorded signature signal are compared. | 06-18-2009 |
20090149022 | METHOD FOR IMPROVING UNIFORMITY AND ADHESION OF LOW RESISTIVITY TUNGSTEN FILM - Methods of improving the uniformity and adhesion of low resistivity tungsten films are provided. Low resistivity tungsten films are formed by exposing the tungsten nucleation layer to a reducing agent in a series of pulses before depositing the tungsten bulk layer. According to various embodiments, the methods involve reducing agent pulses with different flow rates, different pulse times and different interval times. | 06-11-2009 |
20090132062 | APPARATUS AND METHODS FOR PRECOMPILING PROGRAM SEQUENCES FOR WAFER PROCESSING - Disclosed are apparatus and methods for embodiments for efficiently and flexibly controlling hardware devices in a semiconductor processing system are provided for use in a distributed control arrangement. In general, the distributed arrangement includes at least one upper-level controller that is configurable with a computer program sequence of instructions for controlling one or more hardware devices of a processing tool. The hardware devices are controlled through one or more lower-level controllers. Prior to execution of the program sequence of the upper-level controller, at least one instruction of this program is pre-compiled so as to translate the instruction for execution by a selected lower-level controller and to add an at least one interlock check to such pre-compiled instruction and make the translated instruction accessible to at least one lower-level controller. The interlock check specifies one or more condition(s) for the selected lower-level controller to execute the pre-compiled instruction. Any number of instructions of the upper-level controller may be translated for use by any number of selected lower-level controllers, where some of the translated instructions include one or more interlock checks. | 05-21-2009 |
20090118862 | METHOD AND APPARATUS FOR TEACHING A WORKPIECE TRANSFER ROBOT - A method is provided for teaching a transfer robot used in conjunction with a workpiece processing system including a pedestal assembly, a light sensor having an optical input fixedly coupled to the pedestal assembly, a transfer robot having an end effector, and a processing chamber containing the pedestal assembly and light sensor. The method includes the steps of producing light within the processing chamber, moving the end effector over the optical input such that amount of light reaching the light sensor varies in relation to the position of the end effector, and recording the signal gain as the end effector is moved over the optical input. The method also includes the step of establishing from the recorded signal gain a desired position of the end effector relative to the pedestal assembly. | 05-07-2009 |
20090107836 | Closed Contact Electroplating Cup Assembly - Embodiments of a closed-contact electroplating cup are disclosed. One embodiment comprises a cup bottom comprising an opening, and a seal disposed on the cup bottom around the opening. The seal comprises a wafer-contacting peak located substantially at an inner edge of the seal. The embodiment also comprises an electrical contact structure disposed over a portion of the seal, wherein the electrical contact structure comprises an outer ring and a plurality of contacts extending inwardly from the outer ring, and wherein each contact has a generally flat wafer-contacting surface. The embodiment further comprises a wafer-centering mechanism configured to center a wafer in the cup. | 04-30-2009 |
20090107835 | Rapidly Cleanable Electroplating Cup Assembly - Embodiments of a closed-contact electroplating cup assembly that may be rapidly cleaned while an electroplating system is on-line are disclosed. One disclosed embodiment comprises a cup assembly and a cone assembly, wherein the cup assembly comprises a cup bottom comprising an opening, a seal surrounding the opening, an electrical contact structure comprising a plurality of electrical contacts disposed around the opening, and an interior cup side that is tapered inwardly in along an axial direction of the cup from a cup top toward the cup bottom. | 04-30-2009 |
20090095220 | TEMPERATURE CONTROLLED SHOWERHEAD - A temperature controlled showerhead for chemical vapor deposition (CVD) chambers enhances heat dissipation to enable accurate temperature control with an electric heater. Heat dissipates by conduction through a showerhead stem and fluid passageway and radiation from a back plate. A temperature control system includes one or more temperature controlled showerheads in a CVD chamber with fluid passageways serially connected to a heat exchanger. | 04-16-2009 |
20090081932 | CHEMICAL MECHANICAL POLISHING ASSEMBLY WITH ALTERED POLISHING PAD TOPOGRAPHICAL COMPONENTS - A chemical-mechanical polishing apparatus is provided that creates a uniform kinematical pattern on the surface of a wafer being polished. The apparatus may have a polishing pad comprising a polishing pad surface having a center point that lies within an axis of motion for the polishing pad and a plurality of grooves entrenched in the polishing pad surface and defining a pattern of shapes. The pattern has an axis of symmetry that is offset from the polishing pad surface center point. The apparatus may be operated in a manner such that the kinematics of the CMP process are uniform across the surface of the wafer. | 03-26-2009 |
20090053901 | HIGH DOSE IMPLANTATION STRIP (HDIS) IN H2 BASE CHEMISTRY - Plasma is generated using elemental hydrogen, a weak oxidizing agent, and a fluorine containing gas. An inert gas is introduced to the plasma downstream of the plasma source and upstream of a showerhead that directs gas mixture into the reaction chamber where the mixture reacts with the high-dose implant resist. The process removes both the crust and bulk resist layers at a high strip rate, and leaves the work piece surface substantially residue free with low silicon loss. | 02-26-2009 |
20080318495 | CMP APPARATUSES WITH POLISHING ASSEMBLIES THAT PROVIDE FOR THE PASSIVE REMOVAL OF SLURRY - Chemical mechanical planarization apparatuses with polishing assemblies that provide for the passive removal of slurry are provided. In accordance with an embodiment, a work piece polishing assembly comprises a polishing pad comprising a polishing surface and an exhaust aperture that extends through the polishing pad from the polishing surface and is configured to receive a slurry from the polishing surface. An underlying member is disposed underlying the polishing pad and comprises a peripheral surface. The underlying member comprises a channel that is in fluid communication with the aperture and that opens at the peripheral surface of the underlying member. | 12-25-2008 |
20080305717 | PLATEN ASSEMBLY AND WORK PIECE CARRIER HEAD EMPLOYING FLEXIBLE CIRCUIT SENSOR - A platen assembly is provided for supporting a polish pad of the type utilized to planarize a wafer. The platen assembly comprises a sensor system and a polish platen having a first surface for supporting the polish pad. The sensor system comprises a flexible sensor and a flexible circuit operatively coupled to the sensor controller. The flexible circuit includes a first flexible sensor disposed proximate the first surface. | 12-11-2008 |
20080286918 | Methods for Fabricating Semiconductor Structures With Backside Stress Layers - Methods for fabricating semiconductor structures with backside stress layers are provided. In one exemplary embodiment, the method comprises the steps of providing a semiconductor device formed on and within a front surface of a semiconductor substrate. The semiconductor device comprises a channel region. A plurality of dielectric layers is formed overlying the semiconductor device. The plurality of dielectric layers comprises conductive connections that are in electrical communication with the semiconductor device. A backside stress layer is formed on a back surface of the semiconductor substrate. The backside stress layer is configured to apply to the channel region of the semiconductor device a uniaxial compressive or tensile stress that, with stresses applied by the plurality of dielectric layers, results in an overall stress exerted on the channel region to achieve a predetermined overall strain of the channel region. | 11-20-2008 |
20080264340 | MOVING INTERLEAVED SPUTTER CHAMBER SHIELDS - A shielding system for a physical vapor deposition chamber having a sputter target above the pedestal. The shielding system comprises a pedestal shield attachable to the pedestal and movable therewith. The pedestal shield surrounds and extends outward from the pedestal toward the chamber side or lower walls. The system also comprises a sidewall shield adapted to extend substantially around and within the chamber sidewalls, and downward from an upper portion thereof. The sidewall shield has a lower end extending inward and disposed adjacent the pedestal shield upper portion when the pedestal is in the raised position. The pedestal shield and sidewall shield cooperate, when the pedestal is in the raised position, to prevent line-of-sight deposition transmission from the sputter target to the side and lower walls of the deposition chamber. | 10-30-2008 |
20080254639 | METHOD FOR ETCHING ORGANIC HARDMASKS - A method of etching or removing an amorphous carbon organic hardmask overlying a low dielectric constant film in a lithographic process. The method includes providing a dielectric film having thereover an amorphous carbon organic hardmask to be removed, the dielectric film having a dielectric constant no greater than about 4.0, introducing over the amorphous carbon organic hardmask an ionizable gas comprising a mixture of hydrogen and an oxidizing gas, and applying energy to the mixture to create a plasma of the mixture. The method further includes contacting the amorphous carbon organic hardmask with the plasma, with the amorphous carbon organic hardmask being at a temperature in excess of 200° C., to remove the amorphous carbon organic hardmask without substantially harming the underlying substrate. | 10-16-2008 |
20080254623 | METHODS FOR GROWING LOW-RESISTIVITY TUNGSTEN FOR HIGH ASPECT RATIO AND SMALL FEATURES - The present invention addresses this need by providing methods for depositing low resistivity tungsten films in small features and features having high aspect ratios. The methods involve depositing very thin tungsten nucleation layers by pulsed nucleation layer (PNL) processes and then using chemical vapor deposition (CVD) to deposit a tungsten layer to fill the feature. Depositing the tungsten nucleation layer involves exposing the substrate to alternating pulses of a boron-containing reducing agent and a tungsten-containing precursor without using any hydrogen gas, e.g., as a carrier or background gas. Using this process, a conformal tungsten nucleation layer can be deposited to a thickness as small as about 10 Angstroms. The feature may then be wholly or partially filled with tungsten by a hydrogen reduction chemical vapor deposition process. Resistivities of about 14 μΩ-cm for a 500 Angstrom film may be obtained. | 10-16-2008 |
20080248656 | METHODS FOR STRIPPING PHOTORESIST AND/OR CLEANING METAL REGIONS - Methods are provided for cleaning metal regions overlying semiconductor substrates. A method for removing material from a metal region comprises heating the metal region, forming a plasma from a gas comprising hydrogen and carbon dioxide, and exposing the metal region to the plasma. | 10-09-2008 |
20080216958 | Plasma Reaction Apparatus Having Pre-Seasoned Showerheads and Methods for Manufacturing the Same - Plasma reaction apparatus having pre-seasoned showerheads and methods for pre-seasoning a showerhead of a plasma reaction apparatus are provided. In an embodiment, a method for seasoning a showerhead prior to installation in a plasma reaction apparatus comprises cleaning the showerhead, positioning the showerhead in a deposition chamber, and forming a continuous, substantially uniform protective layer on the showerhead. | 09-11-2008 |
20080216302 | METHODS UTILIZING ORGANOSILICON COMPOUNDS FOR MANUFACTURING PRE-SEASONED COMPONENTS AND PLASMA REACTION APPARATUSES HAVING PRE-SEASONED COMPONENTS - Methods for pre-seasoning a component of a plasma reaction apparatus and method for fabricating plasma reaction apparatuses are provided. In an embodiment, a method for seasoning a component of a plasma reaction apparatus comprises providing an organosilicon compound, applying the organosilicon compound to the component, removing carbon atoms from the organosilicon compound, and forming a continuous, substantially uniform protective layer on the component, wherein the protective layer comprises silicon from the organosilicon compound. | 09-11-2008 |
20080200099 | METHOD FOR MONITORING EDGE EXCLUSION DURING CHEMICAL MECHANICAL PLANARIZATION - A method is provided for measuring edge exclusion on a workpiece that includes a wafer having a film disposed thereon. The method is performed by a CMP system employing a platen and a thickness sensor coupled to the platen and positioned to repeatedly travel a path over the edge of the film during polishing. The method comprises measuring the thickness of the workpiece during selected iterations of the probe path, and establishing from the wafer thickness measurements the length of time the probe is over the film (t | 08-21-2008 |