Mentor Graphics Corporation Patent applications |
Patent application number | Title | Published |
20160140278 | Modeling Photoresist Shrinkage Effects In Lithography - Aspects of the disclosed techniques relate to techniques for resist simulation in lithography. Local light power values are determined for a plurality of sample points in boundary regions of an aerial image of a feature to be printed on a resist coating, wherein each of the local light power values represents a light power value for an area surrounding one of the plurality of sample points. Based on the local light power values, a vertical shrinkage function is constructed. Resist contour data of the feature are then computed based at least on resist shrinkage effects modeled using the local light power values and the vertical shrinkage function. | 05-19-2016 |
20160136899 | MANUFACTURE OF NON-RECTILINEAR FEATURES - Methods and apparatus are disclosed for symbolic methods using algebraic geometry (e.g., based on a Gröbner basis of tangent space polynomials of parametric curves). For example, the design, optimization and verification of silicon photonic wave guides using parametric polynomials and/or Gröbner basis functions can be used to perform envelope generation, rectification, manufacturability checking, singularity detection, reticle and etch processing model generation, tapering loss minimization, and bend loss minimization. In one example, a method of analyzing a layout to be manufactured using a photolithographic process includes producing an envelope of a curve representing a layout object based at least in part on a Gröbner basis and performing one or more analysis operations for the envelope to perform verification and manufacturability checks. | 05-19-2016 |
20160125122 | MEASURE OF ANALYSIS PERFORMED IN PROPERTY CHECKING - The amount of analysis performed in determining the validity of a property of a digital circuit is measured concurrent with performance of the analysis, and provided as an output when a true/false answer cannot be provided e.g. when stopped due to resource constraints. In some embodiments, a measure of value N indicates that a given property that is being checked will not be violated within a distance N from an initial state from which the analysis started. Therefore, in such embodiments, a measure of value N indicates that the analysis has implicitly or explicitly covered every possible excursion of length N from the initial state, and formally proved that no counter-example is possible within this length N. | 05-05-2016 |
20160125106 | Chip-Scale Electrothermal Analysis - Various aspects of the disclosed technology relate to techniques of determining an across-chip distribution of temperature generated by on-chip devices. Effective thermal conductance and effective thermal capacity for each region of a plurality of regions of a layout design are first extracted. The effective thermal conductance for a region in a metal layer is determined based at least on density information of metal interconnect lines within the region and has components associated with directions of the metal interconnect lines. A thermal circuit is then constructed based on the effective thermal conductance, the effective thermal capacity and heat information of thermal nodes. The heat information of thermal nodes is determined based on an electrical simulation on the integrated circuit associated with the layout design. A thermal simulation is then performed on the thermal circuit to determine temperature information of the thermal nodes. | 05-05-2016 |
20160109517 | Test Point Insertion For Low Test Pattern Counts - Various aspects of the disclosed technology relate to conflict-reducing test point insertion techniques. Locations in a circuit design for inserting test points are determined based on internal signal conflicts caused by detecting multiple faults with a single test pattern. Test points are then inserted at the locations. The internal signal conflicts may comprise horizontal conflicts, vertical conflicts, or both. The test points may comprise control points, observation points, or both. | 04-21-2016 |
20160098512 | HIERARCHICAL FILL IN A DESIGN LAYOUT - This application discloses a computing system implementing one or more tools or mechanism configured to capture a hierarchy of a circuit design layout generated by a downstream tool. The hierarchy can include multiple cells that identify corresponding portions of the circuit design layout. The tools or mechanism can be further configured to modify the circuit design layout based, at least in part, on the captured hierarchy, which alters the portions of the circuit design layout identified by the cells separately from other portions of the circuit design layout. | 04-07-2016 |
20160063172 | Connectivity-Aware Layout Data Reduction For Design Verification - Aspects of the disclosed technology relate to techniques of connectivity-aware reduction of layout data. With various implementations of the disclosed technology, circuit elements of interest are selected in a circuit design which includes netlist information and layout data. Based at least on pins for the circuit elements of interest, the circuit elements of interest, or both, nets of interest are determined. Cells of interest, comprising cells that are identified based at least on pins for the circuit elements of interest, the circuit elements of interest, or both, are then determined. Based on the nets of interest and the cells of interest, layout geometric elements are selected and may be analyzed for design verification. For electrostatic discharge (ESD) protection verification, the cells of interest may further comprise cells that include portions of power supply grids on top metal layers. | 03-03-2016 |
20160055122 | DESIGN AND ANALYSIS OF SILICON PHOTONICS ARRAY WAVE GUIDES - Methods and apparatus are disclosed for symbolic methods using algebraic geometry (e.g., based on a Gröbner basis of tangent space polynomials of parametric curves). For example, the design, optimization and verification of silicon photonic wave guides using parametric polynomials and/or Gröbner basis functions can be used to perform envelope generation, rectification, manufacturability, singularity detection, reticle and etch processing model generation, tapering loss minimization, and bend loss minimization. In one example, a method of analyzing a layout to be manufactured using a photolithographic process includes producing an envelope of a curve representing a layout object based at least in part on a Gröbner basis and performing one or more analysis operations for the envelope to perform verification and manufacturability checks. | 02-25-2016 |
20160018979 | CLOCK TREE SYNTHESIS GRAPHICAL USER INTERFACE - In one embodiment, a method for performing an analysis of a synthesized clock tree can include: displaying a plurality of variation parameters and one or more analysis values on a display screen; accepting a first signal from a user input device to select one of the variation parameters; accepting a second signal from a user input device to select one or more of the analysis values; and displaying a plurality of pins from the synthesized clock tree with the selected variation parameter and the selected one or more analysis values on the display screen. | 01-21-2016 |
20160003907 | CONTINUOUS APPLICATION AND DECOMPRESSION OF TEST PATTERNS AND SELECTIVE COMPACTION OF TEST RESPONSES - A method for applying test patterns to scan chains in a circuit-under-test. The method includes providing a compressed test pattern of bits; decompressing the compressed test pattern into a decompressed test pattern of bits as the compressed test pattern is being provided; and applying the decompressed test pattern to scan chains of the circuit-under-test. The actions of providing the compressed test pattern, decompressing the compressed test pattern, and applying the decompressed pattern are performed synchronously at the same or different clock rates, depending on the way in which the decompressed bits are to be generated. A circuit that performs the decompression includes a decompressor such as a linear finite state machine adapted to receive a compressed test pattern of bits. The decompressor decompresses the test pattern into a decompressed test pattern of bits as the compressed test pattern is being received. | 01-07-2016 |
20150323600 | TIMING-AWARE TEST GENERATION AND FAULT SIMULATION - Disclosed herein are exemplary methods, apparatus, and systems for performing timing-aware automatic test pattern generation (ATPG) that can be used, for example, to improve the quality of a test set generated for detecting delay defects or holding time defects. In certain embodiments, timing information derived from various sources (e.g. from Standard Delay Format (SDF) files) is integrated into an ATPG tool. The timing information can be used to guide the test generator to detect the faults through certain paths (e.g., paths having a selected length, or range of lengths, such as the longest or shortest paths). To avoid propagating the faults through similar paths repeatedly, a weighted random method can be used to improve the path coverage during test generation. Experimental results show that significant test quality improvement can be achieved when applying embodiments of timing-aware ATPG to industrial designs. | 11-12-2015 |
20150302137 | Expanded Canonical Forms Of Layout Patterns - Aspects of the disclosed technology relate to techniques for determining expanded canonical forms of layout patterns. Coordinates of vertices of geometric elements in a window of a layout design are first transformed into new coordinates of the vertices, wherein the coordinates of vertices do not comprise clipped coordinates and the transforming comprises: performing a translation on the coordinates of vertices based on differences between maximum and minimum X/Y coordinate values of the vertices. Based on sums of X/Y coordinate values of the new coordinates of the vertices, a canonical form of the geometric elements is determined. The canonical form coordinates of the vertices for a plurality of windows may then be determined. The plurality of windows comprise the window, are centered in the same location as the window, and have different sizes. | 10-22-2015 |
20150294053 | Pattern Optical Similarity Determination - Aspects of the invention relate to techniques for determining pattern optical similarity in lithography. Optical kernel strength values for a first set of layout features and a second set of layout features are computed first. Based on the optical kernel strength values, optical similarity values between the first set of layout features and the second set of layout features are then determined. Subsequently, calibration weight values for the first set of layout features may be determined based on the optical similarity values, which, along with the first set of layout features, may be employed to calibrate lithography process model parameters. | 10-15-2015 |
20150269295 | Switching Activity Reduction Through Retiming - Aspects of the invention relate to techniques for using retiming to reduce circuit switching activity. Switching activity values at output ports of circuit elements of a circuit design are first computed based on switching activity values at input ports of the circuit elements and scaling factors associated with the circuit elements. Based on the switching activity values at the output ports of the circuit elements, one or more regions of the circuit design for retiming are identified. Retiming location information is then determined for the one or more regions. Finally, the identified one or more regions are then retimed to reduce switching activity based on the retiming location information. | 09-24-2015 |
20150253385 | Isometric Test Compression With Low Toggling Activity - Various aspects of the disclosed technology relate to techniques of creating test templates for test pattern generation. Residual test cubes for a plurality of faults are first generated based on a signal probability analysis of a circuit design. Test templates are then generated based on merging the residual test cubes. Finally, a plurality of test patterns and/or compressed test cubes are generated based on one of the test templates. | 09-10-2015 |
20150234978 | Cell Internal Defect Diagnosis - Various aspects of the disclosed technology relate to cell internal defect diagnosis techniques. Defect candidates are first determined based on path-tracing through a circuit design. Then, cell internal defect suspects are determined from the defect candidates based on simulating failing test patterns by using cell internal fault models. The defect candidate determination may be further based on simulating the failing test patterns by using conventional fault models. The cell internal defect suspect determination may be further based on simulating passing test patterns by using the cell internal fault models. | 08-20-2015 |
20150227676 | Generating Guiding Patterns For Directed Self-Assembly - Aspects of the disclosed technology relate to techniques of generating guiding patterns for via-type feature groups. A guiding pattern is constructed based on seeding positions for a via-type feature group. The initial seeding positions are derived from targeted locations of via-type features in the via-type feature group. A potential energy function is then determined for the guiding pattern. Based on the potential energy function, simulated locations of the via-type features are computed. The seeding positions are compared with the targeted locations and may be adjusted based on differences between the simulated locations and the targeted locations. The above operations may be repeated until one of one or more termination conditions are met. | 08-13-2015 |
20150226796 | GENERATING TEST SETS FOR DIAGNOSING SCAN CHAIN FAILURES - Embodiments of the disclosed technology comprise software-based techniques that can be used to improve scan chain test pattern generation and scan chain failure diagnosis resolution. For example, certain embodiments can be used to generate high quality chain diagnosis test patterns that are able to isolate a scan chain defect to a single scan cell. Such embodiments can be used to generate a “complete” test set—that is, a set of chain diagnosis test patterns that is able to isolate any scan chain defect in a faulty scan chain to a single scan cell. | 08-13-2015 |
20150215115 | OPTICAL PHYSICAL UNCLONEABLE FUNCTION - This application discloses a computing system implementing tools and mechanisms that can incorporate an optical physical uncloneable function (PUF) device in a circuit design. The optical physical uncloneable function device can generate at least a portion of a key. The tools and mechanisms can interconnect the optical physical uncloneable function device with a security control device in the circuit design, wherein the security control device is configured to initiate a security action when the key matches an expected key in the security controller. | 07-30-2015 |
20150213407 | SOCIAL ELECTRONIC DESIGN AUTOMATION - This application discloses web or cloud-based electronic design automation tools, which can incorporate functionality to enable collaborative and/or social interaction among multiple different users of the electronic design automation tools. The electronic design automation tools can monitor activity of a user on a design tool, compare the activity of the user on the design tool to previous design activities of one or more different users of the design tool, and prompt presentation of a design suggestion to the user based, at least in part, on a commonality between the activity of the user and previous design activities of the one or more different users. | 07-30-2015 |
20150213186 | TIMING DRIVEN CLOCK TREE SYNTHESIS - This application discloses performing a static timing analysis on a circuit design with an unbalanced clock tree, for example, to determine data arrival timing and clock arrival timing at multiple clock-driven circuits in a circuit design, and then performing clock tree synthesis on the circuit design to initially balance the unbalanced clock tree based, at least in part, on the data arrival timing relative to the clock arrival timing at the multiple clock-driven circuits. The clock tree after initial balancing includes a clock signal path configured to provide a clock signal to each of the multiple clock-driven circuits with a new clock arrival timing that corresponds to the data arrival timing. | 07-30-2015 |
20150213174 | REGRESSION SIGNATURE FOR STATISTICAL FUNCTIONAL COVERAGE - This application discloses a computing system to implement a design verification tool and simulate a circuit design with a regression. The computing system can capture events performed by a circuit design simulated with a regression and identify that one or more combinations of the captured events covers system level functionality of the circuit design. The computing system can determine whether the system level functionality covered by the combinations of the captured events was previously uncovered for the circuit design, and generate a regression efficiency metric configured to quantify newly covered system level functionality prompted by the regression. | 07-30-2015 |
20150213173 | DISTRIBUTED STATE AND DATA FUNCTIONAL COVERAGE - This application discloses a computing system to implement a design verification tool and simulate a circuit design with a test bench. The computing system can identify multiple components in the circuit design to combine for distributed state coverage analysis based, at least in part, on data transactions generated during the simulation of the circuit design. The computing system can correlate information captured during simulation that corresponds to the identified components. The correlated information can identify at least one distributed state coverage event for the test bench. The computing system can generate a distributed state coverage metric based on the correlated information corresponding to the identified components. The computing system can prompt presentation of the correlated information a display window, which can graphically show how a test bench exercised the identified components during simulation. | 07-30-2015 |
20150213170 | DISTRIBUTED STATE AND DATA FUNCTIONAL COVERAGE - This application discloses a computing system to implement a design verification tool and simulate a circuit design with a test bench. The computing system can correlate transactions captured during simulation of a circuit design to distributed states for multiple components in the circuit design. The computing system can identify at least a portion of the distributed states for the multiple components correspond to system level coverage events. The computing system can generate a graphical presentation to illustrate the portion of the distributed states for the multiple components in the circuit design that correspond to system level coverage events. | 07-30-2015 |
20150213169 | REGRESSION NEAREST NEIGHBOR ANALYSIS FOR STATISTICAL FUNCTIONAL COVERAGE - This application discloses a computing system to implement a design verification tool and simulate a circuit design with a regression. The computing system can define coverage for system level functionality of a circuit design as a set of system level coverage points. Each of the system level coverage points can correspond to a different portion of system level functionality of the circuit design. The computing system can correlate the system level coverage points in the set according to characteristics of the different portions of the system level functionality corresponding to the system level coverage points. The computing system can utilize the correlated set of system level coverage points to identify system level functionality left uncovered by events performed by the circuit design during simulation with one or more regressions. | 07-30-2015 |
20150213168 | LOGIC EQUIVALENCY CHECK USING VECTOR STREAM EVENT SIMULATION - This application discloses a system implementing tools and mechanisms to develop a vector stream for each input of a circuit design. Each vector stream can be configured to identify one or more operations in the circuit design having an output that depends on a corresponding input of the circuit design. The tools and mechanisms can select different vector streams to utilize for simulation of the circuit design based on value changes in a series of test vectors, and simulate the circuit design by performing operations identified by the selected vector streams with values from the corresponding test vectors. The tools and mechanisms can generate the series of test vectors with a pattern generator, which may be in a grey code sequence. The tools and mechanisms can determine whether the circuit design is equivalent to another circuit design by comparing the simulation results of the two circuit designs. | 07-30-2015 |
20150186591 | Selective Parasitic Extraction - This application discloses a computing system to pre-process a physical or geometric layout of a circuit design to determine various attributes of the nets, such as a location and a total capacitance for each net in the geometric layout. The computing system can order extraction of the nets from the geometric layout of the circuit design with a space filling curve based, at least in part, on the locations of the nets in the geometric layout of the circuit design and any coupling capacitance between the nets in the geometric layout of the circuit design. The computing system can selectively decouple nets with a coupling capacitance based, at least in part, on the total capacitance for the nets associated with the coupling capacitance. The computing system can generate an electrical representation for each of the extracted nets and write them to a netlist for the circuit design. | 07-02-2015 |
20150162062 | NOR-OR DECODER - A decoder for decoding an address having a plurality of bits ranging from a first address bit a | 06-11-2015 |
20150161324 | HIGH-FREQUENCY VLSI INTERCONNECT AND INTENTIONAL INDUCTOR IMPEDANCE EXTRACTION IN THE PRESENCE OF A MULTI-LAYER CONDUCTIVE SUBSTRATE - Embodiments of methods, apparatus, and systems for extracting impedance for a circuit design are disclosed herein. Some of the disclosed embodiments are computationally efficient and can accurately compute the frequency-dependent impedance of VLSI interconnects and/or intentional inductors in the presence of multi-layer conductive substrates. In certain embodiments, the resulting accuracy and CPU time reduction are a result of a Green's function approach with the correct quasi-static limit, a modified discrete complex image approximation to the Green's function, and a continuous dipole expansion to evaluate the magnetic vector potential at the distances relevant to VLSI interconnects and intentional inductors. | 06-11-2015 |
20150160290 | ON-CHIP COMPARISON AND RESPONSE COLLECTION TOOLS AND TECHNIQUES - Disclosed herein are exemplary embodiments of a so-called “X-press” test response compactor. Certain embodiments of the disclosed compactor comprise an overdrive section and scan chain selection logic. Certain embodiments of the disclosed technology offer compaction ratios on the order of 1000×. Exemplary embodiments of the disclosed compactor can maintain about the same coverage and about the same diagnostic resolution as that of conventional scan-based test scenarios. Some embodiments of a scan chain selection scheme can significantly reduce or entirely eliminate unknown states occurring in test responses that enter the compactor. Also disclosed herein are embodiments of on-chip comparator circuits and methods for generating control circuitry for masking selection circuits. | 06-11-2015 |
20150153410 | Dynamic Shift For Test Pattern Compression - Various aspects of the disclosed techniques relate to using dynamic shift for test pattern compression. Scan chains are divided into segments. Non-shift clock cycles are added to one or more segments to make an uncompressible test pattern compressible. The one or more segments may be selected based on compressibility, the number of specified bits and/or the location on the scan chains. A dynamic shift controller may be employed to control the dynamic shift. | 06-04-2015 |
20150149973 | THIRD PARTY COMPONENT DEBUGGING FOR INTEGRATED CIRCUIT DESIGN - The application is directed towards facilitating the debugging of suspected errors in a proprietary component when the proprietary component is incorporated into a larger electronic design. Various implementations provide for the generation of a reference model for an integrated circuit design, where the reference model includes the proprietary component and sufficient information about the rest of the design to allow for the debugging of the proprietary component over a period of verification where the error in the proprietary component is suspected. | 05-28-2015 |
20150149847 | Channel Sharing For Testing Circuits Having Non-Identical Cores - Various aspects of the disclosed techniques relate to channel sharing techniques for testing circuits having non-identical cores. Compressed test patterns for a plurality of circuit blocks are generated for channel sharing. Each of the plurality of circuit blocks comprises a decompressor configured to decompress the compressed test patterns. Test data input channels are thus shared by the decompressors. Control data input channels are usually not shared by non-identical circuit blocks in the plurality of circuit blocks. | 05-28-2015 |
20150143323 | Generating Guiding Patterns For Directed Self-Assembly - Aspects of the invention relate to techniques of generating guiding patterns for via-type feature groups. A guiding pattern may be constructed for a via-type feature group that comprises two or more via-type features in a layout design. A backbone structure may then be determined for the guiding pattern. Based on the backbone structure and a self-assembly model, simulated locations of the two or more via-type features are computed. The simulated locations are compared with targeted locations. If the simulated locations do not match the targeted locations based on a predetermined criterion, the simulated locations adjusted to derive modified locations. Using the modified locations, the above operations may be repeated until the simulated locations match the targeted location based on a predetermined criterion or for a predetermined number of times. | 05-21-2015 |
20150143318 | DETERMINATION OF ELECTROMIGRATION SUSCEPTIBILITY BASED ON HYDROSTATIC STRESS ANALYSIS - Aspects of the invention relate to techniques for determining the electromigration features corresponding to layout design data. According to various examples of the invention, a circuit design is analyzed to determine voltages of nodes in an interconnect tree. From the voltages of the nodes, current density values and current directions for the segments of the interconnect tree are determined. Based on the current density values and the current directions, hydrostatic stress values for the nodes are computed under a steady-state condition and conservation of the conductive material within the interconnect tree. The electromigration susceptibility of the interconnect tree is then determined based on the computed hydrostatic stress values. | 05-21-2015 |
20150143317 | Determination Of Electromigration Features - For one or more geometric elements partitioned into a plurality of geometric element portions, the expected current directions through each geometric element portion are determined. Using the expected current directions, each expected current path through the geometric element portions is determined. Based upon the expected current paths, and the physical characteristics represented by the geometric element portions in those expected current paths, the electromigration features corresponding to the geometric element or elements are determined. For example, the length of the longest expected current path through the geometric element or elements can be identified based upon the lengths of the geometric element portions and the directions of their currents, and this length can then be compared with the Blech length for the geometric element or elements. | 05-21-2015 |
20150143313 | Grouping Layout Features For Directed Self Assembly - Aspects of the invention relate to techniques of grouping layout features for directed self-assembly (DSA). Via-type features in a layout design are separated into via-type feature groups and isolated via-type features. The derived via-type feature groups are analyzed to determine whether the via-type feature groups are DSA-compliant. The layout design may be modified if one or more via-type feature groups in the via-type feature groups are non-DSA-compliant. | 05-21-2015 |
20150135151 | Canonical Forms Of Layout Patterns - Aspects of the disclosed technology relate to techniques for determining canonical forms of layout patterns. Coordinates of vertices of geometric elements in a window of a layout design are first transformed into new coordinates of the vertices, wherein the coordinates of vertices do not comprise clipped coordinates and the transforming comprises: performing a translation on the coordinates of vertices based on differences between maximum and minimum X/Y coordinate values of the vertices. Based on sums of X/Y coordinate values of the new coordinates of the vertices, a canonical form of the geometric elements is determined. The canonical form coordinates of the vertices may then be determined and sorted. The sorted canonical form coordinates may be employed for pattern matching. | 05-14-2015 |
20150135030 | SPEEDING UP DEFECT DIAGNOSIS TECHNIQUES - Fault diagnosis techniques (e.g., effect-cause diagnosis techniques) can be speeded up by, for example, using a relatively small dictionary. Examples described herein exhibit a speed up of effect-cause diagnosis by up to about 160 times. The technologies can be used to diagnose defects using compacted fail data produced by test response compactors. A dictionary of small size can be used to reduce the size of a fault candidate list and also to facilitate procedures to select a subset of passing patterns for simulation. Critical path tracing can be used to handle failing patterns with a larger number of failing bits, and a pre-computed small dictionary can be used to quickly find the initial candidates for failing patterns with a smaller number of failing bits. Also described herein are exemplary techniques for selecting passing patterns for fault simulation to identify faults in an electronic circuit. | 05-14-2015 |
20150100931 | Adaptive Clock Management In Emulation - Aspects of the invention relate to techniques for adaptive clock management in emulation. A clock suspension request signal, indicating when a suspension of design clock signals in an emulator is needed, is generated based on activity status information of the emulator with one or more emulator resources such as software environment. A clock suspension allowance signal, indicating whether a suspension of design clock signals is permitted considering dynamic targets in the emulator, is generated based on slack information related to one or more clock signals associated with one or more dynamic targets of the emulator. Based on the clock suspension request signal and the clock suspension allowance signal, a clock suspension signal is generated for enabling temporary design clock suspensions. | 04-09-2015 |
20150067621 | Logic-Driven Layout Pattern Analysis - A user or other source may specify one or more components in logical design data, such as schematic netlist design data. Based upon the provided logical component, portions of the physical design data that correspond to the logical component are selected. The selected physical design data corresponding to the specified logical component is then compared with a defined geometric element pattern, to determine if the corresponding physical design data matches the defined pattern. The results of the match analysis can be reported to a user as visual images, new design data, or both. Alternately or additionally, the selected physical design data may be modified based upon the results of the match analysis. | 03-05-2015 |
20150067618 | INTEGRATED CIRCUIT LAYOUT DESIGN METHODOLOGY WITH PROCESS VARIATION BANDS - A system for analyzing IC layouts and designs by calculating variations of a number of objects to be created on a semiconductor wafer as a result of different process conditions. The variations are analyzed to determine individual feature failures or to rank layout designs by their susceptibility to process variations. In one embodiment, the variations are represented by PV-bands having an inner edge that defines the smallest area in which an object will always print and an outer edge that defines the largest area in which an object will print under some process conditions. | 03-05-2015 |
20150058818 | PROGRAMMABLE PATTERN AWARE VOLTAGE ANALYSIS - This application discloses a voltage analysis tool to perform a static power aware analysis on a circuit design without having to simulate the circuit design. The voltage analysis tool can determine a set of components in the circuit design corresponds to a design pattern representing a voltage-transition device, and set an output voltage for the set of components based, at least in part, on characteristics of the voltage-transition device. The voltage analysis tool can propagate the output voltage to other portions of the circuit design, and determine whether the portions of the circuit design receiving the output voltage have a rule violation. | 02-26-2015 |
20150046144 | Dynamic Control Of Design Clock Generation In Emulation - Aspects of the invention relate to techniques for dynamic control of design clock generation in emulation. A circuit design for verification is analyzed to determine one or more clock-enabling functions for a specific clock signal. Logic for generating a clock status signal based on the one or more clock-enabling signals is then determined. The clock status signal is employed to control clock generation in an emulation system for emulating the circuit design. | 02-12-2015 |
20150040087 | IDENTIFICATION OF POWER SENSITIVE SCAN CELLS - Aspects of the disclosed techniques relate to techniques for identifying power sensitive scan cells. Signal probability values for signal lines in a circuit design are first computed, wherein the signal lines comprise signal lines associated with scan cells in the circuit design. Toggling probability values are then computed based on the signal probability values, wherein the toggling probability values comprise toggling rate values for the scan cells. Toggling rate reduction values are then computed based on the toggling probability values, wherein the toggling rate reduction values comprise toggling rate reduction values for the scan cells. Finally, scan cells having high toggling rate reduction values are identified. | 02-05-2015 |
20140372824 | Test Generation For Test-Per-Clock - Aspects of the invention relate to test generation techniques for test-per-clock. Test cubes may be generated by adding constraints to a conventional automatic test pattern generator. During a test cube merging process, a first test cube is merged with one or more test cubes that are compatible with the first test cube to generate a second test cube. The second test cube is shifted by one bit along a direction of scan chain shifting to generate a third test cube. The third test cube is then merged with one or more test cubes in the test cubes that are compatible with the third test cube to generate a fourth test cube. The shifting and merging operations may be repeated for a predetermined number of times. | 12-18-2014 |
20140372821 | Scan Chain Stitching For Test-Per-Clock - Various aspects of the present invention relate to scan chain stitching techniques for test-per-clock. With various implementations of the invention, a plurality of scan cell partitions are generated based on combinational paths between scan cells. Scan cells may be assigned to one or more pairs of scan cell partitions based on combinational paths between the scan cells. Each pair of the scan cell partitions comprises one stimuli partition and one compacting partition. Using the plurality of scan cell partitions generated, scan chains are formed based on at least information of combinational paths between scan cell partitions in the plurality of scan cell partitions. The formed scan chains are to be dynamically divided into three groups during a test, which are configured to operate in a shifting-launching mode, a capturing-compacting-shifting mode and a mission mode, respectively. | 12-18-2014 |
20140372820 | Fault-Driven Scan Chain Configuration For Test-Per-Clock - Aspects of the invention relate to using fault-driven techniques to generate scan chain configurations for test-per-clock. A plurality of test cubes that detect a plurality of faults are first generated. Scan chains for loading specified bits of the test cubes are then assigned to a stimuli group. From the plurality of test cubes, a test cube that detects a large number of faults that do not propagate exclusively to scan chains in the stimuli group is selected. One or more scan chains that are not in the stimuli group and are needed for observing the large number of faults are assigned to a compacting group. The number of scan chains either in the compacting group or in both of the compacting group and the stimuli group may be limited to a predetermined number. | 12-18-2014 |
20140372818 | Test-Per-Clock Based On Dynamically-Partitioned Reconfigurable Scan Chains - Aspects of the invention relate to a test-per-clock scheme based on dynamically-partitioned reconfigurable scan chains. Every clock cycle, scan chains configured by a control signal to operate in a shifting-launching mode shift in test stimuli one bit and immediately applies the newly formed test pattern to the circuit-under-test; and scan chains configured by the control signal to operate in a capturing-compacting-shifting mode shift out one bit of previously compacted test response data while compacting remaining bits of the previously compacted test response data with a currently-captured test response to form currently compacted test response data. A large number of scan chains may be configured by the control signal to work in a mission mode. After a predetermined number of clock cycles, a different control signal may be applied to reconfigure and partition the scan chains for applying different test stimuli. | 12-18-2014 |
20140347088 | Method and Circuit Of Pulse-Vanishing Test - Various aspects of the disclose techniques relate to techniques of testing interconnects in stacked designs. A single-pulse signal, generated by a first circuit state element on a first die, is applied to a first end of an interconnect and captured at a second end of the interconnect using a clock port of a second circuit state element on a second die. A faulty interconnect may cause the single-pulse signal too distorted to reach the threshold voltage of the second circuit element. | 11-27-2014 |
20140337810 | MODULAR PLATFORM FOR INTEGRATED CIRCUIT DESIGN ANALYSIS AND VERIFICATION - A modular electronic design automation tool platform for analyzing and verifying an integrated circuit design. The platform may provide a single, unified database that can contain both logical information and physical information relating to an integrated circuit design, together with a plurality of electronic design automation operation execution modules for performing one or more desired electronic design automation operations. The platform may also provide export modules and import modules. An export module extracts relevant data from the database, and configures that data for use by a specific electronic design automation operation execution module. An import module then receives output data from a particular electronic design automation operation execution module, configures that data for integration into the unified database, and then imports the configured data into the database. | 11-13-2014 |
20140330529 | IDENTIFICATION OF FLUID FLOW BOTTLENECKS - Techniques for determining one or more fluid flow characteristic values of a structure are disclosed. A fluid flow vector and a pressure gradient vector for a portion of the structure are determined, and a dot/cross product of the fluid flow vector with the pressure gradient vector is obtained to provide a fluid flow characteristic value. The fluid flow characteristic value can be used for modifying the structure to improve fluid flow through the structure. | 11-06-2014 |
20140325048 | CLOUD SERVICES PLATFORM - Embodiments of the disclosed technology comprise a cloud-hosted central service platform that interfaces and enables access to both central and distributed resources and peripherals for connected mobile applications. For example, this platform allows service providers and application developers to create a large number of new classes of applications, leveraging web access to devices, sensors, and/or actuators of any kind. This platform can be applied to virtually any vertical segment. Any of the disclosed features or aspects of the disclosed embodiments can be used in various combinations and subcombinations with one another or with other methods, apparatus, and systems. | 10-30-2014 |
20140317583 | MANAGING AND CONTROLLING THE USE OF HARDWARE RESOURCES ON INTEGRATED CIRCUITS - Disclosed herein are representative embodiments of methods and apparatus for managing and allocating hardware resources during RTL synthesis. For example, in one exemplary method disclosed herein, an RTL description of a circuit to be implemented in a target architecture is received. The target architecture of this embodiment comprises a fixed number of hardware resources in a class of hardware resources. One or more operator instances are determined from the RTL description received, where at least some of the operator instances are implementable by the hardware resources in the class of hardware resources. In this embodiment, and prior to initially synthesizing the RTL description into a gate-level netlist, assignment information indicative of how the operator instances are to be implemented using the hardware resources in the class of hardware resources is automatically determined. A graphical user interface is also provided that allows a user to view and modify the assignment information. | 10-23-2014 |
20140313542 | CLOUD SERVICES PLATFORM - Embodiments of the disclosed technology comprise a cloud-hosted central service platform that interfaces and enables access to both central and distributed resources and peripherals for connected mobile applications. For example, this platform allows service providers and application developers to create a large number of new classes of applications, leveraging web access to devices, sensors, and/or actuators of any kind. This platform can be applied to virtually any vertical segment. Any of the disclosed features or aspects of the disclosed embodiments can be used in various combinations and subcombinations with one another or with other methods, apparatus, and systems. | 10-23-2014 |
20140289686 | Single Event Upset Mitigation for Electronic Design Synthesis - Technology is disclosed herein that provides for modifying a circuit design to reduce the potential occurrence of single event upset errors during operation of a device manufactured from the synthesized design. After a circuit design has been synthesized to a particular abstraction level, a static timing analysis procedure is run on the design. The slack values for paths within the design are determined based upon the static timing analysis procedure. Subsequently, delays are added to selected paths within the design based upon the slack values. | 09-25-2014 |
20140278329 | Modeling Content-Addressable Memory For Emulation - Aspects of the invention relate to techniques for modeling content-addressable memory for emulation. An emulation device according to various embodiments of the invention comprises one or more memory modeling blocks reconfigurable to emulate a content-addressable memory or a random-access memory. The emulation device may be processor-based or FPGA-based. Each of the one or more memory modeling blocks comprises memory circuitry and a dedicated comparison unit configured to compare a search word or a portion of a search word received by the each of the one or more memory modeling blocks with data stored in the memory circuitry. The comparison unit may comprise a comparator and a register coupled to the comparator and configured to store matching data. The matching data may be unencoded matching data. A plurality of the memory modeling blocks may be programmable to emulate a single content-addressable memory. | 09-18-2014 |
20140246705 | Programmable Leakage Test For Interconnects In Stacked Designs - Aspects of the invention relate to techniques of testing interconnects in stacked designs for leakage defects. Logic “1” or “0” is first applied to one end of an interconnect during a first pulse. Then, logic value at the one end is captured, which triggered by an edge of a second pulse. The first pulse precedes the second pulse by a time period being selected from a plurality of delay periods. The plurality of delay periods is generated by a device shared by a plurality of interconnects. | 09-04-2014 |
20140237436 | Layout Decomposition For Triple Patterning Lithography - Aspects of the invention relate to techniques of layout decomposition for triple patterning lithography. Data of a coloring graph are derived from layout data for a layout design. The coloring graph is simplified through graph reduction and graph partitioning processes. The graph partitioning process comprises separating biconnected components. The graph partitioning process may further comprise separating subgraphs connected by one or two edges. Based on the simplified coloring graph, the layout design is decomposed to generate decomposition information. The decomposition process may comprise applying a heuristic method for coloring if needed. The decomposition information may comprise information of one or more layout regions that cannot be decomposed. | 08-21-2014 |
20140237310 | Test Architecture for Characterizing Interconnects in Stacked Designs - Aspects of the invention relate to ring-oscillator-based test architecture for characterizing interconnects in stacked designs. The disclosed ring-oscillator-based test architecture comprises a plurality of boundary scan cells coupled to a plurality of interconnects. Each of the plurality of boundary scan cells can be configured to operate as, based on control signals, a conventional boundary scan cell or any bit of an asynchronous counter. The control signals are supplied by control circuitry. | 08-21-2014 |
20140236562 | Resource Mapping in a Hardware Emulation Environment - A system and method is disclosed in an emulation environment that dynamically remaps user designs. In one embodiment, a request is received to load an integrated circuit design to be emulated in a desired partition within the emulator. The emulator automatically determines the availability of the partition requested. If the partition is not available, the design is dynamically remapped to a different partition that is available. In another embodiment, clocks associated with the integrated circuit design are also dynamically remapped. In yet another embodiment, the user can control the size of the partitions (e.g., the number of printed circuit boards in a partition). | 08-21-2014 |
20140223401 | HIGH-FREQUENCY VLSI INTERCONNECT AND INTENTIONAL INDUCTOR IMPEDANCE EXTRACTION IN THE PRESENCE OF A MULTI-LAYER CONDUCTIVE SUBSTRATE - Embodiments of methods, apparatus, and systems for extracting impedance for a circuit design are disclosed herein. Some of the disclosed embodiments are computationally efficient and can accurately compute the frequency-dependent impedance of VLSI interconnects and/or intentional inductors in the presence of multi-layer conductive substrates. In certain embodiments, the resulting accuracy and CPU time reduction are a result of a Green's function approach with the correct quasi-static limit, a modified discrete complex image approximation to the Green's function, and a continuous dipole expansion to evaluate the magnetic vector potential at the distances relevant to VLSI interconnects and intentional inductors. | 08-07-2014 |
20140223247 | SCAN-BASED TEST ARCHITECTURE FOR INTERCONNECTS IN STACKED DESIGNS - Aspects of the invention relate to scan-based test architecture for interconnects in stacked designs. The disclosed scan-based test architecture comprises a scan chain. Scan cells on the scan chain are configured to receive data from, based on bits of a control signal, outputs of neighboring scan cells or outputs of mixing devices that combine data from through-silicon vias with data from the outputs of the neighboring scan cells. The scan-based test architecture can be used to identify single or multiple defective through-silicon vias. | 08-07-2014 |
20140215416 | Integration of Optical Proximity Correction and Mask Data Preparation - Aspects of the invention relate to techniques for integrating optical proximity correction and mask data preparation. First mask writer instructions for a layout design are simulated to generate a mask contour. Based on the generated mask contour, first layout data for the layout design are adjusted for optical proximity correction to generate second layout data. Using the generated second layout data as mask target, the first mask writer instructions are adjusted to generate second mask writer instructions. The above process may be iterated until an end condition is met. | 07-31-2014 |
20140215414 | Mask Rule Checking Based on Curvature - Aspects of the invention relate to techniques for mask rule checking based on curvature information. The curvature information comprises convex curvature information and concave curvature information. The convex curvature information for a vertex of a mask feature may comprise a convex curvature value derived based on the size of a circle that passes through the vertex, is tangent to an edge and does not cross any other edges. The concave curvature information for the vertex may comprise a concave curvature value derived based on the size of a circle that is tangent to two edges that form the vertex and does not cross any other edges, and of which distance from the vertex measured from the nearest point is no more than a predetermined number. The generated curvature information is compared with threshold curvature information to determine mask rule violations. | 07-31-2014 |
20140212793 | Multiresolution Mask Writing - Mask writing techniques that employ multiple masking writing passes. A first writing pass is made to write a first shot pattern having a first resolution. A second writing pass is then made to write a second shot pattern having a second resolution finer than the first resolution, such that the second shot pattern substantially overlaps with the first shot pattern on the mask substrate. | 07-31-2014 |
20140208178 | Circuit and Method for Measuring Delays between Edges of Signals of a Circuit - Various aspects of the present invention relate to techniques of measuring delays between edges of signals of a circuit. Alternating signals, synchronous to a first clock, are supplied to a plurality of nodes of the circuit. First samples of a plurality of signals associated with the alternating signals are captured using a first capture clock, of which sampling instants are synchronous to a second clock. Second samples of the first samples are then captured using a second capture clock, of which sampling instants are also synchronous to the second clock. The captured second samples are conveyed via a shift register to a plurality of modulo counters. The measured signal delay includes a timing skew associated with the first clock and a timing skew of the first capture clock but not a timing skew of the second capture clock. | 07-24-2014 |
20140201694 | Wrap Based Fill In Layout Designs - Techniques for “wrapping” functional geometric elements with fill geometric elements are provided. With some implementations, functional geometric elements, such as geometric elements representing metal contact and interconnect structures, are identified in layout design data. Next, fill regions requiring fill geometric elements are identified. If a portion of a functional geometric element faces a fill region, then that portion of the functional geometric element is “wrapped” with fill structures. Typically, the exposed portions of the functional geometric elements are wrapped before the remaining fill region is populated with fill geometric elements. By wrapping the exposed portions of the functional geometric elements, a designer can surround the functional geometric elements with a predictable pattern of fill geometric elements that can serve to protect the functional geometric elements from, for example, the capacitive effect of other fill geometric elements in the fill region. | 07-17-2014 |
20140201656 | USER INTERFACES - User interface (UI) techniques, and more particularly to graphical user interface (GUI) techniques providing 3-dimensional (3-D) renditions. A method of displaying one or more graphical objects, the method being carried out in an electronic device, the device having processing circuitry, memory and a display device, the method comprising: obtaining first image data, the first image data defining at least one two-dimensional graphical component; performing a transformation operation on the first image data to generate second image data, the second image data defining, for the or each graphical component, a modified form of the graphical component; using said second image data, displaying the modified form whereby the or each graphical component has the appearance of having a component of dimension perpendicular the plane of the display device. Also disclosed method of displaying one or more moving graphical objects, the method being carried out in an electronic device, the device including processing circuitry, memory and a display device, the method comprising: obtaining first image data, the first image data defining at least one two-dimensional graphical component; for each of one or more instants during a predetermined time period, performing a transformation operation on the first image data to generate second image data, the second image data defining, for the or each graphical component, a modified form of the graphical component; and using said second image data, displaying the modified form; thereby at one more of said instants generating a rendition of the or each graphical component so as to appear to having a component of dimension perpendicular the plane of the display device, whereby the two-dimensional object appears to move within a three-dimensional space. This invention concerns GUIs employed by users to interact with electronic devices having a display f0b, in particular but not limited to hand-held devices with small screens. | 07-17-2014 |
20140189613 | VOLTAGE-RELATED ANALYSIS OF LAYOUT DESIGN DATA - Disclosed are representative embodiments of methods, apparatus, and systems for voltage-related analysis of layout design data. According to embodiments of the disclosed technology, voltage association data objects are generated for drawn layers in a net of a layout design and voltage values or ranges of voltage values associated with the net are collected. The voltage values or ranges of voltage values are then associated with the voltage association data objects. A voltage-related analysis may be performed by searching the voltage association data objects according to a predetermined criterion. | 07-03-2014 |
20140173534 | RESOLUTION ENHANCING TECHNOLOGY USING PHASE ASSIGNMENT BRIDGES - In one embodiment, a spacing is determined for each edge of a number of features in a photolithographic design. The edges have at least a partially predictable layout. Based on the spacing and the predictable layout, a bridge structure is generated. Each bridge of the bridge structure connects one of the edges to an edge of a neighboring feature. Then, the features and the bridge structure are provided for a phase assignment. The phase assignment assigns features at opposite ends of each bridge in the bridge structure to opposite phases. In another embodiment, a sub-resolution assist feature (SRAF) is introduced for an edge of a feature and a bridge is generated from the feature to the SRAF. Then, the feature and the SRAF are assigned to opposite phases based on the relationship defined by the bridge. | 06-19-2014 |
20140164859 | Dynamic Design Partitioning For Scan Chain Diagnosis - Aspects of the invention relate to techniques for chain fault diagnosis based on dynamic circuit design partitioning. Fan-out cones for scan cells of one or more faulty scan chains of a circuit design are determined and combined to derive a forward-tracing cone. Fan-in cones for scan cells of the one or more faulty scan chains and for failing observation points of the circuit design are determined and combined to derive a backward-tracing cone. By determining intersection of the forward-tracing cone and the backward-tracing cone, a chain diagnosis sub-circuit for the test failure file is generated. Using the process, a plurality of chain diagnosis sub-circuits may be generated for a plurality of test failure files. Scan chain fault diagnosis may then be performed on the plurality of chain diagnosis sub-circuits with a plurality of computers. | 06-12-2014 |
20140143741 | FRAGMENTATION POINT AND SIMULATION SITE ADJUSTMENT FOR RESOLUTION ENHANCEMENT TECHNIQUES - A method of performing a resolution enhancement technique such as OPC on an initial layout description involves fragmenting a polygon that represents a feature to be created into a number of edge fragments. One or more of the edge fragments is assigned an initial simulation site at which the image intensity is calculated. Upon calculation of the image intensity, the position and/or number of initial simulation sites is varied. New calculations are made of the image intensity with the revised placement or number of simulation sites in order to calculate an OPC correction for the edge fragment. In other embodiments, fragmentation of a polygon is adjusted based on the image intensities calculated at the simulation sites. In one embodiment, the image intensity gradient vector calculated at the initial simulation sites is used to adjust the simulation sites and/or fragmentation of the polygon. | 05-22-2014 |
20140123098 | DYNAMIC PRINTED CIRCUIT BOARD DESIGN REUSE - Techniques for enabling the dynamic reuse of printed circuit board designs are provided. A master printed circuit board design comprising a plurality of modular flexible designs is received. Additionally, a target design that includes ones of the plurality of flexible designs is identified. Subsequently, as the master design, or ones of the plurality of flexible designs within the master design, are modified, the target design is correspondingly modified. With some implementations, the master design is housed within a library. The library may be used to implement versioning capability for the flexible designs. With further implementations, the master design may itself be a target design. | 05-01-2014 |
20140123087 | COEXISTENCE OF MULTIPLE VERIFICATION COMPONENT TYPES IN A HARDWARE VERIFICATION FRAMEWORK - Coexistence of multiple types of verification components in a single verification framework is provided. Particularly, the coexistence of proprietary e verification components in an open verification methodology framework is provided. | 05-01-2014 |
20140115413 | FAULT DICTIONARY BASED SCAN CHAIN FAILURE DIAGNOSIS - A dictionary-based scan chain fault detector includes a dictionary with fault signatures computed for scan cells in the scan chain. Entries in the fault dictionary are compared with failures in the failure log to identify a faulty scan cell. In one embodiment a single fault in a scan chain is identified. In another embodiment, a last fault and a first fault in a scan chain are identified. | 04-24-2014 |
20140112083 | RANDOM ACCESS MEMORY FOR USE IN AN EMULATION ENVIRONMENT - A Random Access Memory (RAM) and method of using the same are disclosed. The RAM includes a plurality of memory cells arranged in columns and in rows with each memory cell coupled to at least one word line and at least one bit line. The RAM includes a plurality of switches with at least one of the switches coupled between two of the memory cells to allow data to be copied from one of the two memory cells to the other of the two memory cells. | 04-24-2014 |
20140108865 | FAULT SUPPORT IN AN EMULATION ENVIRONMENT - An emulator is disclosed that allows for diagnoses of failures or defects within the emulator. A map of faulty resources is generated to identify which resources should be avoided during compilation. Thus, in a transparent and automated manner, defects found during diagnostics are stored in a database of unusable emulator resources. A compiler has access to the database and compiles the design taking into account unusable resources. In another embodiment, the defects of an emulator board are stored on the emulator board itself. This allows each board to store its own maintenance information that can be used at the manufacturing site for changing defective chips. Defects stored on the board itself allow the defects to be obtained independent of a position of a board within the emulator to simplify identification of the faulty resource. | 04-17-2014 |
20140101506 | TEST ACCESS MECHANISM FOR DIAGNOSIS BASED ON PARTITIONING SCAN CHAINS - Disclosed are representative embodiments of methods, apparatus, and systems for partitioning-based Test Access Mechanisms (TAM). Test response data are captured by scan cells of a plurality scan chains in a circuit under test and are compared with test response data expected for a good CUT to generate check values. Based on the check values, partition pass/fail signals are generated by partitioning scheme generators. Each of the partitioning scheme generators is configured to generate one of the partition pass/fail signals for one of partitioning schemes. A partitioning scheme divides the scan cells into a set of non-overlapping partitions. Based on the partition pass/fail signals, a failure diagnosis process may be performed. | 04-10-2014 |
20140089877 | Electrical Hotspot Detection, Analysis And Correction - Aspects of the invention relate to techniques for detecting and correcting electrical hotspots in a layout design for a circuit design comprising an analog circuit. Layout parameters for device instances associated with electrical constraints are first extracted. Based on the extracted layout parameters, electrical parameter variations for the device instances may be computed to identify one or more electrical hotspots in the layout design. A sensitivity analysis of the one or more electrical hotspots is performed to generate repair hints. Based on the repair hints, the layout design is adjusted. | 03-27-2014 |
20140059511 | Generating Root Cause Candidates For Yield Analysis - Aspects of the invention relate to yield analysis techniques for generating root cause candidates for yield analysis. With various implementations of the invention, points of interest are first identified in a layout design. Next, regions of interest are determined for the identified points of interest. Next, one or more properties are extracted from the regions of interest. Based at least on the one or more properties, diagnosis reports of failing devices fabricated according to the layout design are analyzed to identify probable root causes. | 02-27-2014 |
20140059507 | Defect Injection For Transistor-Level Fault Simulation - Aspects of the invention relate to techniques of defect injection for transistor-level fault simulation. A circuit element in a circuit netlist of a circuit is first selected for defect injection. Next, a defect is determined based on whether the selected circuit element is a design-intent circuit element or a parasitic circuit element. After the defect is determined, the defect is injected into the circuit netlist and then the circuit is simulated. | 02-27-2014 |
20140053123 | DENSITY-BASED INTEGRATED CIRCUIT DESIGN ADJUSTMENT - The disclosed technology is related to adjusting an integrated circuit design while accounting for a local density of the design. In particular exemplary embodiments, a local density value for a layout design that defines a plurality of geometric shapes is derived. Subsequently, one or more of the geometric shapes are adjusted such that the local density value is preserved. With some implementations, the local density value is preserved if the adjusted local density value is within a threshold amount of the derived local density value. | 02-20-2014 |
20140052430 | Partitionless Multi User Support For Hardware Assisted Verification - Embodiments of the disclosed technology are directed toward facilitating the concurrent emulation of multiple electronic designs in a single emulator without partition restrictions. In certain exemplary embodiments, an emulation environment comprising an emulator and an emulation control station is provided. The emulation control station includes a model compaction module that is configured to combine multiple design models into a combined model. In some implementations, the design models are merged to form the combined model, where each design model is represented as a virtual design with the combined model. Subsequently, the emulator can be configured to implement the combined model. Furthermore, an emulation clock control component is provided that allows for portions of the emulated combined model to be “stalled” during emulation without affecting other portions. | 02-20-2014 |
20140047404 | TIMING-AWARE TEST GENERATION AND FAULT SIMULATION - Disclosed herein are exemplary methods, apparatus, and systems for performing timing-aware automatic test pattern generation (ATPG) that can be used, for example, to improve the quality of a test set generated for detecting delay defects or holding time defects. In certain embodiments, timing information derived from various sources (e.g. from Standard Delay Format (SDF) files) is integrated into an ATPG tool. The timing information can be used to guide the test generator to detect the faults through certain paths (e.g., paths having a selected length, or range of lengths, such as the longest or shortest paths). To avoid propagating the faults through similar paths repeatedly, a weighted random method can be used to improve the path coverage during test generation. Experimental results show that significant test quality improvement can be achieved when applying embodiments of timing-aware ATPG to industrial designs. | 02-13-2014 |
20140046650 | TRACE ROUTING NETWORK - Hardware emulation produces relevant and irrelevant trace data. Verification of a design under test requires knowledge of the relevant trace data. Data lines are provided to capture trace data from the hardware emulator's logic elements during emulation. The data lines connect the outputs of these logic elements to the inputs of a configurable interconnect circuit. The configurable interconnect circuit is capable of being programmed to select from a plurality of these inputs to connect a number of the data lines to a scan chain or trace data storage circuit. The configurable interconnect circuit can then selectively connect those data lines carrying relevant trace data to a trace data processing circuit. The trace data processing circuit may be a scan chain, analysis device or storage device or other suitable trace data processing device. | 02-13-2014 |
20140040850 | Manufacturability - Techniques are disclosed for modifying an existing microdevice design to improve its manufacturability. With these techniques, a designer receives manufacturing criteria associated with data in a design. The associated design data then is identified and provided to the microdevice designer, who may choose to modify the design based upon the manufacturing criteria. In this manner, the designer can directly incorporate manufacturing criteria from the foundry in the original design of the microdevice. | 02-06-2014 |
20140040848 | Controllable Turn-Around Time For Post Tape-Out Flow - A typical post-out flow data path at the IC Fabrication has following major components of software based processing—Boolean operations before the application of resolution enhancement techniques (RET) and optical proximity correctin (OPC), the RET and OPC step [etch retargeting, sub-resolution assist feature insertion (SRAF) and OPC], post-OPCRET Boolean operations and sometimes in the same flow simulation based verification. There are two objectives that an IC Fabrication tapeout flow manager wants to achieve with the flow—predictable completion time and fastest turn-around time (TAT). At times they may be competing. An alternative method of providing target turnaround time and managing the priority of jobs while not doing any upfront resource modeling and resource planning is disclosed. The methodology systematically either meets the turnaround time need and potentially lets the user know if it will not as soon as possible. | 02-06-2014 |
20140033164 | MUTUAL INDUCTANCE EXTRACTION USING DIPOLE APPROXIMATIONS - Various methods for analyzing mutual inductance in an integrated circuit layout are disclosed. In one exemplary embodiment, for instance, a circuit description indicative of the layout of signal wires and ground wires in the circuit is received. The signal wires and the ground wires are grouped into at least a first bundle and a second bundle, wherein the first bundle and the second bundle each comprise a respective signal-wire segment and one or more corresponding ground-wire segments. A representative dipole moment is calculated for the first bundle. Using the representative dipole moment, the mutual inductance between the first bundle and the second bundle is calculated. Computer-readable media storing computer-executable instructions for causing a computer to perform any of the disclosed methods or storing design databases created or modified using any of the disclosed techniques are also disclosed. | 01-30-2014 |
20140032204 | Partitionless Multi User Support For Hardware Assisted Verification - Technologies for debugging hardware errors discovered during hardware assisted software verification processes are provided. For example, in one embodiment, a concurrent emulation debug environment including a concurrent emulation system, an emulation trace module and a model state module is provided. The concurrent emulation system includes an emulator and an emulation control station configured to allow simultaneous emulation of multiple electronic designs. The model state module is configured to record the state of the electronic designs during emulation and the emulation trace module is configured to capture trace data associated with the emulation. A backup and capture module is also disclosed that is configured to store the recorded state and the captured trace data for use during a hardware debug process. | 01-30-2014 |
20140019924 | BIOMETRIC MARKERS IN A DEBUGGING ENVIRONMENT - This application discloses a debug tool to prompting display of at least a portion of a simulated output for a circuit design in a debug window, identifying a marker corresponding to a value in the simulated output has been specified for the debug environment, and prompting accentuation of one or more occurrences of the value in the debug window relative to other values in the simulated output based, at least in part, on the marker specified for the debug environment. | 01-16-2014 |
20140019923 | TEST BENCH HIERARCHY AND CONNECTIVITY IN A DEBUGGING ENVIRONMENT - This application discloses a design verification tool to collect messages generated by a test bench during elaboration of the test bench. The messages can identify connectivity corresponding to library components in the test bench. A debug tool can generate a schematic representation of the test bench having circuit symbols corresponding to at least portions of the library components, which are interconnected with trace lines based, at least in part, on the messages. The debug tool can prompt display of the schematic representation of the test bench. | 01-16-2014 |
20140013290 | Input Space Reduction for Verification Test Set Generation - Various implementations of the invention provide for the determination of a test set that satisfies a coverage model, where portions of the search space need not be searched in order to generate the test set. With various implementations of the invention, a search space defined by a set of inputs for an electronic design and a coverage model is identified. The search space is then fractured into subspaces. Subsequently, the subspaces are solved to determine if they include at least one input sequence that satisfies the coverage constraints defined in the coverage model. The subspaces found to include at least one input sequence that satisfies these coverage constraints, are then searched for unique input sequences in order to generate a test set. Subspaces found not to include at least one input sequence that satisfies the coverage constraints may be excluded from the overall search space. | 01-09-2014 |
20140006888 | CONTINUOUS APPLICATION AND DECOMPRESSION OF TEST PATTERNS AND SELECTIVE COMPACTION OF TEST RESPONSES | 01-02-2014 |
20140005999 | TEST BENCH TRANSACTION SYNCHRONIZATION IN A DEBUGGING ENVIRONMENT | 01-02-2014 |
20130326442 | RELIABILITY EVALUATION AND SYSTEM FAIL WARNING METHODS USING ON CHIP PARAMETRIC MONITORS - A method of reliability evaluation and system fail warning using on chip parametric monitors. The method includes determining impact of parametric variation on reliability by identifying key parametric questions to be answered by stress, identifying parametric macros for each parameter, and identifying layout sensitive areas of evaluation. The process can also include a set of parametric macros in one of a test site or a product to be stressed, testing the set of parametric macros prior to start of stress and at each stress read out, and setting life time parameter profile for technology. | 12-05-2013 |
20130321028 | NOR-OR Decoder - A decoder for decoding an address having a plurality of bits ranging from a first address bit a | 12-05-2013 |
20130318531 | Domain Bounding For Symmetric Multiprocessing Systems - Methods and apparatuses for bounding the processing domain in a symmetric multiprocessing system are provided. In various implementations, a particular computational task is “affined” to a particular processing unit. Subsequently, when the particular task is executed, the symmetric multiprocessing operating system ensures that the affined processing unit processes the instruction. When the affined processing unit is not processing the particular computational task, the symmetric multiprocessing operating system may cause the processing unit to process alternate instructions. With some implementations, a particular computational task is “linked” to a particular processing unit. Subsequently, when the particular task is executed, the symmetric multiprocessing operating system ensures that the bound processing unit processes the instruction. When the bound processing unit is not processing the particular computational instruction, the bound processing unit may enter a low power or idle state. | 11-28-2013 |
20130318487 | Programmable Circuit Characteristics Analysis - Techniques for analysis of an electrical circuit design are described, which techniques employ two phases: an initialization phase, and a check phase. During the initialization phase, a circuit design is examined to determine the predicted operating characteristics at various nodes within the design. If the design is hierarchically arranged, then the design is analyzed in a way that preserves its hierarchy. During the check phase, various implementations of the invention will check the determined operating characteristic values to see if they indicate that one or more design rules have been violated. A user may specify or “program” aspects of the analysis, both for the initialization phase and the check phase. | 11-28-2013 |
20130318484 | Third Party Component Debugging For Integrated Circuit Design - The application is directed towards facilitating the debugging of suspected errors in a proprietary component when the proprietary component is incorporated into a larger electronic design. Various implementations provide for the generation of a reference model for an integrated circuit design, where the reference model includes the proprietary component and sufficient information about the rest of the design to allow for the debugging of the proprietary component over a period of verification where the error in the proprietary component is suspected. | 11-28-2013 |
20130311627 | Virtual Use Of Electronic Design Automation Tools - A control server facilitates communication between a tool server hosting an instance of a software tool and a client device employed by a user of the software tool. The client device initially contacts the control server to request the use of the software tool. The control server then arranges for a separate computer to be configured as a tool server that can provide remote access to an instance of the software tool. The control server may provide usage information to the tool server that will control how the software tool may be used. The control server may also provide connection information to the client device, which the client device then can use to establish a connection with the tool server. Using the connection information, the client device then establishes a remote connection with the tool server, allowing the user of the client device to use the software tool hosted on the tool server through the remote connection. | 11-21-2013 |
20130305204 | HIERARCHICAL FEATURE EXTRACTION FOR ELECTRICAL INTERACTION CALCULATIONS - A method of calculating electrical interactions of circuit elements in an integrated circuit layout without flattening the entire database that describes the layout. In one embodiment, a hierarchical database is analyzed and resistance and capacitance calculations made for a repeating pattern of elements are re-used at each instance of the repeated pattern and adjusted for local conditions. In another embodiment, a circuit layout is converted into a number of tiles, wherein the resistance and capacitance calculations made for the circuit elements in the center and a boundary region of the tiles are computed separately and combined. Environmental information that affects electrical interaction between circuit elements in different levels of hierarchy is calculated at a lower level of hierarchy so that such calculations do not need to be made for each placement of a repeated cell and so that not all interacting elements need to be promoted to the same hierarchy level to compute the electrical interactions. | 11-14-2013 |
20130305195 | ANALYSIS OPTIMIZER - A method of preparing a set of target layout data for the application of a photolithographic friendly design (LFD) analysis or other photolithographic analysis. The target layout data is revised to remove areas or features prior to performing the LFD analysis. The features removed include features that have been determined to print correctly, duplicate features and features that are not sensitive to variations in process conditions. The revised target layout is analyzed to determine if the features that remain will print correctly on a wafer. | 11-14-2013 |
20130305111 | Circuit And Method For Simultaneously Measuring Multiple Changes In Delay - A circuit and method provide built-in measurement of delay changes in integrated circuit paths. The circuit includes a digital shift register to access multiple paths, and may be implemented in digital boundary scan to test I/O pin delays. Synchronous to a first frequency, the circuit applies an alternating signal to the paths and samples the paths' output logic values synchronous with a second frequency that is asynchronous and coherent to the first clock frequency. The shift register conveys the samples to a modulo counter that counts the number of samples between consecutive rising or consecutive falling edges in the signal samples from a selected path. Between the two edges, the path or a path characteristic is changed, and the resulting modulo count after the second edge is proportional to the change in delay. The circuit can compare the count, or the difference between counts, to test limits. | 11-14-2013 |
20130305107 | ON-CHIP COMPARISON AND RESPONSE COLLECTION TOOLS AND TECHNIQUES - Disclosed herein are exemplary embodiments of a so-called “X-press” test response compactor. Certain embodiments of the disclosed compactor comprise an overdrive section and scan chain selection logic. Certain embodiments of the disclosed technology offer compaction ratios on the order of 1000×. Exemplary embodiments of the disclosed compactor can maintain about the same coverage and about the same diagnostic resolution as that of conventional scan-based test scenarios. Some embodiments of a scan chain selection scheme can significantly reduce or entirely eliminate unknown states occurring in test responses that enter the compactor. Also disclosed herein are embodiments of on-chip comparator circuits and methods for generating control circuitry for masking selection circuits. | 11-14-2013 |
20130298102 | Input Space Reduction for Verification Test Set Generation - Various embodiments provide for the determination of a test set that satisfies a coverage model, where portions of the search space need not be searched in order to generate the test set. With various embodiments, a search space defined by a set of inputs for an electronic design and a coverage model is identified. The search space is then fractured into subspaces. Subsequently, the subspaces are solved to determine if they include at least one input sequence that satisfies the coverage constraints defined in the coverage model. The subspaces found to include at least one input sequence that satisfies these coverage constraints, are then searched for unique input sequences in order to generate a test set. Subspaces found not to include at least one input sequence that satisfies the coverage constraints may be excluded from the overall search space. | 11-07-2013 |
20130290795 | Test Scheduling With Pattern-Independent Test Access Mechanism - Disclosed are representative embodiments of methods, apparatus, and systems for test scheduling for testing a plurality of cores in a system on circuit. Test data are encoded to derive compressed test patterns that require small numbers of core input channels. Core input/output channel requirement information for each of the compressed test patterns is determined accordingly. The compressed patterns are grouped into test pattern classes. The formation of the test pattern classes is followed by allocation circuit input and output channels and test application time slots that may comprise merging complementary test pattern classes into clusters that can work with a particular test access mechanism. The test access mechanism may be designed independent of the test data. | 10-31-2013 |
20130286370 | SYSTEM AND METHOD OF PREDICTING PROBLEMATIC AREAS FOR LITHOGRAPHY IN A CIRCUIT DESIGN - A system and method is provided which predicts problematic areas for lithography in a circuit design, and more specifically, which uses modeling data from a modeling tool to accurately predict problematic lithographic areas. The method includes identifying surface heights of plurality of tiles of a modeled wafer, and mathematically mimicking a lithographic tool to determine best planes of focus for exposure for the plurality of tiles. | 10-31-2013 |
20130275112 | DELTA RETIMING IN LOGIC SIMULATION - Aspects of the present invention are directed to improving the speed of event-driven simulation by manipulating delta delays in a system model to reduce delta cycle executions. The manipulation is performed in a manner that preserves delta cycle accurate timing on selected signals of the system, which may be of interest to a designer. Methods and systems are provided for identifying the signals of interest, and for determining portions of the design that may have delta delays retimed. Preserving the timing on the signals of interest ensures that race conditions and glitches present in the design on the signals of interest are still viewable by the designer. To reduce simulation time, delta delays may be moved from high activity signals to low activity signals, the total number of delta delays may be reduced, or a number of processes executed may be reduced. | 10-17-2013 |
20130263074 | Analog Rule Check Waiver - When a designer designates one or more errors identified in layout design data as false errors, waiver geometric elements corresponding to the designated false errors are created and added to the design. The waiver geometric element may be associated with a verification rule that generated its corresponding false error. When the design is subsequently analyzed using those verification rules in another verification rule check process, the waiver geometric elements are examined, and used to mask those errors associated with a waiver geometric element that would otherwise be displayed to the designer. | 10-03-2013 |
20130254581 | Power Profiling For Embedded System Design - Tools and methods for profiling power consumption of an embedded system are provided. Power event and control modules, executable by the embedded system are provided. Additionally, a power measurement and control unit is provided that can measure the power consumption and limit the supply current to the embedded system. Furthermore, a power profiling tool is provided. The tool includes modules that interface with the power measurement and control unit and well as the power event and control modules. Then, power event and system data may be received by the power profiling tool from the embedded system and power consumption data may be received from the power measurement and control unit. Subsequently, power consumption metrics may be viewed by the power profiling tool. | 09-26-2013 |
20130246987 | Coexistence Of Multiple Verification Component Types In A Hardware Verification Framework - Coexistence of multiple types of verification components in a single verification framework is provided. Particularly, the coexistence of proprietary e verification components in an open verification methodology framework is provided. | 09-19-2013 |
20130246985 | METASTABILITY EFFECTS SIMULATION FOR A CIRCUIT DESCRIPTION - A circuit design that contains at least two clock domains is simulated using a novel system and method for injecting the effects of metastability. The system includes detectors for detecting, during simulation, when a clock in a transmit clock domain and a clock in a receive clock domain are aligned and when the input of a register receiving a clock-domain-crossing signal is changing. The system includes coverage monitors for measuring, during simulation, statistics related to metastability injection. The system accurately models the effects of metastability by, at appropriate times during simulation, pseudo-randomly inverting outputs of registers receiving clock-domain-crossing signals. By accurately modeling the effects of metastability, errors in the circuit design can be detected while simulating a pre-existing simulation test. The simulation with metastability effects injection is repeatable and requires no modification of pre-existing RTL design files or simulation test files. | 09-19-2013 |
20130246869 | ENHANCED DIAGNOSIS WITH LIMITED FAILURE CYCLES - Chain or logic diagnosis resolution can be enhanced in the presence of limited failure cycles using embodiments of the various methods, systems, and apparatus described herein. For example, pattern sets can be ordered according to a diagnosis coverage figure, which can be used to measure chain or logic diagnosability of the pattern set. Per-pin based diagnosis techniques can also be used to analyze limited failure data. | 09-19-2013 |
20130239124 | Event Queue Management For Embedded Systems - An event management structure for an embedded system, which supports multiple waiters waiting on the same event without replicating the events for each waiter, is provided. Notifications of events are received from entities within an embedded system. The event management architecture then posts the events to a central queue and generates a unique identification tag for each posted event. Additionally, entities within the embedded system are allowed to wait on specific events. More specifically, entities may request access to specific events based on the unique identification tag associated with a particular event. In further implementations, data associated with queued events may be provided to the waiters. In some implementations, events matching a specific description since a particular event, identified by its unique identification tag, may be requested by entities in the embedded system. | 09-12-2013 |
20130239084 | MEASURE OF ANALYSIS PERFORMED IN PROPERTY CHECKING - The amount of analysis performed in determining the validity of a property of a digital circuit is measured concurrent with performance of the analysis, and provided as an output when a true/false answer cannot be provided e.g. when stopped due to resource constraints. In some embodiments, a measure of value N indicates that a given property that is being checked will not be violated within a distance N from an initial state from which the analysis started. Therefore, in such embodiments, a measure of value N indicates that the analysis has implicitly or explicitly covered every possible excursion of length N from the initial state, and formally proved that no counter-example is possible within this length N. | 09-12-2013 |
20130238263 | METHODS AND SYSTEM FOR ANALYSIS AND MANAGEMENT OF PARAMETRIC YIELD - Impact on parametric performance of physical design choices for transistors is scored for on-current and off-current of the transistors. The impact of the design parameters are incorporated into parameters that measure predicted shift in mean on-current and mean off-current and parameters that measure predicted increase in deviations in the distribution of on-current and the off-current. Statistics may be taken at a cell level, a block level, or a chip level to optimize a chip design in a design phase, or to predict changes in parametric yield during manufacturing or after a depressed parametric yield is observed. Further, parametric yield and current level may be predicted region by region and compared with observed thermal emission to pinpoint any anomaly region in a chip to facilitate detection and correction in any mistakes in chip design. | 09-12-2013 |
20130232477 | Execution Time Profiling for Interpreted Programming Languages - Aspects of the invention are directed towards profiling computer programs that include interpreted functions. Various implementations provide for profiling a computer program, written in a first programming language, which includes an interpretive function that can execute a computer program, written in a second programming language. During profiling, when the interpretive function is called, the functions of the computer program written in the second programming languages are profiled. | 09-05-2013 |
20130227500 | Calculation System For Inverse Masks - A system for calculating mask data to create a desired layout pattern on a wafer reads all or a portion of a desired layout pattern. Mask data having pixels with transmission values is defined along with corresponding optimal mask data pixel transmission values. An objective function is defined that compares image intensities as would be generated on a wafer with an optimal image intensity at a point corresponding to a pixel. The objective function is minimized to determine the transmission values of the mask pixels that will reproduce the desired layout pattern on a wafer. | 08-29-2013 |
20130226531 | DESIGNING WIRING HARNESSES - A method of designing a wiring harness using a wiring harness design tool can include allowing a first user to access and edit a first wiring harness design component in a wiring harness design workspace, allowing a second user to access and edit a second wiring harness design component in the wiring harness design workspace at least during a portion of the time that the first user is allowed to access and edit the first wiring harness design component, and displaying the first and second wiring harness design components to the first and second users during at least a portion of the time that access is allowed to the first and second users. | 08-29-2013 |
20130219216 | Hybrid Memory Failure Bitmap Classification - Aspects of the invention relate to techniques for classifying memory failure bitmaps using both rule-based classification and artificial neural network-based classification methods. The rule-based classification method employs classification rules comprising those for global failure patterns. The artificial neural network-based classification method classifies local failure patterns. One of the artificial neural network models is the Kohonen self-organizing map model. The input vector for a failure pattern may contain four elements: pattern aspect ratio, failing bit ratio, dominant failing column number and dominant failing row number. | 08-22-2013 |
20130198709 | Verification Test Set and Test Bench Map Maintenance - Aspects of the invention provide for the maintenance of user modified portions of a map between a test bench and a test set generator during an iterative electronic design process. Various implementations of the invention provide for matching sections within a design for an electronic device with corresponding sections in a map between the elements in the design to elements in a graph representation of the design. The matched sections are then compared to determine if any discrepancies exists, such as, for example, if the design has been recently changed. If any discrepancies do exist, then it is determined whether the section of the map can be updated or must be replaced entirely to resolve the discrepancies. Various implementations of the invention provide that the process can be repeated during an iterative design flow such that as the design is modified during the iterative design flow, the map can be updated to reflect the changes. | 08-01-2013 |
20130198708 | Placement and Area Adjustment for Hierarchical Groups in Printed Circuit Board Design - Aspects of the invention are directed towards placing components within a layout design for a PCB. More specifically, various implementations of the invention provide methods and apparatuses that can dynamically adjust the shape or placement of component groups during an HGP process. With some implementations of the invention, an HGP process for planning the layout of a PCB is provided. Furthermore, component groups, which conflict, geographically, with either another component group or some other object within the layout design are allowed to be placed during the planning process. Subsequently, the placement locations for one or both of the conflicting component groups are adjusted to resolve the conflict. In some implementations, the geometric boundary, or footprint, of one or both of the component groups is adjusted to resolve the conflict. | 08-01-2013 |
20130198704 | Estimation of Power and Thermal Profiles - Aspects of the invention relate to techniques for estimating power and thermal profiles for an integrated circuit design. With various implementations of the invention, a group of devices is identified in a netlist based on information of the group of devices. The netlist may be a schematic netlist or a layout netlist extracted from a layout design. Power consumption information for the group of devices is determined based on device parameters for the group of devices and a lookup table. The determined power consumption information is then associated with layout location information. A thermal profile may then be estimated based on the power consumption information. | 08-01-2013 |
20130198700 | Layout Design Defect Repair Based On Inverse Lithography And Traditional Optical Proximity Correction - Aspects of the invention relate to techniques for repairing layout design defects after layout data have been processed by resolution enhancement techniques. The repair process first determines a re-correction region that includes three portions: core, context and visible portions. An inverse lithography process is then performed on the core portion of the re-correction region while taking into account effects from the context portion of the re-correction region to generate a first modified re-correction region. A traditional OPC process is then performed on the core and context portions of the first modified re-correction region while taking into account effects from the visible portion of the first modified re-correction region to generate a second modified re-correction region. | 08-01-2013 |
20130198699 | Pattern Matching Optical Proximity Correction - Aspects of the invention relate to techniques for improving speed and consistency of OPC processes based on pattern matching. Pattern matching may be performed on a layout design to determine one or more arrays in the layout design that comprise arrays of identical layout patterns of which each matches a reference pattern. The one or more arrays may then be partitioned into core portions and boundary portions. The OPC process information for the reference pattern may be applied to the core portions, while a conventional OPC process may be performed on the boundary portions and layout regions outside of the one or more arrays. | 08-01-2013 |
20130198698 | EDGE FRAGMENT CORRELATION DETERMINATION FOR OPTICAL PROXIMITY CORRECTION - Aspects of the invention relate to techniques for determining edge fragment correlation information. With various implementations of the invention, image intensity slope information for edge fragments in a layout design is determined. The image intensity slope information comprises information describing how image intensity for each of the edge fragments changes with its position. Image amplitude sensitivity information for the edge fragments is also determined. The image amplitude sensitivity information comprises information describing how image amplitude for each of the edge fragments changes with positions of neighboring edge fragments. Based on the image intensity slope information and the image amplitude sensitivity information, edge fragment correlation information for the edge fragments is determined. Using the edge fragment correlation information, the layout design may be processed by using, for example, OPC techniques. This OPC process may be performed on the whole layout design or problematic layout regions identified by a conventional OPC process. | 08-01-2013 |
20130191805 | Simulation Of Circuits With Repetitive Elements - Aspects of the invention relate to simulation of circuits with repetitive elements. With various implementations of the invention, a circuit design for simulation is analyzed to derive information of memory-circuit device groups that comprise word-line-driven device groups. If the circuit design is hierarchically structured, the circuit design is flattened to the device level but keep the memory-circuit device groups intact. The circuit design is then partitioned into a plurality of subcircuits for simulation. During transient simulation, whether an instance of a word-line-driven device group is activated is first determined. If activated, whether device model values exist for the word-line-driven device group at a voltage state associated with the activated instance is then determined. If they exist, the device model values are associated with the activated instance. If they do not exist, the device model values are computed for, stored for and associated with the activated instance. | 07-25-2013 |
20130191795 | Layout Design Defect Repair Using Inverse Lithography - Aspects of the invention relate to techniques for repairing layout design defects after layout data have been processed by resolution enhancement techniques. The repair process first determines a re-correction region that includes three portions: core, transition and visible portions. An inverse lithography process is then performed on the core and transition portions of the re-correction region while taking into account effects from the visible portion to generate a modified re-correction region. The transition portion is processed based on distance from boundary between the transition portion and the core portion such that layout features near the boundary between the transition portion and the core portion are adjusted more than layout features farther away from the boundary. | 07-25-2013 |
20130191792 | Sub-Resolution Assist Feature Repair - After layout design data has been modified using a resolution enhancement process, a repair flow is initiated. This repair flow includes checking a layout design altered by a resolution enhancement process for errors. A repair process is performed to correct detected sub-resolution assist feature errors. The repair process may employ a rule-based sub-resolution assist feature technique, a model-based sub-resolution assist feature technique, an inverse lithography-based sub-resolution assist feature technique, or any combination thereof. | 07-25-2013 |
20130166976 | Diagnosis-Aware Scan Chain Stitching - Aspects of the invention relate to techniques for determining scan chains that could be diagnosed with high resolution. A circuit design and the information of scan cells for the circuit design are analyzed to determine information of potential logic relationship between the scan cells. The information of potential logic relationship between the scan cells may comprise information of fan-in cones for the scan cells. Based at least in part on the information of potential logic relationship between the scan cells, scan chains may be formed. The formation of scan chains may be further based on layout information of the circuit design. The formation of scan chains may be further based on compactor information of the circuit design. | 06-27-2013 |
20130145213 | Dynamic Design Partitioning For Diagnosis - Aspects of the invention relate to techniques for fault diagnosis based on dynamic circuit design partitioning. According to various implementations of the invention, a sub-circuit is extracted from a circuit design based on failure information of one or more integrated circuit devices. The extraction process may comprise combining fan-in cones of failing observation points included in the failure information. The extraction process may further comprise adding fan-in cones of one or more passing observation points to the combined fan-in cones of the failing observation points. Clock information of test patterns and/or layout information of the circuit design may be extracted and used in the sub-circuit extraction process. The extracted sub-circuit may then be used for diagnosing the one or more integrated circuit devices. | 06-06-2013 |
20130139117 | Model-Based Fill - Various aspects of this disclosure relate to increasing pattern density in a circuit layout design of a circuit layer so as to control the thickness of material in a manufactured integrated circuit. For example, a layer in circuit design may be divided into separate areas, and a target thickness range may be established for all of the tiles in the integrated circuit design. Each area may be analyzed to determine if it has a sufficient pattern density for a thickness estimation model to accurately estimate its expected material thickness upon manufacture. Each tile may be analyzed to determine if the expected thickness for that tile is within the target thickness range. | 05-30-2013 |
20130132917 | Pattern Matching Hints - Aspects of the invention relate to techniques for generating and applying pattern matching hints. Pattern matching hints are determined for and stored with reference patterns. Once layout patterns that match a reference pattern are identified in a layout design through a pattern matching process, the corresponding pattern matching hints may be associated with the identified layout patterns. The association operation may comprise adjusting the identified layout patterns based on the corresponding pattern matching hints. | 05-23-2013 |
20130104091 | Tolerable Flare Difference Determination - Aspects of the invention relate to techniques for compensating flare effects in a lithographic process for an array of identical circuits to be fabricated on a wafer. According to various implementations of the invention, a reference circuit is selected from the array of identical circuits and intolerable flare difference regions are determined based on flare difference layers and tolerable flare difference layers. The lithographic process result for the array of identical circuit may be derived from that for the reference circuit and the intolerable flare difference regions. | 04-25-2013 |
20130080982 | Simulation And Correction Of Mask Shadowing Effect - Disclosed are techniques for simulating and correcting the mask shadowing effect using the domain decomposition method (DDM). According to various implementations of the invention, DDM signals for an extreme ultraviolet (EUV) lithography mask are determined for a plurality of azimuthal angles of illumination. Base on the DDM signals, one or more layout designs for making the mask may be analyzed and/or modified. | 03-28-2013 |
20130080849 | TEST PATTERN GENERATION FOR DIAGNOSING SCAN CHAIN FAILURES - Embodiments of the disclosed technology comprise techniques that can be used to generate scan chain test patterns and improve scan chain failure diagnosis resolution. For example, certain embodiments can be used to generate high quality chain diagnosis test patterns that are able to isolate a scan chain defect to a single scan cell. At least some embodiments can be used to locate faults over multiple capture cycles in the scan chain. | 03-28-2013 |
20130054161 | Cell-Aware Fault Model Generation For Delay Faults - Cell-aware fault models for delay faults are created for library cells. Analog one-clock-cycle fault simulations are first performed on a transistor-level netlist of a cell to identify type one detectable defects and type two detectable defects in defects of interest. The type one detectable defects are detectable by one-clock-cycle testing and their fault models may be created based on results of the analog one-clock-cycle fault simulations. The type two detectable defects are defects for which two-cycle detection conditions may be calculated from corresponding results of the analog one-cycle fault simulations. Analog two-clock-cycle fault simulations are then performed for the rest defects in the defects of interest to determine type three detectable defects and their detection conditions. The created cell-aware fault models may be used to generate cell-aware test patterns. | 02-28-2013 |
20130042213 | Data Flow Branching in Mask Data Preparation - Branching of the data-flow in a mask data preparation processes is described herein. In various implementations, the output stream from a first mask data processing operation is branched. Subsequently, the branched output stream may be connected to the input stream of a first independent mask data preparation operation and a second independent mask data preparation operation. This provides that the first and the second independent mask data preparation operations may operate in parallel. Furthermore, this provides that the first and the second independent mask data preparation operations may operate upon discrete “portions” of the data processed by the first mask data preparation operation. | 02-14-2013 |
20130036390 | Layout Content Analysis for Source Mask Optimization Acceleration - The invention provides for the acceleration of a source mask optimization process. In some implementations, a layout design is analyzed by a pattern matching process, wherein sections of the layout design having similar patterns are identified and consolidated into pattern groups. Subsequently, sections of the layout design corresponding to the pattern groups may be analyzed to determine their compatibility with the optical lithographic process, and the compatibility of these sections may be classified based upon a “cost function.” With further implementations, the analyzed sections may be classified as printable or difficult to print, depending upon the particular lithographic system. The compatibility of various sections of a layout design may then be utilized to optimize the layout design during a lithographic friendly design process. For example, during the design phase, sections categorized as difficult to print may be flagged for further optimization, processing, or redesign. In further implementations, the difficult-to-print sections may be subjected to a source mask optimization process. Subsequently, the entire layout design may receive a conventional resolution enhancement treatment using the optimized source. | 02-07-2013 |
20130018645 | Prediction Of Circuit Performance Variations Due To Device Mismatch - Aspects of the invention relate to techniques for predicting circuit performance variations due to device mismatch. Circuit simulation is performed to generate circuit simulation results based on a circuit description and information of circuit element parameters. Based on the simulation results, sensitivity information for the circuit design and current/charge deviations caused by individual circuit element parameter variations may be computed. Based on the sensitivity information and the current/charge deviations, steady-state mismatch effect information is determined. The determination may comprise first computing output parameter deviations caused by the individual variations of the circuit element parameters and then computing a total output parameter deviation based on the output parameter deviations. | 01-17-2013 |
20120320692 | RANDOM ACCESS MEMORY FOR USE IN AN EMULATION ENVIRONMENT - A Random Access Memory (RAM) and method of using the same are disclosed. The RAM includes a plurality of memory cells arranged in columns and in rows with each memory cell coupled to at least one word line and at least one bit line. The RAM includes a plurality of switches with at least one of the switches coupled between two of the memory cells to allow data to be copied from one of the two memory cells to the other of the two memory cells. | 12-20-2012 |
20120317454 | MULTI-TARGETING BOOLEAN SATISFIABILITY-BASED TEST PATTERN GENERATION - Disclosed are representative examples of methods, apparatus, and systems for generating test patterns targeting multiple faults using Boolean Satisfiability (SAT)-based test pattern generation methods. A SAT instance is constructed based on the circuit design information and a set of faults being targeted. A SAT solving engine is applied to the SAT instance to search for a test pattern for detecting the set of faults. The SAT instance or the SAT solving engine may be modified so that the SAT solving engine will search for a test pattern for detecting a maximum number of faults in the set of faults. | 12-13-2012 |
20120284010 | Resource Remapping in a Hardware Emulation Environment - A system and method is disclosed in an emulation environment that dynamically remaps user designs. In one embodiment, a request is received to load an integrated circuit design to be emulated in a desired partition within the emulator. The emulator automatically determines the availability of the partition requested. If the partition is not available, the design is dynamically remapped to a different partition that is available. In another embodiment, clocks associated with the integrated circuit design are also dynamically remapped. In yet another embodiment, the user can control the size of the partitions (e.g., the number of printed circuit boards in a partition). | 11-08-2012 |
20120266117 | Logic Injection - A technique for reducing a circuit listing. According to examples of the technique, at least a portion of a circuit listing is analyzed to identify occurrences of a circuit structure made up of a plurality of circuit components. For each identified occurrence of the defined circuit structure, an injection data object is created corresponding to the plurality of components, and the injection data object is substituted into the portion of the circuit listing in place of the plurality of components. For each occurrence of the defined structure, one or more properties of the occurrence of the defined structure may be determined and contained by the corresponding injection data object. | 10-18-2012 |
20120166171 | MODELLING AND SIMULATION METHOD - A method for simulating behaviour of first and second interrelated components within a system. The method comprises modelling behaviour of said first and second components using first and second functional specifications; simulating behaviour of said first and second components in predetermined circumstances by instantiating at least one first entity within a hierarchy of interrelated entities; and instantiating at least one further entity in response to the or each instantiated first entity. The or each further entity is selected by a simulation system on the basis of its hierarchical relationship with the at least one first entity. | 06-28-2012 |
20120144351 | ANALYSIS OPTIMZER - A method of preparing a set of target layout data for the application of a photolithographic friendly design (LFD) analysis or other photolithographic analysis. The target layout data is revised to remove areas or features prior to performing the LFD analysis. The features removed include features that have been determined to print correctly, duplicate features and features that are not sensitive to variations in process conditions. The revised target layout is analyzed to determine if the features that remain will print correctly on a wafer. | 06-07-2012 |
20120144350 | GATE MODELING FOR SEMICONDUCTOR FABRICATION PROCESS EFFECTS - In one embodiment, a method for determining a contour simplification of an object for a simulation is provided. An object in a layout of a transistor design to be created with the photolithographic process is determined. The object includes a width and a length in the layout. A contour simulation is performed to determine a generated contour object. The contour simulation simulates parametric variation factors that may occur in the photolithographic process. An adjusted width and adjusted length of the object is then determined based on the generated contour object. The adjusted width and the adjusted length are usable to determine a parametric model for simulation of the object. For example, a layout versus schematic (LVS) tool may back-annotate the layout. Then, a SPICE simulation may use the output of the LVS tool to verify the electrical behavior of the transistor using the adjusted width and adjusted length. | 06-07-2012 |
20120136645 | Managing Communication Bandwidth in Co-Verification of Circuit Designs - Related communication signals between a simulator and an emulator are organized into logical channels. The signals in each channel are then be transmitted only as needed, reducing the use of the communication pathways between the simulator and the emulator. Further, the circuit components that will receive the communication signals to be shared on a channel are be physically located close together within the emulator, thereby reducing the time required to select and enable components of the emulator to receive the signals sent by the simulator. Similarly, emulator components that send communication signals to be shared on a channel are physically located close together within the emulator, thereby reducing the time required to select and enable components of the emulator to send these signals to the simulator. | 05-31-2012 |
20110307844 | Model-Based Fill - Various aspects of this disclosure relate to increasing pattern density in a circuit layout design of a circuit layer so as to control the thickness of material in a manufactured integrated circuit. For example, a layer in circuit design may be divided into separate areas, and a target thickness range may be established for all of the tiles in the integrated circuit design. Each area may be analyzed to determine if it has a sufficient pattern density for a thickness estimation model to accurately estimate its expected material thickness upon manufacture. Each tile may be analyzed to determine if the expected thickness for that tile is within the target thickness range. | 12-15-2011 |
20110252385 | SELECTIVE SHIELDING FOR MULTIPLE EXPOSURE MASKS - A system for preparing mask data to create a desired layout pattern on a wafer with a multiple exposure photolithographic printing system. In one embodiment, boundaries of features are expanded to create shields for those features, or portions thereof, that are not oriented in a direction that are printed with greater fidelity by an illumination pattern used in the multiple exposure printing system. | 10-13-2011 |
20110246953 | SELECTIVE SHIELDING FOR MULTIPLE EXPOSURE MASKS - A system for preparing mask data to create a desired layout pattern on a wafer with a multiple exposure photolithographic printing system. In one embodiment, boundaries of features are expanded to create shields for those features, or portions thereof, that are not oriented in a direction that are printed with greater fidelity by an illumination pattern used in the multiple exposure printing system. | 10-06-2011 |
20110191643 | Detection And Diagnosis Of Scan Cell Internal Defects - A diagnosis technique to improve scan cell internal defect diagnostic resolution using scan cell internal fault models. | 08-04-2011 |
20110179326 | The Performance Of Signature-Based Diagnosis For Logic BIST - Techniques are disclosed for reducing the set of initial candidates in signature based diagnosis methodology. These techniques are based on a unique way of making optimum use of information from logic back-cone tracing along with equations that describe the test response compactor. | 07-21-2011 |
20110161066 | DELTA RETIMING IN LOGIC SIMULATION - Aspects of the present invention are directed to improving the speed of event-driven simulation by manipulating delta delays in a system model to reduce delta cycle executions. The manipulation is performed in a manner that preserves delta cycle accurate timing on selected signals of the system, which may be of interest to a designer. Methods and systems are provided for identifying the signals of interest, and for determining portions of the design that may have delta delays retimed. Preserving the timing on the signals of interest ensures that race conditions and glitches present in the design on the signals of interest are still viewable by the designer. To reduce simulation time, delta delays may be moved from high activity signals to low activity signals, the total number of delta delays may be reduced, or a number of processes executed may be reduced. | 06-30-2011 |
20110138346 | MEASURE OF ANALYSIS PERFORMED IN PROPERTY CHECKING - The amount of analysis performed in determining the validity of a property of a digital circuit is measured concurrent with performance of the analysis, and provided as an output when a true/false answer cannot be provided e.g. when stopped due to resource constraints. In some embodiments, a measure of value N indicates that a given property that is being checked will not be violated within a distance N from an initial state from which the analysis started. Therefore, in such embodiments, a measure of value N indicates that the analysis has implicitly or explicitly covered every possible excursion of length N from the initial state, and formally proved that no counter-example is possible within this length N. | 06-09-2011 |
20110119643 | SUM OF COHERENT SYSTEMS (SOCS) APPROXIMATION BASED ON OBJECT INFORMATION - A method for determining kernels in a sum of coherent systems (SOCS) approximation is provided. Information for an object to be simulated in a manufacturing process is determined. For example, information based on geometries that are included in a layout or mask is determined. A set of kernels from a transmission cross coefficient (TCC) matrix are also determined. The set of kernels may be weighted by importance values in an order of importance. The kernels may then be re-ordered based on the information for the object. These kernels are then re-ordered in the SOCS series to reflect their order of importance. The SOCS series of kernels is then truncated at the number of kernels desired. Accordingly, by re-ordering the kernels that may be more relevant to the object to include higher weights, when the truncation occurs, the kernels that are most relevant may be included in the SOCS approximation. | 05-19-2011 |
20110072401 | Model-Based Fill - Various aspects of this disclosure relate to increasing pattern density in a circuit layout design of a circuit layer so as to control the thickness of material in a manufactured integrated circuit. For example, a layer in circuit design may be divided into separate areas, and a target thickness range may be established for all of the tiles in the integrated circuit design. Each area may be analyzed to determine if it has a sufficient pattern density for a thickness estimation model to accurately estimate its expected material thickness upon manufacture. Each tile may be analyzed to determine if the expected thickness for that tile is within the target thickness range. | 03-24-2011 |
20100313058 | System and Method of Clocking an IP Core During a Debugging Operation - According to the invention, an IP core is clocked during a debugging operation by switching from the clock used for testing the device under test to a clock oscillator or any other free-running clock source. | 12-09-2010 |
20100199240 | Parallel Electronic Design Automation: Shared Simultaneous Editing - A method to simultaneously allow multiple users to edit in shared areas of a master design includes displaying the master design, allowing a first user to edit in a shared area of the design, while simultaneously allowing a second user to edit in a shared area of the design while preserving the integrity of the design. | 08-05-2010 |
20100162192 | Logic Injection - A technique for reducing a circuit listing. According to examples of the technique, at least a portion of a circuit listing is analyzed to identify occurrences of a circuit structure made up of a plurality of circuit components. For each identified occurrence of the defined circuit structure, an injection data object is created corresponding to the plurality of components, and the injection data object is substituted into the portion of the circuit listing in place of the plurality of components. For each occurrence of the defined structure, one or more properties of the occurrence of the defined structure may be determined and contained by the corresponding injection data object. | 06-24-2010 |
20100141297 | Configuration of Reconfigurable Interconnect Portions - Configuring reconfigurable interconnect resources employing a switch matrix and configuration bit look-up table are disclosed. Reconfigurable interconnect resources include multiplexors to decrease the number of bits needed to load a configuration. Distributed processing resources configure a selected reconfigurable interconnect resource, interconnecting each input of the reconfigurable interconnect resource with a particular output of the reconfigurable interconnect resource using configuration bits scalably extracted from a row of configuration bits of a look-up table. Use of a configuration bit look-up table allows for compression of the bits needed to load the configuration for a reconfigurable interconnect resource. | 06-10-2010 |
20100057426 | Logic Design Modeling and Interconnection - A dynamic reconfigurable interconnect network architecture in a logic simulation system that interconnects a plurality of simulation engines together, providing a high degree of interconnectivity in an efficient manner. The logic simulation system may create and manage linkable sub-programs for execution by a simulation engine. The logic simulation system may schedule various tasks in a design to be simulated, including horizontal and vertical partitioning of the design and determination of an order in which events such as clock edges and asynchronous signals are to be implemented by a logic simulation system. | 03-04-2010 |
20090276749 | GATE MODELING FOR SEMICONDUCTOR FABRICATION PROCESS EFFECTS - In one embodiment, a method for determining a contour simplification of an object for a simulation is provided. An object in a layout of a transistor design to be created with the photolithographic process is determined. The object includes a width and a length in the layout. A contour simulation is performed to determine a generated contour object. The contour simulation simulates parametric variation factors that may occur in the photolithographic process. An adjusted width and adjusted length of the object is then determined based on the generated contour object. The adjusted width and the adjusted length are usable to determine a parametric model for simulation of the object. For example, a layout versus schematic (LVS) tool may back-annotate the layout. Then, a SPICE simulation may use the output of the LVS tool to verify the electrical behavior of the transistor using the adjusted width and adjusted length. | 11-05-2009 |
20090235209 | Manufacturability - Techniques are disclosed for modifying an existing microdevice design to improve its manufacturability. With these techniques, a designer receives manufacturing criteria associated with data in a design. The associated design data then is identified and provided to the microdevice designer, who may choose to modify the design based upon the manufacturing criteria. In this manner, the designer can directly incorporate manufacturing criteria from the foundry in the original design of the microdevice. | 09-17-2009 |
20090070732 | Fracture Shot Count Reduction - Techniques are described for reducing the number of shots in a fractured layout design. Each polygon in a layout design is examined for “jogs.” For each identified jog, the surrounding region is examined to determine if there is an opposing jog or parallel edge that can be aligned with the identified jog. The surrounding region then is examined for any polygon features, such as edges or vertices, which might restrict or prevent the alignment of the identified jog with the opposing jog or edge. If the identified jog can be aligned with an opposing jog or edge without violating a specified alignment constraint, then those jogs are deemed an alignable jog pair. Next, one or more of the alignable jog pairs is selected for alignment. The alignable jog pairs may be selected for alignment based upon their impact on the size of the polygon when aligned. Once one or more of the alignable jog pairs have been selected, then the layout design data will be modified to align the selected jog pairs. | 03-12-2009 |
20090070731 | Distributed Mask Data Preparation - Layout data is divided into segments of data, and each segment of data is distributed to a computing node in a parallel processing fracturing tool. During the fracturing process, the fracturing tool generates one or more global parameter values for each segment of data. After the fracturing process is completed, the fracturing tool will merge the segments back together using the global parameter values to ensure that the merger of the data segments does not exceed a constraint of the reticle or mask writer in which the fractured data will be employed. | 03-12-2009 |
20090044157 | Acyclic Modeling of Combinational Loops - Aspects of the present invention are directed to converting non-oscillatory combinational loops into acyclic circuits. Combinational loops may be modeled as state-holding elements where non-oscillatory loops are broken using edge-sensitive latches. In addition to providing a way to model combinational loops originally consisting only of gates (i.e., without originally including any state-holding elements), loops that have paths through user latches may also be converted. The presented methodology may be used with both small and large loops. | 02-12-2009 |
20090013292 | CONTEXT DEPENDENT TIMING ANALYSIS AND PREDICTION - In one embodiment, a method for providing a context aware timing analysis is provided. A library of cells is pre-computed to take into account contouring that may result based on possible context situations for instances in an integrated circuit design. This results in a library that includes a characterization for each of the plurality of context situations. The timing analysis may then be run after pre-computing the library based on the context situations. The context situation for instances in the integrated circuit design are determined. Then a characterization for the instances based on the context situation is determined from the pre-computed library of characterizations. Because the characterization for the context situations was pre-computed based on possible combinations of context situations that may be found in the design, a lookup of the characterized timing information may be performed. Thus, a re-characterization during runtime does not need to be performed. | 01-08-2009 |
20080288907 | CROSSLINKING OF NETLISTS - In one embodiment, a method for determining crosslinking between netlists is provided. The first netlist and second netlist may have nets that have different net names but may be the same net. It is also possible that the content of individual nets in one list may need to be split or combined to accurately match the other list. Complete results will not be obtained if only 1 to 1 content matches are considered. The method determines an exploded list of one of the netlists, such as the second netlist, where the netlist is reversed such that the pins of the netlist are used as keys to an associated net name. A pin in the first netlist is then determined. The pin may be associated with a first net name in the first netlist. The pin is looked up in the exploded list using it as a key to determine a second net name for the pin. The process continues using each pin in the first netlist to determine the net name associated with the pin in the second netlist. When this process is finished, crosslinks between net names that match across netlists are determined. | 11-20-2008 |
20080235646 | Spacers for Reducing Crosstalk and Maintaining Clearances - In one aspect of the invention is a method for reducing crosstalk and maintaining clearances between traces on a printed circuit board design. Crosstalk caused by placing traces a virtual printed circuit board are reduced by placing artificial obstructs, called spacers, between traces and/or between traces and nets to create a user-specified clearance between the traces and/or nets. As additional traces and/or nets are added to the virtual printed circuit board, the spacers are dynamic and adjust accordingly to maintain the specified clearances. | 09-25-2008 |
20080204159 | Digital FM Modulator - Provided are apparatuses and methods for digital FM modulation. In one example, a message signal is integrated by an integrator to transform the message signal into a complex signal. The complex signal may include at least two complex components that may interfere to produce an FM modulated carrier signal. Hence, in this example, the method and apparatus for digital FM modulation may produce an FM modulated carrier signal without phase shifting. In another example, a lookup table is not necessary for modulation of the carrier signal. | 08-28-2008 |