Lam Research Corporation Patent applications |
Patent application number | Title | Published |
20160112390 | Method, Apparatus, and System for Establishing a Virtual Tether between a Mobile Device and a Semiconductor Processing Tool - A method for establishing a virtual tether between a mobile device and a semiconductor processing tool, the method including: obtaining, by a mobile device, a unique key associated with the semiconductor processing tool; establishing a unique pairing between the mobile device and the semiconductor processing tool based on the unique key that is obtained by the mobile device; in response to successfully establishing the unique pairing, authenticating a user of the mobile device for access to the semiconductor processing tool; in response to successfully authenticating the user, performing resource arbitration on the semiconductor processing tool which includes reserving one or more resources associated with the semiconductor processing tool based on a level of access granted to the user; monitoring an activity level of the mobile device over a period of time; and comparing the activity level to a predetermined activity level threshold. | 04-21-2016 |
20160111314 | ESC ASSEMBLY INCLUDING AN ELECTRICALLY CONDUCTIVE GASKET FOR UNIFORM RF POWER DELIVERY THERETHROUGH - A substrate processing apparatus for processing substrates comprises a processing chamber in which a substrate is processed. A process gas source is adapted to supply process gas into the processing chamber. A RF energy source is adapted to energize the process gas into a plasma state in the processing chamber. A vacuum source is adapted to exhaust byproducts of the processing from the processing chamber. The processing chamber includes an electrostatic chuck assembly having a layer of ceramic material that includes an upper electrostatic clamping electrode and at least one RF electrode, a temperature controlled RF powered baseplate, and at least one annular electrically conductive gasket extending along an outer portion of an upper surface of the temperature controlled RF powered baseplate. The at least one annular electrically conductive gasket electrically couples the upper surface of the temperature controlled RF powered baseplate to the at least one RF electrode. | 04-21-2016 |
20160111258 | GAS SUPPLY DELIVERY ARRANGEMENT INCLUDING A GAS SPLITTER FOR TUNABLE GAS FLOW CONTROL - A gas supply delivery arrangement of a plasma processing system for processing a substrate with gases introduced through at least first, second, and third gas injection zones comprises process gas supply inlets and tuning gas inlets. A mixing manifold comprises gas sticks in fluid communication with a process gas supply and tuning gas sticks in fluid communication with a tuning gas supply. A first gas outlet delivers gas to the first gas injection zone, a second gas outlet delivers gas to the second gas injection zone, and a third gas outlet delivers gas to the third gas injection zone. A gas splitter is in fluid communication with the mixing manifold, and includes a first valve arrangement which splits mixed gas exiting the mixing manifold into a first mixed gas supplied to the first gas outlet and a second mixed gas supplied to the second, and/or third gas outlets. | 04-21-2016 |
20160111257 | SUBSTRATE FOR MOUNTING GAS SUPPLY COMPONENTS AND METHODS THEREOF - A gas delivery substrate for mounting gas supply components of a gas delivery system for a semiconductor processing apparatus. The substrate includes a plurality of layers having major surfaces thereof bonded together forming a laminate with openings for receiving and mounting first, second, third and fourth gas supply components on an outer major surface. The substrate includes a first gas channel extending into an interior major surface that at least partially overlaps a second gas channel extending into a different interior major surface. The substrate includes a first gas conduit including the first gas channel connecting the first gas supply component to the second gas supply component, and a second gas conduit including the second channel connecting the third gas supply component to the forth gas supply component. | 04-21-2016 |
20160103088 | METHOD AND APPARATUS FOR DIAGNOSING STATUS OF PARTS IN REAL TIME IN PLASMA PROCESSING EQUIPMENT - Apparatus and methods for diagnosing status of a consumable part of a plasma reaction chamber, the consumable part including at least one conductive element embedded therein. The method includes the steps of: coupling the conductive element to a power supply so that a bias potential relative to the ground is applied to the conductive element; exposing the consumable part to plasma erosion until the conductive element draws a current from the plasma upon exposure of the conductive element to the plasma; measuring the current; and evaluating a degree of erosion of the consumable part due to the plasma based on the measured current. | 04-14-2016 |
20160086776 | EDGE-CLAMPED AND MECHANICALLY FASTENED INNER ELECTRODE OF SHOWERHEAD ELECTRODE ASSEMBLY - An inner electrode of a showerhead electrode assembly useful for plasma etching includes features providing improved positioning accuracy and reduced warping, which leads to enhanced uniformity of plasma processing rate. The assembly can include a thermal gasket set and fasteners such as bolts or cam locks located on a radius of ¼ to ½ the radius of the inner electrode. A method of assembling the inner electrode and gasket set to a supporting member is also provided. | 03-24-2016 |
20160079100 | VACUUM CARRIER INTERFACE HAVING A SWITCHABLE REDUCED CAPACITY AIRLOCK CHAMBER - A vacuum carrier interface configured to interface with a transfer module, the vacuum carrier interface including an input interface configured to receive one or more substrates at atmospheric pressure; a substrate handling manifold configured to receive the one or more substrates from the input interface at atmospheric pressure and interface with the transfer module in a vacuum; an output interface configured to deliver one or more substrates to the transfer module from the substrate handling manifold; a vacuum manifold base plate and a lower pedestal, which are spaced apart, the vacuum manifold base plate and the lower pedestal forming a chamber between a lower surface of the vacuum manifold base plate and an upper surface of the lower pedestal; and an indexer configured to raise and lower the vacuum manifold base plate and the lower pedestal. | 03-17-2016 |
20160079041 | HEAT TRANSFER PLATE FOR A SHOWERHEAD ELECTRODE ASSEMBLY OF A CAPACITIVELY COUPLED PLASMA PROCESSING APPARATUS - A heat transfer plate useful in a showerhead electrode assembly of a capacitively coupled plasma processing apparatus. The heat transfer plate includes independently controllable gas volumes which may be pressurized to locally control thermal conductance between a heater member and a cooling member such that uniform temperatures may be established on a plasma exposed surface of the showerhead electrode assembly. | 03-17-2016 |
20160076989 | CORROSION SENSOR RETAINER ASSEMBLY APPARATUS AND METHOD FOR DETECTING CORROSION - A corrosion sensor retainer assembly and method for predicting and detecting corrosion within a gas delivery system of a semiconductor substrate processing apparatus. The corrosion sensor retainer assembly comprises a laminate that includes a first insulating layer with a first port and a second insulating layer with a second port, wherein the first port and the second port are configured to retain a seal. The corrosion sensor retainer assembly includes a conductor housed within the laminate. The conductor forms a path that extends around the first port and the second port. At least a portion of the conductor has an exposed surface with a property that changes in the presence of corrosive gas or acid. | 03-17-2016 |
20160050781 | MOVABLE GROUND RING FOR MOVABLE SUBSTRATE SUPPORT ASSEMBLY OF A PLASMA PROCESSING CHAMBER - A movable ground ring of a movable substrate support assembly that includes a step configured to support a consumable isolation ring. The consumable isolation ring is configured to electrically isolate the movable ground ring from a dielectric ring of the movable substrate support assembly. | 02-18-2016 |
20160049495 | SEMICONDUCTOR STRUCTURES WITH COPLANAR RECESSED GATE LAYERS AND FABRICATION METHODS - Semiconductor structures and fabrication methods are provided which includes, for instance, providing a gate structure over a semiconductor substrate, the gate structure including multiple conformal gate layers and a gate material disposed within the multiple conformal gate layers; recessing a portion of the multiple conformal gate layers below an upper surface of the gate structure, where upper surfaces of recessed, multiple conformal gate layers are coplanar; and removing a portion of the gate material to facilitate an upper surface of a remaining portion of the gate material to be coplanar with an upper surface of the recessed, multiple conformal gate layers. | 02-18-2016 |
20160035542 | METHOD OF CONDITIONING VACUUM CHAMBER OF SEMICONDUCTOR SUBSTRATE PROCESSING APPARATUS - A method of conditioning a vacuum chamber of a semiconductor substrate processing apparatus includes forming a layer of an organic polymeric film on plasma or process gas exposed surfaces thereof. The method includes: (a) flowing a first reactant in vapor phase of a diacyl chloride into the vacuum chamber; (b) purging the vacuum chamber after a flow of the first reactant has ceased; (c) flowing a second reactant in vapor phase into the vacuum chamber selected from the group consisting of a diamine, a diol, a thiol, and a trifunctional compound to form a layer of an organic polymeric film on the plasma or process gas exposed surfaces of the vacuum chamber; and (d) purging the vacuum chamber to purge excess second reactant and reaction byproducts from the vacuum chamber. | 02-04-2016 |
20150380281 | CERAMIC SHOWERHEAD INCLUDING CENTRAL GAS INJECTOR FOR TUNABLE CONVECTIVE-DIFFUSIVE GAS FLOW IN SEMICONDUCTOR SUBSTRATE PROCESSING APPARATUS - An inductively coupled plasma processing apparatus comprises a vacuum chamber, a vacuum source, and a substrate support on which a semiconductor substrate is supported. A ceramic showerhead forms an upper wall of the vacuum chamber. The ceramic showerhead includes a gas plenum in fluid communication with a plurality of showerhead gas outlets for supplying process gas to the interior of the vacuum chamber, and a central opening configured to receive a central gas injector. A central gas injector is disposed in the central opening of the ceramic showerhead. The central gas injector includes a plurality of gas injector outlets for supplying process gas to the interior of the vacuum chamber. An RF energy source energizes the process gas into a plasma state to process the semiconductor substrate. The flow rate of the process gas supplied by the central gas injector and the flow rate of the process gas supplied by the ceramic showerhead can be independently controlled. | 12-31-2015 |
20150364322 | SILICON CONTAINING CONFINEMENT RING FOR PLASMA PROCESSING APPARATUS AND METHOD OF FORMING THEREOF - A method of forming a silicon containing confinement ring for a plasma processing apparatus useful for processing a semiconductor substrate comprises inserting silicon containing vanes into grooves formed in a grooved surface of an annular carbon template wherein the grooved surface of the annular carbon template includes an upwardly projecting step at an inner perimeter thereof wherein each groove extends from the inner perimeter to an outer perimeter of the grooved surface. The step of the grooved surface and a projection at an end of each silicon containing vane is surrounded with an annular carbon member wherein the annular carbon member covers an upper surface of each silicon containing vane in each respective groove. Silicon containing material is deposited on the annular carbon template, the annular carbon member, and exposed portions of each silicon containing vane thereby forming a silicon containing shell of a predetermined thickness. A portion of the silicon containing shell is removed and the annular carbon template and the annular carbon member are removed from the silicon containing shell leaving a silicon containing confinement ring wherein the silicon containing vanes are supported by the silicon containing shell of the silicon containing confinement ring. | 12-17-2015 |
20150348772 | Metallization Of The Wafer Edge For Optimized Electroplating Performance On Resistive Substrates - A method for electroplating a substrate is provided, including: providing a substrate having a conductive layer disposed on a top surface of the substrate, the top surface of the substrate having an edge exclusion region and a process region; directing a flow of an electroless deposition solution toward the edge exclusion region while the substrate is rotated, to plate metallic material over the conductive layer at the edge exclusion region; continuing the flow of the electroless deposition solution for a period of time to produce an increased thickness of the metallic material at the edge exclusion region, wherein the increased thickness of the metallic material reduces electrical resistance of the metallic material at the edge exclusion region; applying electrical contacts over the metallic material, and applying electrical current to the metallic material via the electrical contacts while an electroplating solution is applied over the process region of the substrate. | 12-03-2015 |
20150340225 | BACK SIDE DEPOSITION APPARATUS AND APPLICATIONS - The embodiments disclosed herein pertain to methods and apparatus for depositing stress compensating layers and sacrificial layers on either the front side or back side of a substrate. In various implementations, back side deposition occurs while the wafer is in a normal front side up orientation. The front/back side deposition may be performed to reduce stress introduced through deposition on the front side of the wafer. The back side deposition may also be performed to minimize back side particle-related problems that occur during post-deposition processing such as photolithography. | 11-26-2015 |
20150337450 | DENSE OXIDE COATED COMPONENT OF A PLASMA PROCESSING CHAMBER AND METHOD OF MANUFACTURE THEREOF - A method of forming a dense oxide coating on an aluminum component of semiconductor processing equipment comprises cold spraying a layer of pure aluminum on a surface of the aluminum component to a predetermined thickness. A dense oxide coating is then formed on the layer of pure aluminum using a plasma electrolytic oxidation process, wherein the plasma electrolytic oxidation process causes the layer of pure aluminum to undergo microplasmic discharges, thus forming the dense oxide coating on the layer of pure aluminum on the surface of the aluminum component. | 11-26-2015 |
20150318150 | REAL-TIME EDGE ENCROACHMENT CONTROL FOR WAFER BEVEL - A plasma processing system includes a bottom electrode disposed in a chamber. A lower extended electrode is disposed around the bottom electrode. An upper ceramic plate is disposed above the bottom electrode in an opposing relationship. An upper extended electrode is disposed around the upper ceramic plate. A lower process exclusion zone (PEZ) ring is situated between the lower extended electrode and the bottom electrode. An upper PEZ ring is situated between the upper extended electrode and the upper ceramic plate, with the upper PEZ ring having an RF electrode ring embedded therein. The system also includes a first RF generator for generating RF power for the bottom electrode, a second RF generator for generating RF power for the RF electrode ring embedded in the upper PEZ ring, and a controller for transmitting processing instructions. The processing instructions include power settings for the first and second RF generators. | 11-05-2015 |
20150318147 | GAS DISTRIBUTION SHOWERHEAD FOR INDUCTIVELY COUPLED PLASMA ETCH REACTOR - A two piece ceramic showerhead includes upper and lower plates which deliver process gas to an inductively coupled plasma processing chamber. The upper plate overlies the lower plate and includes radially extending gas passages which extend inwardly from an outer periphery of the upper plate, axially extending gas passages in fluid communication with the radially extending gas passages and an annular recess forming a plenum between the upper and lower plates. The lower plate includes axially extending gas holes in fluid communication with the plenum. The upper plate can include eight radially extending gas passages evenly spaced around the periphery of the upper plate and the lower plate can include inner and outer rows of gas holes. The two piece ceramic showerhead forms a dielectric window of the chamber through which radiofrequency energy generated by an antenna is coupled into the chamber. A gas delivery system delivers process gas to a plenum between the upper and lower plates having a gas volume of no greater than 500 cm | 11-05-2015 |
20150316857 | PLASMA DRY STRIP PRETREATMENT TO ENHANCE ION IMPLANTED RESIST REMOVAL - Systems and methods for processing a substrate include exposing a substrate to UV light from a UV light source having a predetermined wavelength range. The substrate includes a photoresist layer that has been bombarded with ions. The method includes controlling a temperature of the substrate, while exposing the substrate to the UV light, to a temperature less than or equal to a first temperature. The method includes removing the photoresist layer using plasma while maintaining a temperature of the substrate to less than or equal to a strip process temperature after exposing the substrate to the UV light. | 11-05-2015 |
20150311129 | SYSTEMS AND METHODS FOR DETECTING ENDPOINT FOR THROUGH-SILICON VIA REVEAL APPLICATIONS - Systems and methods for processing a semiconductor wafer includes a plasma processing chamber. The plasma processing chamber includes an exterior, an interior region with a wafer receiving mechanism and a viewport disposed on a sidewall of the plasma processing chamber providing visual access from the exterior to the wafer received on the wafer receiving mechanism. A camera is mounted to the viewport of the plasma processing chamber on the exterior and coupled to an image processor. The image processor includes pattern recognition logic to match images of emerging pattern captured and transmitted by the camera, to a reference pattern and to generate signal defining an endpoint when a match is detected. A system process controller coupled to the image processor and the plasma processing chamber receives the signal from the image processor and adjusts controls of one or more resources to stop the etching operation. | 10-29-2015 |
20150307995 | ELECTROLESS DEPOSITION OF CONTINUOUS PALLADIUM LAYER USING COMPLEXED Co2+ METAL IONS OR Ti3+ METAL IONS AS REDUCING AGENTS - A solution for electroless deposition of palladium is provided. A reducing agent of Co | 10-29-2015 |
20150307994 | ELECTROLESS DEPOSITION OF CONTINUOUS NICKEL LAYER USING COMPLEXED Ti3+ METAL IONS AS REDUCING AGENTS - A solution for electroless deposition of nickel is provided. A reducing agent of Ti | 10-29-2015 |
20150307993 | ELECTROLESS DEPOSITION OF CONTINUOUS COBALT LAYER USING COMPLEXED Ti3+ METAL IONS AS REDUCING AGENTS - A solution for electroless deposition of cobalt is provided. A reducing agent of Ti | 10-29-2015 |
20150303085 | PROCESSING CHAMBER WITH FEATURES FROM SIDE WALL - A processing chamber having a chamber housing with a top and sidewalls is provided. The processing chamber has a seal for connecting the sidewalls of the chamber housing to a top of a lower chamber below the processing chamber. A substrate holder is attached to the sidewalls of the chamber housing. Further, a wafer lift ring supported by a side arm extending through the sidewalls has at least three posts each having at least one finger, the top of the fingers defining a first wafer handoff plane. The lower chamber has at least one lowest wafer support that defines a second wafer handoff plane where the height between the first wafer handoff plane and the second wafer handoff plane is not greater than a maximum vertical stroke of a transfer arm that is configured to transfer a wafer from the first wafer handoff plane and the second wafer handoff plane. | 10-22-2015 |
20150303065 | PRETREATMENT METHOD FOR PHOTORESIST WAFER PROCESSING - Certain embodiments herein relate to methods and apparatus for processing a partially fabricated semiconductor substrate in a remote plasma environment. The methods may be performed in the context of wafer level packaging (WLP) processes. The methods may include exposing the substrate to a reducing plasma to remove photoresist scum and/or oxidation from an underlying seed layer. In some cases, photoresist scum is removed through a series of plasma treatments involving exposure to an oxygen-containing plasma followed by exposure to a reducing plasma. In some embodiments, an oxygen-containing plasma is further used to strip photoresist from a substrate surface after electroplating. This plasma strip may be followed by a plasma treatment involving exposure to a reducing plasma. The plasma treatments herein may involve exposure to a remote plasma within a plasma treatment module of a multi-tool electroplating apparatus. | 10-22-2015 |
20150301100 | USING MODELING FOR IDENTIFYING A LOCATION OF A FAULT IN AN RF TRANSMISSION SYSTEM FOR A PLASMA SYSTEM - Systems and methods for identifying a location of a fault in an RF transmission system includes characterizing the RF transmission system and selecting one of the stage in the RF transmission system as an initial selected stage. An output of the initial selected stage can be measured in the characterized RF transmission system. The measured output of the initial selected stage is propagated through a baseline RF model and a point of deflection is identified in a resulting RF model of the RF transmission system. | 10-22-2015 |
20150299886 | METHOD AND APPARATUS FOR PREPARING A SUBSTRATE WITH A SEMI-NOBLE METAL LAYER - Method and apparatus for preparing a substrate with a semi-noble metal layer are disclosed. The substrate can be pretreated so that a metal oxide surface on the semi-noble metal layer can be reduced to a modified metal surface integrated with the semi-noble metal layer. The substrate can be pretreated using a remote plasma treatment. A copper seed layer can be formed on the semi-noble metal layer using either an acidic or alkaline bath with a plating solution including either at least two copper complexing agents with varying dentacity or a single hexadentate copper complexing agent that is in excess of the copper source. The copper complexing agents can include a hexadentate ligand and a bidentate ligand. In some embodiments, a bulk layer of copper can be subsequently deposited on the copper seed layer using an acidic bath. | 10-22-2015 |
20150287573 | CONFIGURATION INDEPENDENT GAS DELIVERY SYSTEM - A gas delivery apparatus for supplying process gas to a processing chamber of a plasma processing apparatus includes a mixing manifold having a plurality of gas inlets on a surface thereof, the gas inlets being equally spaced from a center mixing point of the mixing manifold; and optionally a plurality of gas supplies in communication with the plurality of gas inlets on the surface of the mixing manifold. A method of supplying gas to a processing chamber of a plasma processing apparatus using such a gas delivery apparatus involves providing a plurality of gas supplies in communication with a plurality of gas inlets on a surface of a mixing manifold; flowing at least two different gases from the plurality of gas supplies to the mixing manifold to create a first mixed gas; and supplying the first mixed gas to a plasma processing chamber coupled downstream of the mixing manifold. | 10-08-2015 |
20150287572 | MONOLITHIC CERAMIC COMPONENT OF GAS DELIVERY SYSTEM AND METHOD OF MAKING AND USE THEREOF - A method of making a monolithic ceramic component of a gas delivery system of a semiconductor substrate processing apparatus wherein the gas delivery system is configured to supply process gas to a gas distribution member disposed downstream thereof. The gas distribution member is configured to supply the process gas to a processing region of a vacuum chamber of the apparatus, wherein the processing region is disposed above an upper surface of a semiconductor substrate to be processed. The method comprises preparing a green compact of ceramic material. The green compact of ceramic material is formed into a form of a desired monolithic ceramic component of the gas delivery system. The formed green compact of ceramic material is fired to form the monolithic ceramic component of the gas delivery system. | 10-08-2015 |
20150284857 | ELECTROLESS DEPOSITION OF CONTINUOUS PLATINUM LAYER USING COMPLEXED Co2+ METAL ION REDUCING AGENT - A solution for electroless deposition of platinum is provided. The solution comprises Co | 10-08-2015 |
20150280011 | Method of Manufacturing N-Doped Graphene and Electrical Component Using NH4F, and Graphene and Electrical Component Thereby - This disclosure relates to a method of manufacturing n-doped graphene and an electrical component using ammonium fluoride (NH | 10-01-2015 |
20150279621 | REPLACEABLE UPPER CHAMBER PARTS OF PLASMA PROCESSING APPARATUS - An upper chamber section of a plasma reaction chamber includes a ceramic window with blind bores in an upper surface for receipt of a thermal couple and a resistance temperature detector, a top chamber interface which comprises an upper surface which vacuum seals against the bottom of the window and a gas injection system comprising 8 side injectors mounted in the sidewall of the top chamber interface and a gas delivery system comprising tubing which provides symmetric gas flow to the 8 injectors from a single gas feed connection. | 10-01-2015 |
20150276264 | SYSTEMS AND METHODS FOR BULK VAPORIZATION OF PRECURSOR - A vaporization system for liquid precursor includes a bubbler portion configured to store liquid precursor and to supply carrier gas into the liquid precursor to vaporize the liquid precursor to generate vaporized precursor. A baffle portion is arranged in fluid communication with the bubbler portion and includes N heated baffles, where N is an integer greater than or equal to one. The vaporized precursor generated by the bubbler portion passes through the N heated baffles before flowing to a substrate processing system. | 10-01-2015 |
20150275358 | SYSTEMS AND METHODS FOR PRESSURE-BASED LIQUID FLOW CONTROL - A liquid delivery system for a substrate processing system includes a liquid ampoule to store liquid precursor. A pressure adjusting system adjusts pressure in the liquid ampoule. A pressure sensor senses a pressure in the liquid ampoule. A capillary injector includes a capillary tube in fluid communication with an output of the liquid ampoule. A temperature control device controls a temperature of the capillary tube. A first valve has an input connected to the capillary tube. A controller is configured to determine a predetermined pressure in the liquid ampoule corresponding a desired flow rate and a predetermined temperature of the capillary tube, maintain the temperature of the capillary tube at the predetermined temperature, communicate with the pressure sensor and the pressure adjusting system, and control the pressure in the liquid ampoule to the predetermined pressure to provide the desired flow rate. | 10-01-2015 |
20150262704 | TRACK AND HOLD FEEDBACK CONTROL OF PULSED RF - A system and method of providing feedback control to a pulsed RF generator includes an RF generator having an RF output and a feedback input. An RF electrode is coupled to the RF output and an RF sampling circuit having a sampling input coupled to the RF electrode. The sampling circuit including a feedback signal output coupled to the feedback input of the RF generator. A method of providing feedback control to a pulse RF generator includes receiving an RF sample of an RF pulse, sampling the RF sample multiple sampling times to produce multiple feedback levels during the duration of the RF pulse and coupling the multiple feedback levels to a feedback input on an RF generator, the RF generator outputting the RF pulse. | 09-17-2015 |
20150260350 | SYSTEMS AND METHODS FOR COOLING AND REMOVING REACTANTS FROM A SUBSTRATE PROCESSING CHAMBER - A cooling and reactant removal system includes first and second gate valves. An outlet of the first gate valve is arranged in fluid communication with the process volume of the processing chamber. A filter is arranged in fluid communication with an inlet of the first gate valve. An inlet of the second gate valve is arranged in fluid communication with the process volume of the processing chamber. A gas amplifier has a first inlet, a second inlet, an outlet and at least one Coanda surface. Compressed gas received at the first inlet of the gas amplifier is directed across the Coanda surface. The second inlet of the gas amplifier is in fluid communication with the outlet of the second gate valve. The outlet of the gas amplifier is in fluid communication with a scrubbed exhaust system. | 09-17-2015 |
20150255259 | WAFERLESS CLEAN IN DIELECTRIC ETCH PROCESS - A system and method for a waferless cleaning method for a capacitive coupled plasma system. The method includes forming a protective layer on a top surface of an electrostatic chuck, volatilizing etch byproducts deposited on one or more inner surfaces of the plasma process chamber, removing volatilized etch byproducts from the plasma process chamber and removing the protective layer from the top surface of the electrostatic chuck. A capacitive coupled plasma system including a waferless cleaning recipe is also described. | 09-10-2015 |
20150247238 | RF CYCLE PURGING TO REDUCE SURFACE ROUGHNESS IN METAL OXIDE AND METAL NITRIDE FILMS - Methods of reducing particles in semiconductor substrate processing are provided herein. Methods involve performing a precursor-free radio frequency cycle purge without a substrate in the process chamber by introducing a gas without a precursor into the process chamber through the showerhead and igniting a plasma one or more times after a film is deposited on the substrate by introducing a vaporized liquid precursor to the process chamber. | 09-03-2015 |
20150243487 | COMPRESSION MEMBER FOR USE IN SHOWERHEAD ELECTRODE ASSEMBLY - A compression member for use in a showerhead electrode assembly of a capacitively coupled plasma chamber. The member applies a compression force to a portion of a film heater adjacent a power supply boot on an upper surface of a thermal control plate and is located between the thermal control plate and a temperature-controlled top plate. The member is composed of an electrically insulating elastomeric material which can work over a large range of compressions and temperatures. | 08-27-2015 |
20150243483 | TUNABLE RF FEED STRUCTURE FOR PLASMA PROCESSING - A chamber for plasma processing semiconductor wafers is provided, comprising: a support chuck disposed in the chamber; a top electrode disposed over the support chuck and within the chamber; an RF supply rod electrically connected between an RF power source and the support chuck for providing RF power to the chamber, the RF supply rod having a corrugated surface, the corrugated surface having recessed and protruded regions that are arranged in a lengthwise repeating pattern along a segment of the RF supply rod, the corrugated surface producing a lengthwise minimum surface path along the segment that is greater than a length of the segment, the lengthwise minimum surface path defining a target length of the RF supply rod. | 08-27-2015 |
20150235889 | SYSTEM AND METHOD FOR PERFORMING HOT WATER SEAL ON ELECTROSTATIC CHUCK - A method is provided for treating a bipolar ESC having a front surface and a back surface, the front surface including an anodized layer. The method includes eliminating the anodized layer, disposing a new anodized layer onto the front surface, and treating the new anodized layer with water to seal the new anodized layer. | 08-20-2015 |
20150235835 | HIGH GROWTH RATE PROCESS FOR CONFORMAL ALUMINUM NITRIDE - Methods of depositing conformal aluminum nitride films on semiconductor substrates are provided. Disclosed methods involve (a) exposing a substrate to an aluminum-containing precursor, (b) purging the aluminum-containing precursor for a duration insufficient to remove substantially all of the aluminum-containing precursor in gas phase, (c) exposing the substrate to a nitrogen-containing precursor to form aluminum nitride, (d) purging the nitrogen-containing precursor, and (e) repeating (a) through (d). Increased growth rate and 100% step coverage and conformality are attained. | 08-20-2015 |
20150235811 | TUNABLE MULTI-ZONE GAS INJECTION SYSTEM - A tunable multi-zone injection system for a plasma processing system for plasma processing of substrates such as semiconductor wafers. The injector can include an on-axis outlet supplying process gas at a first flow rate to a central zone and off-axis outlets supplying the same process gas at a second flow rate to an annular zone surrounding the central zone. The arrangement permits modification of gas delivery to meet the needs of a particular processing regime by allowing independent adjustment of the gas flow to multiple zones in the chamber. In addition, compared to consumable showerhead arrangements, a removably mounted gas injector can be replaced more easily and economically. | 08-20-2015 |
20150235808 | SYSTEMS AND METHODS FOR IMPROVING WAFER ETCH NON-UNIFORMITY WHEN USING TRANSFORMER-COUPLED PLASMA - A substrate processing system includes a processing chamber including a dielectric window and a pedestal for supporting a substrate during processing. A gas supply system supplies gas to the processing chamber. A coil is arranged outside of the processing chamber adjacent to the dielectric window. A radio frequency (RF) source supplies RF signals to the coil to create RF plasma in the processing chamber. N flux attenuating portions are arranged in a spaced pattern adjacent the coil, wherein N is an integer greater than one. | 08-20-2015 |
20150232995 | ELECTROLESS DEPOSITION OF CONTINUOUS PLATINUM LAYER - A method for providing an electroless plating of a platinum containing layer is provided. A Ti | 08-20-2015 |
20150225854 | BALL SCREW SHOWERHEAD MODULE ADJUSTER ASSEMBLY FOR SHOWERHEAD MODULE OF SEMICONDUCTOR SUBSTRATE PROCESSING APPARATUS - A semiconductor substrate processing apparatus comprises a ball screw showerhead module adjuster assembly for adjusting the planarization of a showerhead module of the apparatus. The ball screw showerhead module adjuster assembly comprises a collar supported in a stepped opening of a top plate; a bellows forms an airtight seal between the collar and an adjuster plate supported above the collar by at least three adjustable ball screws operable to adjust the planarization of the adjuster plate with respect to the collar. An insulating sleeve extends through an opening in the collar, the bellows, and the adjuster plate. A stem of the showerhead module is supported in an opening of the insulating sleeve by a nut assembly such that the stem is supported and aligned within the insulating sleeve so that an adjustment of planarization of the adjuster plate thereby adjusts the planarization of the faceplate of the showerhead module. | 08-13-2015 |
20150219499 | CALCULATING POWER INPUT TO AN ARRAY OF THERMAL CONTROL ELEMENTS TO ACHIEVE A TWO-DIMENSIONAL TEMPERATURE OUTPUT - A method for calculating power input to at least one thermal control element of an electrostatic chuck includes: setting the at least one thermal control element to a first predetermined power level; measuring a first temperature of the at least one thermal control element when the at least one thermal control element is powered at the first predetermined power level; setting the at least one thermal control element to a second predetermined power level; measuring a second temperature of the at least one thermal control element when the at least one thermal control element is powered at the second predetermined power level; calculating a difference between the first temperature and the second temperature; calculating a system response of the at least one thermal control element based on the difference; inverting the system response; and calibrating the at least one thermal control element based on the inverted system response. | 08-06-2015 |
20150214091 | WAFER HANDLING TRACTION CONTROL SYSTEM - A wafer handling traction control system is provided that is able to detect slippage of a semiconductor wafer with respect to an end effector and is able to adjust the end effector's movement in order to minimize further slippage. Upon the detection of relative motion of the semiconductor wafer with respect to the end effector past a threshold amount, the end effector's movements are adjusted to minimize slippage of the semiconductor wafer. The wafer handling traction control system may include a sensor that detects relative motion between the semiconductor wafer and the end effector. | 07-30-2015 |
20150202774 | TOUCH AUTO-CALIBRATION OF PROCESS MODULES - Methods and systems for the touch auto-calibration for robot placement of substrate in process modules are provided. Touch auto-calibration allows for the automatic calibration of robot end effector positioning with respect to an aligning base in a process module. Touch auto-calibration also allows for calibration of process modules at temperatures and pressures similar to the temperatures and pressures experienced during production. The end effector has one or more aligning surfaces configured to align it with the aligning base upon contact with the aligning base. After contact, the position of the end effector and the calibrated position of the end effector during a pick or place move can then be determined. In some implementations, the positioning of the end effector as it transfers a substrate during production is based on a placement coordinate with the placement correction applied. | 07-23-2015 |
20150198639 | Cable Power Loss Determination For Virtual Metrology - A method for modeling cable loss is described. The method includes receiving a measurement of reverse power and forward power at a radio frequency (RF) generator. The method further includes computing theoretical power delivered to a matching network as a difference between the forward power and the reverse power and calculating a ratio of the reverse power to the forward power to generate an RF power reflection ratio. The method further includes identifying a cable power attenuation fraction based on a frequency of the RF generator and calculating a cable power loss as a function of the RF power reflection ratio, the cable power attenuation fraction, and the theoretical power. The method includes calculating actual power to be delivered to the impedance matching network based on the theoretical power and the cable power loss and sending the calculated actual power to the RF generator to generate an RF signal. | 07-16-2015 |
20150194291 | SHOWERHEAD ELECTRODE ASSEMBLY IN A CAPACITIVELY COUPLED PLASMA PROCESSING APPARATUS - A showerhead electrode assembly for use in a capacitively coupled plasma processing apparatus comprising a heat transfer plate. The heat transfer plate having independently controllable gas volumes which may be pressurized to locally control thermal conductance between a heater member and a cooling member such that uniform temperatures may be established on a plasma exposed surface of the showerhead electrode assembly. | 07-09-2015 |
20150187614 | EDGE SEAL FOR LOWER ELECTRODE ASSEMBLY - A lower electrode assembly useful for supporting a semiconductor substrate in a plasma processing chamber includes a temperature controlled lower base plate, an upper plate, a mounting groove surrounding a bond layer and an edge seal comprising a ring compressed in the groove. A gas source supplies inert gas to the groove and maintains the inert gas at a pressure of 100 mTorr to 100 Torr in the groove. | 07-02-2015 |
20150184296 | COATING SYSTEM AND METHOD FOR COATING INTERIOR FLUID WETTED SURFACES OF A COMPONENT OF A SEMICONDUCTOR SUBSTRATE PROCESSING APPARATUS - A coating system for forming an atomic layer deposition (ALD) or a molecular layer deposition (MLD) barrier coating on interior fluid wetted surfaces of a fluid handling component for a vacuum chamber of a semiconductor substrate processing apparatus. The coating system includes the fluid handling component, wherein the interior fluid wetted surfaces define a process region of the coating system, a gas supply system in fluid communication with the process region of the component wherein the gas supply system supplies process gases to the process region of the component through the inlet port thereof such that an ALD or MLD barrier coating can be formed on the fluid wetted surfaces of the fluid handling component, and an exhaust system in fluid communication with the process region of the component wherein the exhaust system exhausts the process gases from the process region of the component through the outlet port thereof. | 07-02-2015 |
20150181683 | ELECTROSTATIC CHUCK INCLUDING DECLAMPING ELECTRODE AND METHOD OF DECLAMPING - A semiconductor wafer processing apparatus for processing semiconductor wafers comprises a semiconductor wafer processing chamber in which a semiconductor wafer is processed, a process gas source in fluid communication with the processing chamber adapted to supply process gas into the processing chamber, a vacuum source adapted to exhaust process gas and byproducts of the processing from the processing chamber, and an electrostatic chuck assembly. The electrostatic chuck assembly comprises a support surface in a layer of ceramic material on which the semiconductor wafer is supported during processing of the wafer in the chamber, at least one electrostatic clamping electrode embedded in the layer of ceramic material, the at least one electrostatic clamping electrode operable to apply an electrostatic clamping force to the wafer on the support surface when an electrostatic clamping voltage is applied to the clamping electrode, and at least one declamping electrode embedded in the layer of ceramic material above the at least one electrostatic clamping electrode operable to provide a path for draining any residual charge between the wafer and the support surface when the electrostatic clamping voltage is no longer applied to the clamping electrode. | 06-25-2015 |
20150179488 | ROBOT WITH INTEGRATED ALIGNER - A robot with an integrated aligner is provided that allows for the alignment of a semiconductor wafer while the semiconductor wafer transits between multiple stations. The robot with an integrated aligner may contain a rotational wafer support configured to rotate and/or translate, one or multiple robotic arms, and a sensor. The robot may pick and place the semiconductor wafer with the robotic arm from or into a station and from or onto the rotational wafer support. The robot may be configured to rotate the semiconductor wafer into a desired orientation when the semiconductor wafer is on the rotational wafer support. The rotation of the semiconductor wafer into a desired orientation may be aided the sensor. The robot may have a positioning mechanism which moves it between different positions in a semiconductor tool. | 06-25-2015 |
20150179461 | METHOD FOR DEPOSITING EXTREMELY LOW RESISTIVITY TUNGSTEN - Methods for depositing extremely low resistivity tungsten in semiconductor processing are disclosed herein. Methods involve annealing the substrate at various times during the tungsten deposition process to achieve uniform tungsten layers with substantially lower resistivity. | 06-25-2015 |
20150179416 | ADAPTER PLATE FOR POLISHING AND CLEANING ELECTRODES - An adapter plate configured to be attachable to a universal platen of a cleaning unit for cleaning upper electrodes from a plasma processing chamber is disclosed, the adapter plate includes a support surface and a mounting surface configured to be fastened to the universal platen of the cleaning unit. The support surface is configured to support an inner electrode or an outer electrode of a showerhead electrode assembly for cleaning upper or lower surfaces thereof. The support surface having a first set of holes configured to receive pins engaged in an upper surface of the inner electrode, a second set of holes configured to receive pins surrounding an outer periphery of the inner electrode, a third set of holes configured to receive pins engaged in an upper surface of the outer electrode, and a fourth set of holes configured to receive pins surrounding an outer periphery of the outer electrode. | 06-25-2015 |
20150179412 | EDGE RING DIMENSIONED TO EXTEND LIFETIME OF ELASTOMER SEAL IN A PLASMA PROCESSING CHAMBER - An edge ring configured to surround an outer periphery of a substrate support in a plasma processing chamber wherein plasma is generated and used to process a substrate is disclosed, the substrate support comprising a base plate, a top plate, an elastomer seal assembly between the base plate and the top plate, and an elastomer seal configured to surround the elastomer seal assembly. The edge ring includes an upper inner surface having an edge step directed towards an interior portion of the edge ring and arranged to extend from an outer periphery of a top surface of the top plate to an outer periphery of an upper surface of the base plate, a lower inner surface, an outer surface, a lower surface extending from the lower inner surface to the outer surface, and a top surface extending from the outer surface to the upper inner surface. | 06-25-2015 |
20150174768 | MICROSTRUCTURES FOR IMPROVED WAFER HANDLING - Provided herein are high coefficient of friction contact surfaces for transfer of substrates including semiconductor wafers. In certain implementations, the contact surfaces include microstructures that exploit intermolecular surface forces for increased adhesion and friction in the x-y direction during substrate transfer, while allowing easy release in the z-direction without tilting the substrate. Also provided are robot end effectors including the contact surfaces and related high-throughput transfer systems and methods. | 06-25-2015 |
20150155187 | ANNULAR BAFFLE FOR PUMPING FROM ABOVE A PLANE OF THE SEMICONDUCTOR WAFER SUPPORT - A system and method for processing a substrate in a processing chamber and providing an azimuthally evenly distributed draw on the processing byproducts using a gas pump down source coupled to the processing chamber above the plane of a substrate support within the processing chamber. The process chamber can include an annular plenum disposed between the support surface plane and the chamber top, the plenum including at least one vacuum inlet port coupled to the gas pump down source and a continuous inlet gap proximate to a perimeter of the substrate support, the continuous inlet gap having an inlet gas flow resistance of between about twice and about twenty times an outlet gas flow resistance the at least one vacuum inlet port. | 06-04-2015 |
20150155176 | SIDEWALL HEIGHT NONUNIFORMITY REDUCTION FOR SIDEWALL IMAGE TRANSFER PROCESSES - A method and integrated circuit structure. The method includes reducing sidewall height nonuniformity in sidewall image transfer processes by depositing an organic planarization layer over the integrated circuit structure after sidewall definition, mandrel removal, and etch of exposed portions of a first underlying layer in a sidewall image transfer process that is thick enough to cover one or more first sidewalls having a first height and one or more second sidewalls having a second height with the first height greater than the second height, removing a part of the organic planarization layer leaving a first depth of the one or more first sidewalls exposed, removing the exposed first depth of the one or more first sidewalls, and removing the remaining organic planarization layer. | 06-04-2015 |
20150147482 | CHAMBER UNDERCOAT PREPARATION METHOD FOR LOW TEMPERATURE ALD FILMS - Methods and apparatus disclosed herein relate to the formation and use of undercoats on the interior surfaces of reaction chambers used to deposit films on substrates. The undercoats are deposited through atomic layer deposition methods. The disclosed undercoats help prevent metal contamination, provide improved resistance to flaking, and are relatively thin. Because of the superior resistance to flaking, the disclosed undercoats allow more substrates to be processed between subsequent cleaning operations, thereby increasing throughput. | 05-28-2015 |
20150145154 | MULTI-TRAY BALLAST VAPOR DRAW SYSTEMS - A system for supplying vaporized precursor includes an enclosure including an output. A plurality of trays is arranged in a stacked, spaced configuration inside the enclosure. The plurality of trays is configured to hold liquid precursor. A first conduit fluidly connects a carrier gas supply to the enclosure and includes a plurality of openings. A first valve is arranged along the first conduit and is configured to selectively control delivery of the carrier gas from the carrier gas supply through the first conduit to the plurality of openings in the first conduit. The plurality of openings is configured to direct the carrier gas across the liquid precursor in the plurality of trays, respectively. The output of the enclosure provides a mixture of the carrier gas and the vaporized precursor. | 05-28-2015 |
20150140814 | ALKALINE PRETREATMENT FOR ELECTROPLATING - Prior to electrodeposition, a semiconductor wafer having one or more recessed features, such as through silicon vias (TSVs), is pretreated by contacting the wafer with a pre-wetting liquid comprising a buffer (such as a borate buffer) and having a pH of between about 7 and about 13. This pre-treatment is particularly useful for wafers having acid-sensitive nickel-containing seed layers, such as NiB and NiP. The pre-wetting liquid is preferably degassed prior to contact with the wafer substrate. The pretreatment is preferably performed under subatmospheric pressure to prevent bubble formation within the recessed features. After the wafer is pretreated, a metal, such as copper, is electrodeposited from an acidic electroplating solution to fill the recessed features on the wafer. The described pretreatment minimizes corrosion of seed layer during electroplating and reduces plating defects. | 05-21-2015 |
20150136171 | LIQUID OR VAPOR INJECTION PLASMA ASHING SYSTEMS AND METHODS - A plasma ashing system includes a process chamber including a substrate. A carrier gas supply supplies a carrier gas to the processing chamber. A plasma source is configured to create plasma to the process chamber. A liquid injection source is configured to at least one of inject a compound into the plasma or supply the compound into the plasma. The compound is normally a liquid at room temperature and at atmospheric pressure. A controller is configured to control the liquid injection source, to expose the substrate to the plasma for a predetermined period and to purge reactants from the processing chamber after the predetermined period. | 05-21-2015 |
20150122658 | MEMBRANE DESIGN FOR REDUCING DEFECTS IN ELECTROPLATING SYSTEMS - Certain embodiments disclosed herein pertain to methods and apparatus for electrodepositing material on a substrate. More particularly, a novel membrane for separating the anode from the cathode/substrate, and a method of using such a membrane are presented. The membrane includes at least an ion exchange layer and a charge separation layer. The disclosed embodiments are beneficial for maintaining relatively constant concentrations of species in the electrolyte over time, especially during idle (i.e., non-electroplating) times. | 05-07-2015 |
20150122638 | METHOD FOR UNIFORM FLOW BEHAVIOR IN AN ELECTROPLATING CELL - Apparatuses and methods are provided for depositing a metal layer on a wafer. A secondary weir is positioned at a region below the primary weir such that overflowed plating solution over the primary weir during electroplating flows in a substantially azimuthally uniform manner. Methods are provided for electroplating wafers by increasing flow rate between wafer processes while plating solution flows over a primary weir, remains in contact with the overflowing plating solution, and flows onto the secondary weir such that overflow is substantially azimuthally uniform. | 05-07-2015 |
20150118012 | WAFER ENTRY PORT WITH GAS CONCENTRATION ATTENUATORS - The embodiments herein relate to methods and apparatus for inserting a substrate into a processing chamber. While many of the disclosed embodiments are described in relation to insertion of a semiconductor substrate into an anneal chamber with minimal introduction of oxygen, the implementations are not so limited. The disclosed embodiments are useful in many different situations where a relatively flat object is inserted through a channel into a processing volume, where it is desired that a particular gas concentration in the processing volume remain low. The disclosed embodiments use multiple cavities to serially attenuate the concentration of oxygen as the substrate moves into the processing volume of the anneal chamber. In some cases, a relatively high flow of gas originating from the anneal chamber is used. Further, a relatively low transfer speed may be used to transport the substrate into and out of the anneal chamber. | 04-30-2015 |
20150110968 | TANDEM SOURCE ACTIVATION FOR CYCLICAL DEPOSITION OF FILMS - A method includes flowing reactant gases into a process chamber. Plasma having a first power level is supplied using a plasma source. The process chamber is dosed with the precursor. The first power level is sufficient to enhance adsorption of the precursor on a surface of the substrate and is insufficient to decompose the precursor that is adsorbed. After a first predetermined period, the method includes removing a portion of the precursor that does not adsorb onto the substrate. The precursor that is adsorbed is activated using plasma having a second power level using the plasma source. The second power level is greater than the first power level and is sufficient to decompose the precursor. | 04-23-2015 |
20150099365 | TUNABLE UPPER PLASMA-EXCLUSION-ZONE RING FOR A BEVEL ETCHER - A bevel etcher for cleaning a bevel edge of a semiconductor substrate with plasma includes a lower electrode assembly having a lower support having a cylindrical top portion. An upper dielectric component is disposed above the lower electrode assembly having a cylindrical bottom portion opposing the top portion of the lower support. A tunable upper plasma exclusion zone (PEZ) ring surrounds the bottom portion of the dielectric component, wherein a lower surface of the tunable upper PEZ ring includes an upwardly tapered outer portion extending outwardly from the bottom portion of the upper dielectric component, wherein a vertical height of an adjustable gap between the lower surface of the upper PEZ ring and an upper surface of a substrate supported on the lower support can be increased or decreased such that the extent of the bevel edge of the substrate to be cleaned by the plasma can respectively be adjusted radially inward or radially outward. At least one radio frequency (RF) power source is adapted to energize process gas into the plasma during a bevel edge cleaning process. | 04-09-2015 |
20150093915 | SULFUR DOPED CARBON HARD MASKS - Provided are methods of forming ashable hard masks (AHMs) with high etch selectivity and low hydrogen content using plasma enhanced chemical vapor deposition. Methods involve exposing a first layer to be etched on a semiconductor substrate to a carbon source and sulfur source, and generating a plasma to deposit a sulfur-doped AHM or amorphous carbon-based film on the first layer. | 04-02-2015 |
20150093908 | HIGH SELECTIVITY AND LOW STRESS CARBON HARDMASK BY PULSED LOW FREQUENCY RF POWER - Methods of forming high etch selectivity, low stress ashable hard masks using plasma enhanced chemical vapor deposition are provided. In certain embodiments, the methods involve pulsing low frequency radio frequency power while keeping high frequency radio frequency power constant during deposition of the ashable hard mask using a dual radio frequency plasma source. According to various embodiments, the low frequency radio frequency power can be pulsed between non-zero levels or by switching the power on and off. The resulting deposited highly selective ashable hard mask may have decreased stress due to one or more factors including decreased ion and atom impinging on the ashable hard mask and lower levels of hydrogen trapped in the ashable hard mask. | 04-02-2015 |
20150091441 | Control of Impedance of RF Delivery Path - A plasma system includes an RF generator and a matchbox including an impedance matching circuit, which is coupled to the RF generator via an RF cable. The plasma system includes a chuck and a plasma reactor coupled to the matchbox via an RF line. The RF line forms a portion of an RF supply path, which extends between the RF generator through the matchbox, and to the chuck. The plasma system further includes a phase adjusting circuit coupled to the RF supply path between the impedance matching circuit and the chuck. The phase adjusting circuit has an end coupled to the RF supply path and another end that is grounded. The plasma system includes a controller coupled to the phase adjusting circuit. The controller is used for changing a parameter of the phase adjusting circuit to control an impedance of the RF supply path based on a tune recipe. | 04-02-2015 |
20150091440 | Control of Impedance of RF Return Path - A system for controlling an impedance of a radio frequency (RF) return path includes a matchbox further including a match circuitry. The system further includes an RF generator coupled to the matchbox to supply an RF supply signal to the matchbox via a first portion of an RF supply path. The RF generator is coupled to the matchbox to receive an RF return signal via a first portion of an RF return path. The system also includes a switch circuit and a plasma reactor coupled to the switch circuit via a second portion of the RF return path. The plasma reactor is coupled to the match circuitry via a second portion of the RF supply path. The system includes a controller coupled to the switch circuit, the controller configured to control the switch circuit based on a tune recipe to change an impedance of the RF return path. | 04-02-2015 |
20150083582 | ION TO NEUTRAL CONTROL FOR WAFER PROCESSING WITH DUAL PLASMA SOURCE REACTOR - The disclosed techniques relate to methods and apparatus for etching a substrate. A plate assembly divides a reaction chamber into a lower and upper sub-chamber. The plate assembly includes an upper and lower plate having apertures therethrough. When the apertures in the upper and lower plates are aligned, ions and neutral species may travel through the plate assembly into the lower sub-chamber. When the apertures are not aligned, ions are prevented from passing through the assembly while neutral species are much less affected. Thus, the ratio of ion flux:neutral flux may be tuned by controlling the amount of area over which the apertures are aligned. In certain embodiments, one plate of the plate assembly is implemented as a series of concentric, independently movable injection control rings. Further, in some embodiments, the upper sub-chamber is implemented as a series of concentric plasma zones separated by walls of insulating material. | 03-26-2015 |
20150076112 | Method and Apparatus for Controlling Substrate DC-Bias and Ion Energy and Angular Distribution During Substrate Etching - A variable capacitor is provided within a radiofrequency (RF) power transmission path to a bias electrode, in addition to an impedance matching circuit provided within the RF power transmission path to the bias electrode. An RF power supply is operated in a pulsed mode to transmit pulses of RF power through the RF power transmission path to the bias electrode. A capacitance of the variable capacitor is set to control a rate at which a DC bias voltage builds up on a substrate present above the bias electrode during each pulse of RF power. The rate at which the DC bias voltage builds up on the substrate controls an ion energy distribution and an ion angular distribution within a plasma exposed to an electromagnetic field emanating from the substrate. | 03-19-2015 |
20150068613 | Clutter Mass Flow Devices and Multi-Line Mass Flow Devices Incorporating The Same - A multi-line mass flow device configured for controlled delivery of two or more fluids into a process chamber. The multi-line mass flow device comprises a cluster mass flow control manifold and a multi-inlet manifold. The cluster mass flow control manifold comprises a controller, a gas manifold mounting block, and two or more gas flow control stations. The multi-inlet manifold comprises a multi-inlet mounting block, and two or more isolation valves mounted on the multi-inlet mounting block. | 03-12-2015 |
20150064920 | System, Method and Apparatus for Generating Pressure Pulses in Small Volume Confined Process Reactor - A plasma processing system and method includes a processing chamber, and a plasma processing volume included therein. The plasma processing volume having a volume less than the processing chamber. The plasma processing volume being defined by a top electrode, a substrate support surface opposing the surface of the top electrode and a plasma confinement structure including at least one outlet port. A conductance control structure is movably disposed proximate to the at least one outlet port and capable of restricting an outlet flow through the at least one outlet port to a first flow rate and capable of increasing the outlet flow through the at least one outlet port to a second flow rate, wherein the conductance control structure restricts the outlet flow rate moves between the first flow rate and the second flow rate corresponding to a selected processing state set by the controller during a plasma process. | 03-05-2015 |
20150060404 | System, Method and Apparatus for Coordinating Pressure Pulses and RF Modulation in a Small Volume Confined Process Reactor - A plasma processing system and method includes a processing chamber, and a plasma processing volume included therein. The plasma processing volume having a volume less than the processing chamber. The plasma processing volume being defined by a top electrode, a substrate support surface opposing the surface of the top electrode and a plasma confinement structure including at least one outlet port. A conductance control structure is movably disposed proximate to the at least one outlet port and capable of controlling an outlet flow through the at least one outlet port between a first flow rate and a second flow rate, wherein the conductance control structure controls the outlet flow rate and an at least one RF source is modulated and at least one process gas flow rate is modulated corresponding to a selected processing state set by the controller during a plasma process. | 03-05-2015 |
20150059648 | HIGH-CONDUCTANCE, NON-SEALING THROTTLE VALVE WITH PROJECTIONS AND STOP SURFACES - A throttle valve includes a throttle body including a housing having an inner surface. The throttle body includes first and second stop surfaces arranged on the inner surface. A throttle plate is rotatable inside the housing of the throttle body about a shaft between closed and open positions. A first projection is located on a first surface of the throttle plate adjacent to a radially outer end of the throttle plate. A second projection is located on a second surface of the throttle plate adjacent to a radially outer end of the throttle plate. The second surface is opposite the first surface. The first and second projections extend outwardly from the throttle plate in opposite directions and in corresponding directions of rotational movement of the throttle plate during closing to bias against the second stop surface when the throttle valve is closed. | 03-05-2015 |
20150056108 | EXHAUST FLOW SPREADING BAFFLE-RISER TO OPTIMIZE REMOTE PLASMA WINDOW CLEAN - Porogen accumulation in a UV-cure chamber may be reduced by removing outgassed porogen by flowing a purge gas across a window through which a wafer is exposed to UV light. Porogens in the purge gas stream may, as they flow through the chamber and into an exhaust baffle, deposit on surfaces within the chamber, including on the exhaust baffle. The exhaust baffle may have particular features that cause such porogen deposition to be more uniformly distributed across the exhaust baffle, thus reducing the amount of time that may be required to fully clean the baffle of accumulated porogens during a cleaning process. | 02-26-2015 |
20150053565 | BOTTOM-UP FILL IN DAMASCENE FEATURES - The embodiments herein relate to methods and apparatus for filling features with copper by a bottom-up fill mechanism without the use of organic plating additives. In some cases, filling occurs directly on a semi-noble metal layer, without the deposition of a copper seed layer. In other cases, the filling occurs on a copper seed layer. Factors such as the polarization of electrolyte, the use of a complexing agent, electrolyte pH, electrolyte temperature, and the waveform used to deposit material may contribute to promoting the bottom-up fill. | 02-26-2015 |
20150050808 | ADHESION LAYER FOR THROUGH SILICON VIA METALLIZATION - To achieve the foregoing and in accordance with the purpose of the present invention, a method for forming copper filled through silicon via features in a silicon wafer is provided. Through silicon vias are etched in the wafer. An insulation layer is formed within the through silicon vias. A barrier layer is formed within the through silicon vias. An oxide free silicon, germanium, or SiGe adhesion layer is deposited over the barrier layer. A seed layer is deposited over the adhesion layer then the wafers is annealed. The features are filled with copper or copper alloy. The stack is annealed. | 02-19-2015 |
20150047785 | Plasma Processing Devices Having Multi-Port Valve Assemblies - A plasma processing device may include a plasma processing chamber, a plasma electrode assembly, a wafer stage, a plasma producing gas inlet, a plurality of vacuum ports, at least one vacuum pump, and a multi-port valve assembly. The multi-port valve assembly may comprise a movable seal plate positioned in the plasma processing chamber. The movable seal plate may comprise a transverse port sealing surface that is shaped and sized to completely overlap the plurality of vacuum ports in a closed state, to partially overlap the plurality of vacuum ports in a partially open state, and to avoid substantial overlap of the plurality of vacuum ports in an open state. The multi-port valve assembly may comprise a transverse actuator coupled to the movable seal plate and a sealing actuator coupled to the movable seal plate. | 02-19-2015 |
20150044873 | SILICON CONTAINING CONFINEMENT RING FOR PLASMA PROCESSING APPARATUS AND METHOD OF FORMING THEREOF - A method of forming a silicon containing confinement ring for a plasma processing apparatus useful for processing a semiconductor substrate comprises inserting silicon containing vanes into grooves formed in a grooved surface of an annular carbon template wherein the grooved surface of the annular carbon template includes an upwardly projecting step at an inner perimeter thereof wherein each groove extends from the inner perimeter to an outer perimeter of the grooved surface. The step of the grooved surface and a projection at an end of each silicon containing vane is surrounded with an annular carbon member wherein the annular carbon member covers an upper surface of each silicon containing vane in each respective groove. Silicon containing material is deposited on the annular carbon template, the annular carbon member, and exposed portions of each silicon containing vane thereby forming a silicon containing shell of a predetermined thickness. A portion of the silicon containing shell is removed and the annular carbon template and the annular carbon member are removed from the silicon containing shell leaving a silicon containing confinement ring wherein the silicon containing vanes are supported by the silicon containing shell of the silicon containing confinement ring. | 02-12-2015 |
20150041062 | PLASMA PROCESSING CHAMBER WITH REMOVABLE BODY - An apparatus for plasma processing a wafer is provided. A bottom plate is provided. A tubular chamber wall with a wafer aperture is adjacent to the bottom plate. A bottom removable seal provides a vacuum seal between the bottom plate and the tubular chamber wall at a first end of the tubular wall. A top plate is adjacent to the tubular chamber wall. A top removable seal provides a vacuum seal between a second end of the tubular wall and the top plate. A vertical seal is provided, where a vertical movement of the tubular wall allows the vertical seal to create a seal around the wafer aperture. A bottom alignment guide aligns the tubular chamber wall with the bottom plate. A top alignment guide aligns the top plate with the tubular chamber wall. A wafer chuck is disposed between the bottom plate and the top plate. | 02-12-2015 |
20150040947 | Method and Systems for Cleaning A Substrate - An apparatus for cleaning a substrate is disclosed. The apparatus having a first head unit and a second head unit. The first head unit is positioned proximate to the surface of the substrate and has a first row of channels defined within configured to supply a foam to the surface of the substrate. The second head unit is positioned substantially adjacent to the first head unit and proximate to the surface of the substrate. A second and a third row of channels are defined within the second head unit. The second row of channels is configured to supply a fluid to the surface of the substrate. The third row of channels is configured to apply a vacuum to the surface of the substrate. | 02-12-2015 |
20150040941 | Method and Apparatus for Cleaning A Semiconductor Substrate - A method for cleaning a substrate is provided. The method initiates with disposing a fluid layer having solid components therein to a surface of the substrate. A shear force directed substantially parallel to the surface of the substrate and toward an outer edge of the substrate is then created. The shear force may result from a normal or tangential component of a force applied to a solid body in contact with the fluid layer in one embodiment. The surface of the substrate is rinsed to remove the fluid layer. A cleaning system and apparatus are also provided. | 02-12-2015 |
20150037979 | CONFORMAL SIDEWALL PASSIVATION - A method for etching features into an etch layer in a stack disposed below a patterned mask with mask features is provided. Coating providing molecules are provided. The coating providing molecules are pyrolyzed, which only produces a first set of byproducts and a second set of byproducts, wherein the first set of byproducts have a sticking coefficient between 10 | 02-05-2015 |
20150032245 | Etch Rate Modeling and Use Thereof with Multiple Parameters for In-Chamber and Chamber-to-Chamber Matching - A method includes receiving a voltage and current measured at an output of an RF generator of a first plasma system and calculating a first model etch rate based on the voltage and current, and a power. The method further includes receiving a voltage and current measured at an output of the RF generator of a second plasma system, determining a second model etch rate based on the voltage and current at the output of the RF generator of the second plasma system, and comparing the second model etch rate with the first model etch rate. The method includes adjusting a power at the output of the RF generator of the second plasma system to achieve the first model etch rate associated with the first plasma system upon determining that the second model etch rate does not match the first model etch rate. The method is executed by a processor. | 01-29-2015 |
20150024594 | COOLED PIN LIFTER PADDLE FOR SEMICONDUCTOR SUBSTRATE PROCESSING APPARATUS - A semiconductor substrate processing apparatus includes a cooled pin lifter paddle for raising and lowering a semiconductor substrate. The semiconductor substrate processing apparatus comprises a processing chamber in which the semiconductor substrate is processed, a heated pedestal for supporting the semiconductor substrate in the processing chamber, and the cooled pin lifter paddle located below the pedestal. The cooled pin lifter paddle includes a heat shield and at least one flow passage in an outer peripheral portion thereof through which a coolant can be circulated to remove heat absorbed by the heat shield of the cooled pin lifter paddle. The cooled pin lifter paddle is vertically movable such that lift pins on an upper surface of the heat shield travel through corresponding holes in the pedestal and a source of coolant is in flow communication with the at least one flow passage. | 01-22-2015 |
20150020848 | Systems and Methods for In-Situ Wafer Edge and Backside Plasma Cleaning - A lower electrode plate receives radiofrequency power. A first upper plate is positioned parallel to and spaced apart from the lower electrode plate. A grounded second upper plate is positioned next to the first upper plate. A dielectric support provides support of a workpiece within a region between the lower electrode plate and the first upper plate. A purge gas is supplied at a central location of the first upper plate. A process gas is supplied to a periphery of the first upper plate. The dielectric support positions the workpiece proximate and parallel to the first upper plate, such that the purge gas flows over a top surface of the workpiece so as to prevent the process gas from flowing over the top surface of the workpiece, and so as to cause the process gas to flow around a peripheral edge of the workpiece and below the workpiece. | 01-22-2015 |
20150013906 | HYBRID FEATURE ETCHING AND BEVEL ETCHING SYSTEMS - A plasma processing system having at least a plasma processing chamber for performing plasma processing of a substrate and utilizing at least a first processing state and a second processing state. Plasma is present above the center region of the substrate during the first processing stale to perform plasma processing of at least the center region during the first processing state. Plasma is absent above the center region of the substrate but present adjacent to the bevel edge region during the second processing state to at least perform plasma processing of the bevel edge region during the second processing state. During the second processing state, the upper electrode is in an RF floating state and the substrate is disposed on the lower electrode surface. | 01-15-2015 |
20140367279 | TSV BATH EVALUATION USING FIELD VERSUS FEATURE CONTRAST - The embodiments herein relate to methods and apparatus for determining whether a particular test bath is able to successfully fill a feature on a substrate. In various cases, the substrate is a semiconductor substrate and the feature is a through-silicon-via. Generally, two experiments are used: a first experiment simulates the conditions present in a field region of the substrate during the fill process, and the second experiment simulates the conditions present in a feature on the substrate during the fill process. The output from these experiments may be used with various techniques to predict whether the particular bath will result in an adequately filled feature. | 12-18-2014 |
20140356985 | TEMPERATURE CONTROLLED SUBSTRATE SUPPORT ASSEMBLY - A temperature controlled substrate support assembly used for processing a substrate in a vacuum chamber of a semiconductor processing apparatus. The substrate support assembly comprises a top plate for supporting the substrate. A base plate is disposed below the top plate wherein the base plate comprises a cavity in an upper surface of the base plate. A cover plate is disposed between the top plate and the base plate. At least one thermoelectric module is in the cavity in the upper surface of the base plate wherein the at least one thermoelectric module is in thermal contact with the top plate and the base plate, and the at least one thermoelectric module is maintained at atmospheric pressure. | 12-04-2014 |
20140349417 | System, Method and Apparatus for RF Power Compensation in Plasma Etch Chamber - A system and method of applying power to a target plasma chamber include, characterizing a no plasma performance slope of the target plasma chamber, applying a selected plasma recipe to a first wafer in the target chamber, the selected plasma recipe includes a selected power set point value and monitoring a recipe factor value on the RF electrode. A ratio of process efficiency is generated comparing the reference chamber and the target chamber, the generating using as inputs the no plasma performance slopes of the target chamber and the reference chamber and the monitored recipe factor value. An adjusted power set point value is calculated, the adjusted power set point configured to cause power delivered to a plasma formed in the target chamber to match power that would be delivered to a reference plasma formed in the reference chamber. | 11-27-2014 |
20140343875 | METROLOGY METHOD FOR TRANSIENT GAS FLOW - A method of calculating a transient flow rate of a flowed process gas comprises flowing process gas through a mass flow controller into a chamber of known volume and measuring successive data sample points which include pressure data, temperature data, and a time value for each successive data sample point. Groups of successive data sample points are identified wherein each group shares one or more successive data sample points with another group, and ratio values are calculated for each of the successive data sample points wherein each ratio value is a ratio between the pressure data and a product of temperature and gas compressibility data for each respective time value. A line of best fit of the ratio values is determined within at least one group, and then the transient flow rate of the flowed process gas is calculated using a pressure rate of rise technique wherein the pressure rate of rise technique utilizes a ratio value determined from the line of best fit for at least one time value within the at least one group. | 11-20-2014 |
20140342568 | CONTROLLING TEMPERATURE OF A FARADAY SHIELD - A method for controlling thermal cycling of a faraday shield in a plasma process chamber is provided. The method includes: performing a first plasma processing operation on a first wafer in the plasma process chamber; terminating the first plasma processing operation; performing a first wafer transfer operation to transfer the first wafer out of the chamber; and, during the first wafer transfer operation, applying power to a TCP coil under a plasma limiting condition. | 11-20-2014 |
20140335698 | COMPONENT OF A PLASMA PROCESSING APPARATUS HAVING A PROTECTIVE IN SITU FORMED LAYER ON A PLASMA EXPOSED SURFACE - A component of a plasma processing chamber having a protective liquid layer on a plasma exposed surface of the component. The protective liquid layer can be replenished by supplying a liquid to a liquid channel and delivering the liquid through liquid feed passages in the component. The component can be an edge ring which surrounds a semiconductor substrate supported on a substrate support in a plasma processing apparatus wherein plasma is generated and used to process the semiconductor substrate. Alternatively, the protective liquid layer can be cured or cooled sufficiently to form a solid protective layer. | 11-13-2014 |
20140335697 | PULSED DIELECTRIC ETCH PROCESS FOR IN-SITU METAL HARD MASK SHAPE CONTROL TO ENABLE VOID-FREE METALLIZATION - An all-in-one trench-over-via etch wherein etching of a low-k material beneath a metal hard mask of titanium nitride containing material is carried out in alternating steps of (a) etching the low-k material while maintaining chuck temperature at about 45 to 80° C. and (b) metal hard mask rounding and Ti-based residues removal while maintaining chuck temperature at about 90 to 130° C. | 11-13-2014 |
20140332037 | Controls of Ambient Environment During Wafer Drying Using Proximity Head - A method for processing a substrate is provided which includes generating a fluid meniscus to process the substrate and applying the fluid meniscus to a surface of the substrate. The method further includes reducing evaporation of fluids from a surface in the substrate processing environment. | 11-13-2014 |
20140329391 | CONTINUOUS PLASMA ETCH PROCESS - A method for etching features with a continuous plasma is provided. A first plasma process is provided, comprising providing a flow of a first process gas into a process chamber, maintaining the continuous plasma, and stopping the flow of the first process gas into the process chamber. A transition process is provided, comprising providing a flow of a transition gas into the process chamber, maintaining the continuous plasma, and stopping the flow of the transition gas into the process chamber. A second plasma process is provided, comprising providing a flow of a second process gas into the process chamber, maintaining the continuous plasma, and stopping the second process gas into the process chamber. | 11-06-2014 |
20140326608 | ANISOTROPIC HIGH RESISTANCE IONIC CURRENT SOURCE (AHRICS) - An electroplating apparatus that promotes uniform electroplating on the substrates having thin seed layers includes a convex anisotropic high resistance ionic current source (AHRICS), such as an electrolyte-permeable resistive domed plate. The AHRICS is positioned in close proximity of the substrate, so that a distance from the central portion of the AHRICS to the substrate is smaller than the distance from the edge portion of the AHRICS to the substrate. The apparatus further includes a plating chamber configured to hold the electrolyte and an anode. The apparatus further includes a substrate holder configured to hold the substrate. In some embodiments, the apparatus further includes a secondary (thief) cathode configured to divert ionic current from the near-edge region of the substrate. | 11-06-2014 |
20140315392 | COLD SPRAY BARRIER COATED COMPONENT OF A PLASMA PROCESSING CHAMBER AND METHOD OF MANUFACTURE THEREOF - A cold spray barrier coated component of a semiconductor plasma processing chamber comprises a substrate having at least one metal surface wherein a portion of the metal surface is configured to form an electrical contact. A cold spray barrier coating is formed from a thermally and electrically conductive material on at least the metal surface configured to form the electrical contact of the substrate. Further, the cold spray barrier coating may also be located on a plasma exposed and/or process gas exposed surface of the component. | 10-23-2014 |
20140305589 | SOFT PULSING - Systems and methods for soft pulsing are described. One of the systems includes a master radiofrequency (RF) generator for generating a first portion of a master RF signal during a first state and a second portion of the master RF signal during a second state. The master RF signal is a sinusoidal signal. The system further includes an impedance matching circuit coupled to the master RF generator via an RF cable to modify the master RF signal to generate a modified RF signal and a plasma chamber coupled to the impedance matching circuit via an RF transmission line. The plasma chamber is used for generating plasma based on the modified RF signal. A statistical measure of the first portion has a positive or a | 10-16-2014 |
20140302681 | INTERNAL PLASMA GRID FOR SEMICONDUCTOR FABRICATION - The embodiments disclosed herein pertain to improved methods and apparatus for etching a semiconductor substrate. A plasma grid is positioned in a reaction chamber to divide the chamber into upper and lower sub-chambers. The plasma grid may have slots of a particular aspect ratio which allow certain species to pass through from the upper sub-chamber to the lower sub-chamber. In some cases, an electron-ion plasma is generated in the upper sub-chamber. Electrons that make it through the grid to the lower sub-chamber are cooled as they pass through. In some cases, this results in an ion-ion plasma in the lower sub-chamber. The lower sub-chamber plasma has a lower electron density, lower effective electron temperature, and higher negative ion:positive ion ratio as compared to the upper sub-chamber plasma. The disclosed embodiments may result in an etching process having good center to edge uniformity, selectivity, profile angle, and Iso/Dense loading. | 10-09-2014 |
20140299273 | MULTI-SEGMENT ELECTRODE ASSEMBLY AND METHODS THEREFOR - A multi-segment electrode assembly having a plurality of electrode segments for modifying a plasma in a plasma processing chamber is disclosed. There is included a first powered electrode segment having a first plasma-facing surface, the first powered electrode segment configured to be powered by a first RE signal. There is also included a second powered electrode segment having a second plasma-facing surface, the second powered electrode segment configured to be powered by a second RE signal. The second powered electrode segment is electrically insulated from the first powered electrode segment, while at least one of the first plasma-facing surface and the second plasma-facing surface is non-planar. | 10-09-2014 |
20140295670 | DENSE OXIDE COATED COMPONENT OF A PLASMA PROCESSING CHAMBER AND METHOD OF MANUFACTURE THEREOF - A method of forming a dense oxide coating on an aluminum component of semiconductor processing equipment comprises cold spraying a layer of pure aluminum on a surface of the aluminum component to a predetermined thickness. A dense oxide coating is then formed on the layer of pure aluminum using a plasma electrolytic oxidation process, wherein the plasma electrolytic oxidation process causes the layer of pure aluminum to undergo microplasmic discharges, thus forming the dense oxide coating on the layer of pure aluminum on the surface of the aluminum component. | 10-02-2014 |
20140272459 | CORROSION RESISTANT ALUMINUM COATING ON PLASMA CHAMBER COMPONENTS - Components of semiconductor material processing chambers are disclosed, which may include a substrate and at least one corrosion-resistant coating formed on a surface thereof. The at least one corrosion-resistant coating is a high purity metal coating formed by a cold-spray technique. An anodized layer can be formed on the high purity metal coating. The anodized layer comprises a process-exposed surface of the component. Semiconductor material processing apparatuses including one or more of the components are also disclosed, the components being selected from the group consisting of a chamber liner, an electrostatic chuck, a focus ring, a chamber wall, an edge ring, a plasma confinement ring, a substrate support, a baffle, a gas distribution plate, a gas distribution ring, a gas nozzle, a heating element, a plasma screen, a transport mechanism, a gas supply system, a lift mechanism, a load lock, a door mechanism, a robotic arm and a fastener. Methods of making the components and methods of plasma processing using the components are also disclosed. | 09-18-2014 |
20140269821 | MULTICHANNEL THERMOCOUPLE COMPENSATION FOR THREE DIMENSIONAL TEMPERATURE GRADIENT - A method of using a thermocouple is provided. The method includes, but is not limited to, disposing at least one temperature sensor at each of two or more respective portions of an electrical connector adapted to receive thermocouple signals, measuring temperatures at the two or more portions, and calculating the temperatures at each terminal of the electrical connector based on measured temperature values of the two or more respective portions having the disposed temperature sensors. The method also includes, but is not limited to, calculating a cold junction temperature of a terminal for at least one thermocouple channel carrying the thermocouple signals based on measured or calculated temperature values of the terminals, or a combination thereof. | 09-18-2014 |
20140265852 | Dual Control Modes - Systems and methods for using variables based on a state associated with a plasma system. A method includes determining whether the state associated with the plasma system is a first state or a second state and determining a first variable upon determining that the state is the first state. The first variable is determined based on a measurement at a communication medium. The method further includes determining a second variable upon determining that the state is the second state. The second variable is determined based on a measurement at the communication medium. The method includes determining whether the second variable exceeds a first threshold, providing an instruction to reduce power supplied to a plasma chamber upon determining that the second variable exceeds the first threshold, and providing an instruction to increase power supplied to the plasma chamber upon determining that the second variable is below the first threshold. | 09-18-2014 |
20140263274 | METHODS OF FAULT DETECTION FOR MULTIPLEXED HEATER ARRAY - Described herein is a method of detecting fault conditions in a multiplexed multi-heater-zone heating plate for a substrate support assembly used to support a semiconductor substrate in a semiconductor processing apparatus. | 09-18-2014 |
20140263179 | TUNING SYSTEM AND METHOD FOR PLASMA-BASED SUBSTRATE PROCESSING SYSTEMS - A system includes a tuning element comprising a shaft and a tuning stub. The tuning stub includes a surface with a center point. The shaft is connected to the surface of the tuning stub at a location that is offset from the center point. A waveguide includes an opening into an inner portion of the waveguide. The shaft passes through the opening and the tuning stub is arranged in the inner portion of the waveguide. A first actuator selectively rotates the shaft. | 09-18-2014 |
20140263177 | System and Method for Heating Plasma Exposed Surfaces - A substrate support apparatus for a plasma processing system includes a layer of dielectric material having a top surface and a bottom surface. The top surface is defined to support a substrate in exposure to a plasma. The substrate support apparatus also includes a number of optical fibers each having a first end and a second end. The first end of each optical fiber is defined to receive photons from a photon source. The second end of each optical fiber is oriented to project photons received from the photon source onto the bottom surface of the layer of dielectric material. | 09-18-2014 |
20140261575 | PORTABLE SONIC PARTICLE REMOVAL TOOL WITH A CHEMICALLY CONTROLLED WORKING FLUID - A particle removal tool having a sound field transducer, a cleaning chamber, and an open sealing face. The cleaning chamber having a cleaning fluid guiding chamber extending from the sound field transducer to the open sealing face, a cleaning fluid delivery channel in fluid communication with the cleaning fluid guiding chamber, and a cleaning fluid return channel. The open sealing face has a cleaning portal disposed contiguous with a plane formed by the open sealing face and a chamber-to-surface interface seal which forms a fluid tight seal with a cleaning surface plane. The sound field transducer is disposed within a line-of-sight of the cleaning portal and generates acoustic waves with a frequency between approximately 20 kHz and approximately 2 MHz. | 09-18-2014 |
20140261535 | Standing Wave Generation in Holes to Enhance Cleaning in the Holes in Liquid Sonification Cleaning Systems - Methods and liquid sonification systems configured to clean at least one hole of an article. The methods comprise establishing at least one pressure gradient within the at least one hole to move particles proximate to a node of a standing wave toward an antinode of the standing wave, the standing wave having an axis of propagation parallel to the central axis of the at least one hole. The methods may, in some embodiments, comprise establishing one or more sites of cavitation within the at least one hole. | 09-18-2014 |
20140256142 | METHOD OF ETCHING AN ETCH LAYER - A method for etching an etch layer is provided. A glue layer having metallizable terminations is formed over the etch layer. The glue layer is exposed to a patterned light, wherein the metallizable terminations of the glue layer illuminated by the patterned light become unmetallizable. A metal deposition layer is formed on the glue layer, wherein the metal deposition layer only deposits on areas of the glue layer with metallizable terminations of the glue layer. The etch layer is etched through portions of the glue layer without the metal deposition layer. | 09-11-2014 |
20140256066 | Radiofrequency Adjustment for Instability Management in Semiconductor Processing - Methods, systems, and computer programs are presented for reducing chamber instability while processing a semiconductor substrate. One method includes an operation for identifying a first recipe with steps having an operating frequency equal to the nominal frequency of a radiofrequency (RF) power supply. Each step is analyzed with the nominal frequency, and the analysis determines if any step produces instability at the nominal frequency. The operating frequency is adjusted, for one or more of the steps, when the instability in the one or more steps exceeds a threshold. The adjustment acts to find an approximate minimum level of instability. A second recipe is constructed after the adjustment, such that at least one of the steps includes a respective operating frequency different from the nominal frequency. The second recipe is used to etch the one or more layers disposed over the substrate in the semiconductor processing chamber. | 09-11-2014 |
20140251382 | Methods for Confinement of Foam Delivered by a Proximity Head - A method suctions liquid from an upper surface of a substrate as the substrate is transported by a carrier under a head in a chamber. This operation is performed by the first section of the head. The method causes a first film of cleaning foam to flow onto the upper surface of the substrate as the substrate proceeds under the head. This operation is performed by a second section which is contiguous to the first section in the head. The method causes a second film of rinsing fluid to flow onto the upper surface of the substrate as the substrate is carried under the head. This rinsing operation is performed by a third section which is contiguous to the second section in the head and which is defined partially around the second section and up to the first section. | 09-11-2014 |
20140235063 | HYBRID EDGE RING FOR PLASMA WAFER PROCESSING - An edge ring assembly is disclosed for use in a plasma processing chamber, which includes an RF conductive ring positioned on an annular surface of a base plate and configured to surround an upper portion of the baseplate and extend underneath an outer edge of a wafer positioned on the upper surface of the baseplate, and a wafer edge protection ring positioned above an upper surface of the RF conductive ring and configured to extend over the outer edge of the wafer. The protection ring has an inner edge portion with a uniform thickness, which extends over the outer edge of the wafer, a conical upper surface extending outward from the inner edge portion to a horizontal upper surface, an inner annular recess which is positioned on the upper surface of the RF conductive and configured to extend over the outer edge of the wafer. | 08-21-2014 |
20140235061 | DUCTILE MODE MACHINING METHODS FOR HARD AND BRITTLE COMPONENTS OF PLASMA PROCESSING APPARATUSES - A method of ductile mode machining a component of a plasma processing apparatus wherein the component is made of nonmetallic hard and brittle material wherein the method comprises single point turning the component with a diamond cutting tool causing a portion of the nonmetallic hard and brittle material to undergo a high pressure phase transformation to form a ductile phase portion of the hard and brittle material during chip formation wherein a turned surface is formed from a phase changed material and the turned surface is a grooved textured surface of phase changed material. | 08-21-2014 |
20140235056 | SYSTEM, METHOD AND APPARATUS FOR ION MILLING IN A PLASMA ETCH CHAMBER - A system and method of ion milling performed in a plasma etch system including a plasma etch chamber, multiple process gas sources coupled to the plasma etch chamber, a radio frequency bias source and a controller. The plasma etch chamber including a substrate support. The substrate support being a non-pivoting and non-rotating substrate support. The substrate support capable of supporting a substrate to be processed on a top surface of the substrate support without use of a mechanical clamp device. The plasma etch chamber also including an upper electrode disposed opposite from the top surface of the substrate support. The radio frequency bias source is coupled to the substrate support. The controller is coupled to the plasma etch chamber, the multiple process gas sources and the radio frequency bias source. The controller including logic stored on computer readable media for performing an ion milling process in the plasma etch chamber. | 08-21-2014 |
20140220709 | CONTROLLING CD AND CD UNIFORMITY WITH TRIM TIME AND TEMPERATURE ON A WAFER BY WAFER BASIS - Exemplary embodiments are directed to controlling CD uniformity of a wafer by controlling trim time on temperature in a plasma processing system. The plasma processing system has a wafer support assembly including a plurality of independently controllable temperature control zones across a chuck and a controller that controls each temperature control zone. The controller receives process control and temperature data associated with at least one wafer previously processed in a plasma chamber of the plasma processing system, and critical device parameters of a current wafer to be processed in the plasma chamber. The controller calculates a target trim time and a target temperature profile of the current wafer based on the process control and temperature data, and the critical device parameters. The current wafer is trimmed during the target trim time while the temperature of each device die location is controlled based on the target temperature profile. | 08-07-2014 |
20140217895 | TEMPERATURE CONTROLLED WINDOW OF A PLASMA PROCESSING CHAMBER COMPONENT - A temperature controlled dielectric window of an inductively coupled plasma processing chamber includes a dielectric window forming a top wall of the plasma processing chamber having at least first and second channels therein. A liquid circulating system includes a source of cold liquid circulating in a first closed loop which is not in fluid communication with the channels, a source of hot liquid circulating in a second closed loop which is in fluid communication with the channels, and first and second heat exchangers. The cold liquid passes through the first heat exchanger at a controllable flow rate and temperature of the hot liquid is adjusted by heat exchange with the cold liquid as the hot liquid passes through the first heat exchanger and then through the inlet of the first channel. The cold liquid passes through the second heat exchanger at a controllable flow rate and temperature of the hot liquid is adjusted by heat exchange with the cold liquid as the hot liquid passes through the second heat exchanger and then through the inlet of the second channel. | 08-07-2014 |
20140217590 | THROUGH SILICON VIA METALLIZATION - To achieve the foregoing and in accordance with the purpose of the present invention, a method for filling through silicon vias is provided. A dielectric layer is formed over the through silicon vias. A barrier layer, comprising tungsten, is deposited by CVD or ALD over the dielectric layer. The through silicon vias are filled with a conductive material. | 08-07-2014 |
20140214395 | Segmenting A Model Within A Plasma System - Systems and methods for segmenting an impedance matching model are described. One of the methods includes receiving the impedance matching model. The impedance matching model represents an impedance matching circuit, which is coupled to an RF generator via an RF cable and to a plasma chamber via an RF transmission line. The method further includes segmenting the impedance matching model into two or more modules of a first set. Each module includes a series circuit and a shunt circuit. The shunt circuit is coupled to the series circuit. The series circuit of the first module is coupled to a cable model and the series circuit of the second module is coupled to an RF transmission model. The series circuit and the shunt circuit of the first module are coupled to the series circuit of the second module. The shunt circuit of the second module is coupled to the RF transmission model. | 07-31-2014 |
20140214351 | Using Modeling to Determine Ion Energy Associated with A Plasma System - Systems and methods for determining ion energy are described. One of the methods includes detecting output of a generator to identify a generator output complex voltage and current (V&I). The generator is coupled to an impedance matching circuit and the impedance matching circuit is coupled to an electrostatic chuck (ESC). The method further includes determining from the generator output complex V&I a projected complex V&I at a point along a path between an output of a model of the impedance matching circuit and a model of the ESC. The operation of determining of the projected complex V&I is performed using a model for at least part of the path. The method includes applying the projected complex V&I as an input to a function to map the projected complex V&I to a wafer bias value at the ESC model and determining an ion energy from the wafer bias value. | 07-31-2014 |
20140213061 | DUCTILE MODE DRILLING METHODS FOR BRITTLE COMPONENTS OF PLASMA PROCESSING APPARATUSES - A method of drilling holes comprises ductile mode drilling the holes in a component of a plasma processing apparatus with a cutting tool wherein the component is made of a nonmetallic hard and brittle material. The method comprises drilling each hole in the component by controlling a depth of cut while drilling such that a portion of the brittle material undergoes high pressure phase transformation and forms amorphous portions of the brittle material during chip formation. The amorphous portions of the brittle material are removed from each hole such that a wall of each hole formed in the component has an as drilled surface roughness (Ra) of about 0.2 to 0.8 μm. | 07-31-2014 |
20140210508 | Determining A Malfunctioning Device in A Plasma System - Systems and methods for determining a malfunctioning device in a plasma system, are described. One of the methods includes receiving an indication whether plasma is generated within a plasma chamber of the plasma system. The plasma system includes a processing portion and a power delivery portion. The method further includes determining whether the plasma system operates within constraints in response to receiving the indication that the plasma is generated, determining a value of a variable at an output of the power delivery portion when the processing portion is decoupled from the power delivery portion, and comparing the determined value with a pre-recorded value of the variable. The method includes determining whether the determined value is outside a range of the pre-recorded value and determining that the malfunctioning device within the power delivery portion upon determining that the determined value is outside the range of the pre-recorded value. | 07-31-2014 |
20140202503 | METHOD AND SYSTEM FOR UNIFORMLY APPLYING A MULTI-PHASE CLEANING SOLUTION TO A SUBSTRATE - A system for cleaning a substrate includes a carrier and a cleaning station. The carrier is capable of holding the substrate and is movably coupled to a pair of guide tracks extending a length of the system. The cleaning station includes a force applicator, a gate and a dispenser. The force applicator has an applicator length and is coupled to the cleaning station, is rotatable and is adjustable to a first height off the surface of the carrier during cleaning. The gate is a hollow structure disposed at a trailing edge of the force applicator. The gate is set to a height off the carrier surface that is less than or equal to the first height. The gate includes a gate length that at least spans the applicator length. The dispenser is disposed at a leading edge of the force applicator and is configured to supply cleaning solution during cleaning. | 07-24-2014 |
20140195033 | Control of Etch Rate Using Modeling, Feedback and Impedance Match - A method for achieving an etch rate is described. The method includes receiving a calculated variable associated with processing a work piece in a plasma chamber. The method further includes propagating the calculated variable through a model to generate a value of the calculated variable at an output of the model, identifying a calculated processing rate associated with the value, and identifying based on the calculated processing rate a pre-determined processing rate. The method also includes identifying a pre-determined variable to be achieved at the output based on the pre-determined processing rate and identifying a characteristics associated with a real and imaginary portions of the pre-determined variable. The method includes controlling variable circuit components to achieve the characteristics to further achieve the pre-determined variable. | 07-10-2014 |
20140187049 | SHOWERHEAD ELECTRODE ASSEMBLY WITH GAS FLOW MODIFICATION FOR EXTENDED ELECTRODE LIFE - A showerhead electrode assembly for a plasma processing apparatus is provided. The showerhead electrode assembly includes a first member attached to a second member. The first and second members have first and second gas passages in fluid communication. When a process gas is flowed through the gas passages, a total pressure drop is generated across the first and second gas passages. A fraction of the total pressure drop across the second gas passages is greater than a fraction of the total pressure drop across the first gas passages. | 07-03-2014 |
20140182689 | GAS SUPPLY SYSTEMS FOR SUBSTRATE PROCESSING CHAMBERS AND METHODS THEREFOR - A gas supply subsystem for providing a set of process gases to a substrate processing chamber, the set of process gases being a subset of a plurality of process gases available to the substrate processing chamber. The gas supply subsystem has fewer multi-gas mass flow controllers than the number of available process gases, wherein multiple process gases are multiplexed at the input of one or more of the multi-gas mass flow controllers. Pump-purge may be employed to improve gas switching speed for the multi-gas mass flow controllers | 07-03-2014 |
20140179106 | IN-SITU METAL RESIDUE CLEAN - A method for forming devices in an oxide layer over a substrate, wherein a metal containing layer forms at least either an etch stop layer below the oxide layer or a patterned mask above the oxide layer, wherein a patterned organic mask is above the oxide layer is provided. The substrate is placed in a plasma processing chamber. The oxide layer is etched through the patterned organic mask, wherein metal residue from the metal containing layer forms metal residue on sidewalls of the oxide layer. The patterned organic mask is stripped. The metal residue is cleaned by the steps comprising providing a cleaning gas comprising BCl | 06-26-2014 |
20140179097 | DEPOSITION APPARATUS AND METHOD - A method for filling features in a layer over a substrate is provided. A dispersion of nanoparticles less than 5 nm is placed on the layer. The liquid is frozen by lowering a temperature of the liquid. The frozen liquid is sublimated by decreasing pressure and subsequently heating the frozen liquid, wherein the nanoparticles are not sublimated. | 06-26-2014 |
20140175617 | OXYGEN-CONTAINING CERAMIC HARD MASKS AND ASSOCIATED WET-CLEANS - A method of forming an oxygen-containing ceramic hard mask film on a semiconductor substrate involves receiving a semiconductor substrate in a plasma-enhanced chemical vapor deposition (PECVD) process chamber and depositing forming by PEVCD on the substrate an oxygen-containing ceramic hard mask film, the film being etch selective to low-k dielectric and copper, resistant to plasma dry-etch and removable by wet-etch. The method may further involve removing the oxygen-containing ceramic hard mask film from the substrate with a wet etch. Corresponding films and apparatus are also provided. | 06-26-2014 |
20140174663 | PLASMA STABILIZATION METHOD AND PLASMA APPARATUS - A plasma technique in which a plasma generation technique frequently used in various fields including a semiconductor manufacturing process is used, and generation of plasma instability (high-speed impedance change of plasma) can efficiently be suppressed and controlled in order to manufacture stable products. An apparatus includes a processing chamber, a surrounding member disposed so as to surround the processing chamber, an RF induction coil disposed above the top surface, a direct-current magnetic field generator for supplying a direct-current magnetic field to the inner space, and an RF cut filter connected to a direct current (DC) power supply and connected to the direct-current magnetic field generator. The RF cut filter includes a first capacitor connected to a positive terminal of the DC power supply and to ground, and a second capacitor connected to a negative terminal of the DC power supply and to ground. | 06-26-2014 |
20140174661 | PLASMA PROCESSING CHAMBER FOR BEVEL EDGE PROCESSING - A process chamber includes a wafer support to mount a wafer to be processed in the process chamber, with the wafer having an annular edge exclusion area. A first electrically grounded ring extends in an annular path radially outward of the edge exclusion area and is electrically isolated from the wafer support. A second electrode is configured with a center area opposite to the wafer support. A second electrically grounded ring extends in an annular path radially outward of the second electrode and the edge exclusion area. The second electrically grounded ring is electrically isolated from the center area. An annular mount section has a DC bias ring, and the DC bias ring opposes the edge exclusion area when the wafer is present. A DC control circuit is provided for applying a DC voltage to the DC bias ring. | 06-26-2014 |
20140170780 | Method of Low-K Dielectric Film Repair - Methods for repairing a carbon depleted low-k material in a low-k dielectric film layer of a semiconductor wafer include providing a proximity head with a plurality of nozzles disposed on a surface of the proximity head. A repair chemistry having a hydrocarbon group is applied to a portion of the semiconductor wafer that includes carbon depleted low-k material, through the proximity head. The application is used to deliver carbon from the repair chemistry into the carbon depleted low-k material so as to cause replacement of a hydrogen ion within a hydroxyl group in the carbon depleted low-k material with carbon containing hydrocarbon group of the repair chemistry. The carbon containing hydrocarbon group forms a bond with suspended oxygen ion of the hydroxyl group thereby substantially repairing the low-k dielectric layer. The semiconductor wafer is then moved to expose other portions of the semiconductor wafer to the repair chemistry. | 06-19-2014 |
20140167613 | Computation of Statistics for Statistical Data Decimation - Systems and methods for statistical data decimation are described. The method includes receiving a variable from a radio frequency (RF) system, propagating the variable through a model of the RF system, and counting an output of the model for the variable to generate a count. The method further includes determining whether the count meets a count threshold, generating a statistical value of the variable at the output of the model upon determining that the count meets the count threshold, and sending the statistical value to the RF system to adjust the variable. | 06-19-2014 |
20140167228 | ETCH PROCESS WITH PRE-ETCH TRANSIENT CONDITIONING - A method for etching features with different aspect ratios in an etch layer is provided. A plurality of cycles is provided wherein each cycle comprises a pre-etch transient conditioning of the etch layer, which provides a transient condition of the etch layer, wherein the transient condition has a duration and etching the etch layer for a duration, wherein the duration of the etching with respect to the duration of the transient condition is controlled to control etch aspect ratio dependence. | 06-19-2014 |
20140158167 | METHOD AND APPARATUS FOR CLEANING A SUBSTRATE USING NON-NEWTONIAN FLUIDS - A method for cleaning a substrate is provided. In this method, a flow of non-Newtonian fluid is provided where at least a portion of the flow exhibits plug flow. To remove particles from a surface of the substrate, the surface of the substrate is placed in contact with the portion of the flow that exhibits plug flow such that the portion of the flow exhibiting plug flow moves over the surface of the substrate. Additional methods and apparatuses for cleaning a substrate also are described. | 06-12-2014 |
20140158153 | ULTRASONIC CLEANING METHOD AND APPARATUS THEREFORE - Ultrasonic cleaning apparatuses and methods of cleaning substantially planar articles. An apparatus comprises (i) a substantially circular tank; (ii) a plurality of cleaning fluid inlets for delivering a cleaning fluid to the tank; (iii) an intermediate support for receiving an article to be cleaned; and (iv) an ultrasonic generator coupled to the tank for generating ultrasonic waves in the tank and cleaning fluid received therein. The apparatus is configured to remove particles from a substantially planar article and have them carried by flow of cleaning fluid away from the article and out of the tank. Using such an apparatus, a cleaning method comprises introducing a substantially planar article to be cleaned into the tank; introducing a cleaning fluid into the tank through the plurality of cleaning fluid inlets; and exciting the cleaning fluid with ultrasonic waves. | 06-12-2014 |
20140154888 | SHOWERHEAD ELECTRODE ASSEMBLIES FOR PLASMA PROCESSING APPARATUSES - Showerhead electrode assemblies are disclosed, which include a showerhead electrode adapted to be mounted in an interior of a vacuum chamber; an optional backing plate attached to the showerhead electrode; a thermal control plate attached to the backing plate or to the showerhead electrode at multiple contact regions across the backing plate; and at least one interface member separating the backing plate and the thermal control plate, or the thermal control plate and showerhead electrode, at the contact regions, the interface member having a thermally and electrically conductive gasket portion and a particle mitigating seal portion. Methods of processing semiconductor substrates using the showerhead electrode assemblies are also disclosed. | 06-05-2014 |
20140154883 | TUNGSTEN NUCLEATION PROCESS TO ENABLE LOW RESISTIVITY TUNGSTEN FEATURE FILL - Methods for depositing low resistivity tungsten in features of substrates in semiconductor processing are disclosed herein. Methods involve using a germanium-containing reducing agent during tungsten nucleation layer deposition to achieve thin, low resistivity nucleation layers. | 06-05-2014 |
20140154819 | POWER SWITCHING SYSTEM FOR ESC WITH ARRAY OF THERMAL CONTROL ELEMENTS - A semiconductor substrate support for supporting a semiconductor substrate in a plasma processing chamber includes a heater array comprising thermal control elements operable to tune a spatial temperature profile on the semiconductor substrate, the thermal control elements defining heater zones each of which is powered by two or more power supply lines and two or more power return lines wherein each power supply line is connected to at least two of the heater zones and each power return line is connected to at least two of the heater zones. A power distribution circuit is mated to a baseplate of the substrate support, the power distribution circuit being connected to each power supply line and power return line of the heater array. A switching device is connected to the power distribution circuit to independently provide time-averaged power to each of the heater zones by time divisional multiplexing of a plurality of switches. | 06-05-2014 |
20140154406 | WET ACTIVATION OF RUTHENIUM CONTAINING LINER/BARRIER - Methods and systems are provided for preparing a ruthenium containing liner/barrier for metal deposition, and are useful in the manufacture of integrated circuits. In accordance with one embodiment, a borohydride solution having a pH greater than 12 is mixed with DI water at the place of application to form a pretreatment solution. The pretreatment solution is applied to reduce a ruthenium-containing surface of a substrate. Following reduction of the ruthenium containing surface, copper deposition may be initiated. | 06-05-2014 |
20140154033 | DUAL ARM VACUUM ROBOT - A dual arm robot for a substrate processing system includes a base and a first arm having extended and retracted positions. Each of the first and second arms includes a first arm portion having one end rotatably connected to the base, a second arm portion having one end rotatably connected to another end of the first arm portion, and an end effector having one end rotatably connected to another end of the second arm portion and another end configured to support first and second substrates, respectively. When the first and second arms are arranged in the retracted position, connections between the second arm portions and the end effectors are located over or under the second and first substrates, respectively, and the first substrate is not located over or under the second substrate. | 06-05-2014 |
20140151333 | Small Plasma Chamber Systems and Methods - A plasma deposition chamber is disclosed. A substrate support for supporting a surface to be processed is in the chamber. A processing head including an array of plasma microchambers is also in the chamber. Each of the plasma microchambers includes an open side disposed over at least a first portion of the surface to be processed. The open side has an area less than an entire area of the surface to be processed. A process gas source is coupled to the chamber to provide a process gas the array of plasma microchambers. A radio frequency power supply is connected to at least one electrode of the processing head. The array of plasma microchambers is configured to generate a plasma using the process gas to deposit a layer over the at least first portion of the surface to be processed. A method for performing a plasma deposition is also disclosed. | 06-05-2014 |
20140150819 | METHOD OF WET CLEANING ALUMINUM CHAMBER PARTS - A method of wet cleaning an aluminum part having bare aluminum surfaces and anodized aluminum surfaces. The method includes CO | 06-05-2014 |
20140148015 | GAS SWITCHING SECTION INCLUDING VALVES HAVING DIFFERENT FLOW COEFFICIENTS FOR GAS DISTRIBUTION SYSTEM - A gas switching system for a gas distribution system for supplying different gas compositions to a chamber, such as a plasma processing chamber of a plasma processing apparatus, is provided. The chamber can include multiple zones, and the gas switching section can supply different gases to the multiple zones. The switching section can switch the flows of one or more gases, such that one gas can be supplied to the chamber while another gas can be supplied to a by-pass line, and then switch the gas flows. | 05-29-2014 |
20140148013 | ACTIVELY HEATED ALUMINUM BAFFLE COMPONENT HAVING IMPROVED PARTICLE PERFORMANCE AND METHODS OF USE AND MANUFACTURE THEREOF - An actively heated aluminum baffle component such as a thermal control plate or baffle ring of a showerhead electrode assembly of a plasma processing chamber has an exposed outer aluminum oxide layer which is formed by an electropolishing procedure. The exposed outer aluminum oxide layer minimizes defects and particles generated as a result of differential thermal stresses experienced by the aluminum component and outer aluminum oxide layer during plasma processing compared to an identically shaped component having a Type III anodized surface. | 05-29-2014 |
20140144781 | METHOD AND APPARATUS FOR DYNAMIC CURRENT DISTRIBUTION CONTROL DURING ELECTROPLATING - An apparatus for electroplating a layer of metal onto the surface of a wafer includes an auxiliary electrode that is configured to function both as an auxiliary cathode and an auxiliary anode during the course of electroplating. The apparatus further includes an ionic current collimator (e.g., a focus ring) configured to direct ionic current from the main anode to central portions of the wafer. The provided configuration effectively redistributes ionic current in the plating system allowing plating of uniform metal layers and mitigating the terminal effect. In one example, the auxiliary electrode functions as an auxiliary cathode in the beginning of electroplating when the terminal effect is pronounced, and subsequently is anodically biased. | 05-29-2014 |
20140139049 | PLASMA PROCESSING SYSTEMS HAVING MULTI-LAYER SEGMENTED ELECTRODES AND METHODS THEREFOR. - Methods and apparatus for plasma processing of a substrate to improve process results are proposed. The apparatus pertains to multi-layer segmented electrodes and methods to form and operate such electrodes. The multi-layer segmented electrode includes a first layer comprising a first plurality of electrode segments, whereby electrode segments of the first plurality of electrode segments spatially separated from one another along a first direction. There is also included a second layer comprising a second plurality of electrode segments, whereby the second layer is spatially separated from the first layer along a second direction perpendicular to the first direction and whereby at least two segmented electrodes of the first plurality of electrode segments are individually controllable with respect to one or more electrical parameters. | 05-22-2014 |
20140127911 | PALLADIUM PLATED ALUMINUM COMPONENT OF A PLASMA PROCESSING CHAMBER AND METHOD OF MANUFACTURE THEREOF - A palladium plated aluminum component of a semiconductor plasma processing chamber comprises a substrate including at least an aluminum or aluminum alloy surface, and a palladium plating on the aluminum or aluminum alloy surface of the substrate. The palladium plating comprises an exposed surface of the component and/or a mating surface of the component. | 05-08-2014 |
20140124361 | METHOD AND APPARATUS FOR FILLING INTERCONNECT STRUCTURES - Methods, apparatus, and systems for depositing copper and other metals are provided. In some implementations, a wafer substrate is provided to an apparatus. The wafer substrate has a surface with field regions and a feature. A copper layer is plated onto the surface of the wafer substrate. The copper layer is annealed to redistribute copper from regions of the wafer substrate to the feature. Implementations of the disclosed methods, apparatus, and systems allow for void-free bottom-up fill of features in a wafer substrate. | 05-08-2014 |
20140124138 | PLASMA MONITORING PROBE ASSEMBLY AND PROCESSING CHAMBER INCORPORATING THE SAME - A plasma processing chamber is provided comprising one or more process gas inlets, one or more exhaust gas outlets, plasma generating hardware configured to generate a process gas plasma in a plasma processing portion of the plasma processing chamber, a wafer processing stage positioned in the plasma processing chamber, and a plasma monitoring probe assembly. The plasma monitoring probe assembly comprises an electrically conductive probe and an insulator sleeve assembly positioned about the electrically conductive probe. The insulator sleeve assembly comprises a plasma-side sleeve portion and a subterranean sleeve portion positioned about distinct portions of a longitudinal probe axis of the electrically conductive probe of the probe assembly. The plasma-side sleeve portion of the insulator sleeve assembly is constructed of material that is more resistant to plasma-based degradation than is the material of the subterranean sleeve portion of the insulator sleeve assembly, while the subterranean sleeve portion of the insulator sleeve assembly is constructed of material that is more electrically resistant than the material of the plasma-side sleeve portion of the insulator sleeve assembly. | 05-08-2014 |
20140120737 | SUB-SATURATED ATOMIC LAYER DEPOSITION AND CONFORMAL FILM DEPOSITION - Methods and apparatus for depositing continuous thin films using plasma-activated sub-saturated atomic layer deposition are provided herein. According to various embodiments, pin-hole free continuous films may be deposited at thicknesses thinner than achievable with conventional methods. The methods and apparatus also provide high degree of thickness control, with films a per-cycle thickness tunable to as low as 0.1 Å in some embodiments. Further, the methods and apparatus may be used to provide films having improved properties, such as lower wet etch rate, in some embodiments. | 05-01-2014 |
20140116476 | Systems for Surface Treatment of Semiconductor Substrates using Sequential Chemical Applications - Systems for removing post etch polymer residue from etched surface includes a first proximity head to introduce a first cleaning chemistry as a first meniscus to a portion of the surface of the substrate so as to cover a length that extends to at least a diameter of the substrate and a first width that is less than the diameter of the substrate. A second proximity head is configured to introduce a second cleaning chemistry as a second meniscus to the portion so as to cover the length that extends to the diameter and a second width that is less than the diameter of the substrate. A substrate supporting device equipped with a motor coupled to a computing system is used to move the substrate supporting device under the first proximity head at a first linear speed and under the second proximity head at a second linear speed. | 05-01-2014 |
20140113453 | TUNGSTEN CARBIDE COATED METAL COMPONENT OF A PLASMA REACTOR CHAMBER AND METHOD OF COATING - A tungsten carbide coated chamber component of semiconductor processing equipment includes a metal surface, optional intermediate nickel coating, and outer tungsten carbide coating. The component is manufactured by optionally depositing a nickel coating on a metal surface of the component and depositing a tungsten carbide coating on the metal surface or nickel coating to form an outermost surface. | 04-24-2014 |
20140110060 | ADJUSTING SUBSTRATE TEMPERATURE TO IMPROVE CD UNIFORMITY - A plasma etching system having a substrate support assembly with multiple independently controllable heater zones. The plasma etching system is configured to control etching temperature of predetermined locations so that pre-etch and/or post-etch non-uniformity of critical device parameters can be compensated for. | 04-24-2014 |
20140106476 | DIFFERENTIAL MEASUREMENTS FOR ENDPOINT SIGNAL ENHANCEMENT - A method for etching a layer is provided. A substrate is provided in a chamber. An etch plasma for etching a layer on the substrate is generated. Light from a first region of the chamber is measured to provide a first signal. Light from a second region of the chamber is measured to provide a second signal. The first signal with the second signal are compared to determine an etch endpoint. | 04-17-2014 |
20140103806 | PRESSURE CONTROLLED HEAT PIPE TEMPERATURE CONTROL PLATE - A showerhead electrode assembly for a plasma processing chamber, which includes a showerhead electrode; a heater plate secured to the showerhead electrode; at least one pressure controlled heat pipe secured to an upper surface of the heater plate, the at least one pressure controlled heat pipe having a heat transfer liquid contained therein, and a pressurized gas, which produces a variable internal pressure within the at least one pressure controlled heat pipe; a top plate secured to an upper surface of the at least one heat pipe; and wherein the variable internal pressure within the at least one pressure controlled heat pipe during heating of the showerhead electrode by the heater plate displaces the heat transfer liquid from a thermal path between the top plate and the heater plate, and when removing excess heat from the showerhead electrode returns the heat transfer liquid to the thermal path. | 04-17-2014 |
20140103010 | PLASMA MEDIATED ASHING PROCESSES THAT INCLUDE FORMATION OF A PROTECTIVE LAYER BEFORE AND/OR DURING THE PLASMA MEDIATED ASHING PROCESS - A method for processing a substrate includes arranging a substrate including masked portions and unmasked portions in a process chamber; creating plasma in a process chamber; supplying a passivation gas mixture that includes nitrogen or carbon to create a plasma passivation gas mixture; exposing a substrate to the plasma passivation gas mixture to create a passivation layer on the unmasked portions of the substrate; supplying a stripping gas mixture that includes oxygen to the plasma to create a plasma stripping gas mixture; exposing the substrate to the plasma stripping gas mixture to strip at least part of the masked portions and at least part of the unmasked portions; and repeating creating the passivation layer and the stripping to remove a predetermined amount of the masked portions. | 04-17-2014 |
20140101964 | DELAMINATION DRYING APPARATUS AND METHOD - An apparatus for delamination drying a substrate is provided. A chamber for receiving a substrate is provided. A chuck supports and clamps the substrate within the chamber. A temperature controller controls the temperature of the substrate and is able to cool the substrate. A vacuum pump is in fluid connection with the chamber. A tilting mechanism is able to tilt the chuck at least 90 degrees. | 04-17-2014 |
20140099789 | METHOD OF MAKING AN INTERCONNECT DEVICE - A semiconductor system includes: providing a dielectric layer; providing a conductor in the dielectric layer, the conductor exposed at the top of the dielectric layer; capping the exposed conductor; and modifying the surface of the dielectric layer, modifying the surface of the dielectric layer, wherein modifying the surface includes cleaning conductor ions from the dielectric layer by dissolving the conductor in a low pH solution, dissolving the dielectric layer under the conductor ions, mechanically enhanced cleaning, or chemisorbing a hydrophobic layer on the dielectric layer. | 04-10-2014 |
20140096909 | HEATING PLATE WITH PLANAR HEATER ZONES FOR SEMICONDUCTOR PROCESSING - A heating plate of a semiconductor substrate support for supporting a semiconductor substrate in a plasma processing chamber includes a first layer with an array of heater zones operable to tune a spatial temperature profile on the semiconductor substrate, and a second layer with one or more primary heaters to provide mean temperature control of the semiconductor substrate. The heating plate can be incorporated in a substrate support wherein a switching device independently supplies power to each one of the heater zones to provide time-averaged power to each of the heater zones by time divisional multiplexing of the switches. | 04-10-2014 |
20140096908 | DISTRIBUTED MULTI-ZONE PLASMA SOURCE SYSTEMS, METHODS AND APPARATUS - A processing chamber including multiple plasma sources in a process chamber top. Each one of the plasma sources is a ring plasma source including a primary winding and multiple ferrites. A plasma processing system is also described. A method of plasma processing is also described. | 04-10-2014 |
20140096834 | METHOD FOR SUPPLYING VAPORIZED PRECURSOR - A method for supplying vapor to a chamber includes providing a first diverter valve that, when open, diverts vapor away from the chamber, and a second diverter valve that, when open, supplies the vapor to the chamber; supplying a carrier gas to the chamber; after supplying the carrier gas, creating plasma in the chamber while a substrate is in the chamber; opening the first diverter valve and closing the second diverter valve; supplying the vapor by vaporizing at least one liquid precursor in a carrier gas; after a first predetermined period sufficient for the vapor to reach steady-state flow, closing the first diverter valve and opening the second diverter valve to supply the vapor to the chamber; and after a second predetermined period following the first predetermined period, opening the first diverter valve and closing the second diverter valve to stop supplying the vapor to the chamber. | 04-10-2014 |
20140087488 | SHOWERHEAD ELECTRODE ASSEMBLY IN A CAPACITIVELY COUPLED PLASMA PROCESSING APPARATUS - A showerhead electrode assembly for use in a capacitively coupled plasma processing apparatus comprising a heat transfer plate. The heat transfer plate having independently controllable gas volumes which may be pressurized to locally control thermal conductance between a heater member and a cooling member such that uniform temperatures may be established on a plasma exposed surface of the showerhead electrode assembly. | 03-27-2014 |
20140087486 | METHOD FOR ETCHING WITH CONTROLLED WIGGLING - A method for etching trenches in an etch layer disposed below a patterned organic mask is provided. The patterned organic mask is treated, comprising flowing a treatment gas comprising H | 03-27-2014 |
20140083461 | METHOD OF REMOVING DAMAGED EPOXY FROM ELECTROSTATIC CHUCK - A method of removing an epoxy band from an electrostatic chuck includes securing the electrostatic chuck in a servicing fixture, applying a thermal source to the epoxy band to breakdown a plurality of adhesive bonds securing the epoxy band to the electrostatic chuck, forming a hole in the epoxy band and pulling the epoxy band from the electrostatic chuck. A system for removing an epoxy band from an electrostatic chuck is also described. | 03-27-2014 |
20140076353 | PLASMA MEDIATED ASHING PROCESSES - A plasma ashing process for removing photoresist, polymers and/or residues from a substrate, the process includes placing the substrate including the photoresist, polymers, and/or residues into a reaction chamber; generating a plasma from a gas mixture comprising oxygen gas (0 | 03-20-2014 |
20140072397 | METHOD AND SYSTEM RELATED TO SEMICONDUCTOR PROCESSING EQUIPMENT - Semiconductor processing equipment. At least some of the illustrative embodiments are systems including: a front end robot configured to pull individual wafers from at least one wafer carrier; a linear robot in operational relationship to the front end robot, the linear robot configured to move wafers along an extended length path; and a first processing cluster in operational relationship to the linear robot. The first processing cluster may include: a first processing chamber; a second processing chamber; and a first cluster robot disposed between the first and second processing chambers. The first cluster robot is configured to transfer wafers from the linear robot to the processing chambers, and configured to transfer wafers from the processing chambers to the linear robot. | 03-13-2014 |
20140065835 | PROTECTIVE COATING FOR A PLASMA PROCESSING CHAMBER PART AND A METHOD OF USE - A flexible polymer or elastomer coated RF return strap to be used in a plasma chamber to protect the RF strap from plasma generated radicals such as fluorine and oxygen radicals, and a method of processing a semiconductor substrate with reduced particle contamination in a plasma processing apparatus. The coated RF strap minimizes particle generation and exhibits lower erosion rates than an uncoated base component. Such a coated member having a flexible coating on a conductive flexible base component provides an RF ground return configured to allow movement of one or more electrodes in an adjustable gap capacitively coupled plasma reactor chamber. | 03-06-2014 |
20140065827 | GAS DISTRIBUTION SHOWERHEAD FOR INDUCTIVELY COUPLED PLASMA ETCH REACTOR - A two piece ceramic showerhead includes upper and lower plates which deliver process gas to an inductively coupled plasma processing chamber. The upper plate overlies the lower plate and includes radially extending gas passages which extend inwardly from an outer periphery of the upper plate, axially extending gas passages in fluid communication with the radially extending gas passages and an annular recess forming a plenum between the upper and lower plates. The lower plate includes axially extending gas holes in fluid communication with the plenum. The two piece ceramic showerhead forms a dielectric window of the chamber through which radiofrequency energy generated by an antenna is coupled into the chamber. The gas delivery system is operable to supply an etching gas and a deposition gas into the processing chamber such that the etching gas in the plenum can be replaced with the deposition gas. | 03-06-2014 |
20140065557 | METHOD FOR USING A PURGE RING WITH SPLIT BAFFLES IN PHOTONIC THERMAL PROCESSING SYSTEMS - A method for supplying a first gas and a second gas using a purge ring in a photonic processing system includes arranging a first layer and a second layer to define a first plenum and a first baffle, arranging the second layer and a third layer to define a second plenum and a second baffle, receiving a first gas at the first plenum that flows through the first plenum and the first baffle to an inner region, and receiving a second gas at the second plenum that flows through the second plenum and the second baffle to the inner region. The second baffle is one of less restrictive and more restrictive than the first baffle. | 03-06-2014 |
20140059789 | Apparatus for Cleaning a Semiconductor Substrate - An apparatus for processing a substrate is provided. The apparatus includes a solid material having a support side and a contact side. The contact side has an outer surface, and the outer surface is configured to become softer relative to a remainder of the solid material when exposed to an activation solution. The apparatus includes a support structure configured to support the solid material from the support side of the solid material, such that the contact side of the solid material is oriented to face a surface of the substrate, when the substrate is present. Also provided is a gimbaled structure connected to the support structure. The gimbaled structure enabling the outer surface of the contact side to substantially align in a coplanar arrangement with the surface of the substrate, when the substrate is present. A force application structure is coupled to the gimbaled structure. The force application structure is configured for moving the solid material toward and away from the surface of the substrate, when the substrate is present, and further configured for applying a force that presses the outer surface of the solid material against the surface of the substrate, when the substrate is present. | 03-06-2014 |
20140054776 | METHODS, DEVICES, AND MATERIALS FOR METALLIZATION - A method of making an electronic device which in one embodiment comprises providing a substrate, electrolessly depositing a barrier metal at least on portions of the substrate, and using wet chemistry such as electroless deposition to deposit a substantially gold-free wetting layer having solder wettability onto the barrier metal. An electronic device which in one embodiment comprises a metallization stack. The metallization stack comprises a barrier metal deposited electrolessly and a substantially gold-free wetting layer deposited on the barrier metal, and the wetting layer is wettable by solder. | 02-27-2014 |
20140054268 | Electronic Knob for Tuning Radial Etch Non-Uniformity at VHF Frequencies - System and methods for plasma processing of a wafer include a chamber with an electrode having a support surface and an outer edge region defined thereon. A radio frequency power is communicated to the electrode via a conductive delivery connection and returned through a conductive return connection. A capacitance is applied to a first end that causes appropriate capacitive adjustment and opposite impedance adjustment at a second end of the conductive delivery connection that is coupled to a dielectric surround structure that surrounds the electrode. The dielectric surround structure presents the opposite impedance adjustment near an outer edge of the electrode, such that increasing the capacitance at the first end causes a corresponding increase of impedance at the second end and a corresponding increase in voltage distribution near the outer edge region of the electrode that decreases toward a center of the support surface of the electrode. | 02-27-2014 |
20140053884 | Megasonic Precision Cleaning Of Semiconductor Process Equipment Components And Parts - Apparatuses are provided for cleaning a processing component using megasonic energy including megasonic jets in combination with selective chemistries to remove sub-micron particulate contaminants from the surfaces of the processing component that is used in cleaning semiconductor, medical, or any other processing substrates. The apparatus includes a processing chamber having a carrier element that is configured to support the processing component. The carrier element includes a mechanism to flip the processing component within the processing chamber during cleaning. A jet assembly equipped with a megasonic transducer is used to provide high frequency megasonic acoustically energized fluid to a surface of the processing component, during cleaning. | 02-27-2014 |
20140051256 | ETCH WITH MIXED MODE PULSING - A method for etching a dielectric layer disposed below a patterned organic mask with features, with hardmasks at bottoms of some of the organic mask features is provided. An etch gas is provided. The etch gas is formed into a plasma. A bias RF with a frequency between 2 and 60 MHz is provided that provides pulsed bias with a pulse frequency between 10 Hz and 1 kHz wherein the pulsed bias selectively deposits on top of the organic mask with respect to the dielectric layer. | 02-20-2014 |
20140051255 | COPPER DISCOLORATION PREVENTION FOLLOWING BEVEL ETCH PROCESS - A method of bevel edge etching a semiconductor substrate having exposed copper surfaces with a fluorine-containing plasma in a bevel etcher in which the semiconductor substrate is supported on a semiconductor substrate support comprises bevel edge etching the semiconductor substrate with the fluorine-containing plasma in the bevel etcher; evacuating the bevel etcher after the bevel edge etching is completed; flowing defluorinating gas into the bevel etcher; energizing the defluorinating gas into a defluorination plasma at a periphery of the semiconductor substrate; and processing the semiconductor substrate with the defluorination plasma under conditions to prevent discoloration of the exposed copper surfaces of the semiconductor substrate upon exposure, the discoloration occurring upon prolonged exposure to air. | 02-20-2014 |
20140051254 | MOVABLE CHAMBER LINER PLASMA CONFINEMENT SCREEN COMBINATION FOR PLASMA PROCESSING APPARATUSES - A movable symmetric chamber liner in a plasma reaction chamber, for protecting the plasma reaction chamber, enhancing the plasma density and uniformity, and reducing process gas consumption, comprising a cylindrical wall, a bottom wall with a plurality of openings, a raised inner rim with an embedded heater, heater contacts, and RF ground return contacts. The chamber liner is moved by actuators between an upper position at which substrates can be transferred into and out of the chamber, and a lower position at which substrate are processed in the chamber. The actuators also provide electrical connection to the heater and RF ground return contacts. | 02-20-2014 |
20140051253 | PLASMA BAFFLE RING FOR A PLASMA PROCESSING APPARATUS AND METHOD OF USE - A plasma processing apparatus includes a baffle ring which separates an internal space of a vacuum chamber into a plasma space and an exhaust space. Plasma is generated in the plasma space by exciting a process gas using an energy source. The process gas is then exhausted out of the plasma space through the plasma baffle ring which surrounds an outer periphery of a substrate support. The plasma baffle ring comprises an inner support ring, an outer support ring, and vertically spaced apart circumferentially overlapping rectangular blades extending between the inner ring and the outer ring. Each blade has a major surface used to block a line of sight from the plasma space to the exhaust space, wherein the major surfaces of the blades are configured to capture nonvolatile by-products, such as plasma etch by-products, before the by-products evacuate the plasma space. | 02-20-2014 |
20140048529 | SYSTEM AND METHOD FOR MONITORING TEMPERATURES OF AND CONTROLLING MULTIPLEXED HEATER ARRAY - A system for measuring temperatures of and controlling a multi-zone heating plate in a substrate support assembly used to support a semiconductor substrate in a semiconductor processing includes a current measurement device and switching arrangements. A first switching arrangement connects power return lines selectively to an electrical ground, a voltage supply or an electrically isolated terminal, independent of the other power return lines. A second switching arrangement connects power supply lines selectively to the electrical ground, a power supply, the current measurement device or an electrically isolated terminal, independent of the other power supply lines. The system can be used to maintain a desired temperature profile of the heater plate by taking current readings of reverse saturation currents of diodes serially connected to planar heating zones, calculating temperatures of the heating zones and powering each heater zone to achieve the desired temperature profile. | 02-20-2014 |
20140048108 | METHOD OF DIELECTRIC FILM TREATMENT - A method and system for cleaning a surface of a substrate after an etching operation includes determining a plurality of process parameters associated with the surface of the substrate. The process parameters define characteristics related to the surface of the substrate such as characteristics of the substrate surface to be cleaned, contaminants to be removed, features formed on the substrate and chemicals used in the fabrication operations. A plurality of application chemistries are identified based on the process parameters. The plurality of application chemistries includes a first application chemistry as an emulsion having a first immiscible liquid combined with a second immiscible liquid and solid particles distributed within the first immiscible liquid. The plurality of application chemistries including the first application chemistry are applied to the surface of the substrate such that the combined chemistries enhance the cleaning process by substantially removing the particulate and polymer residue contaminants from the surface of the substrate while preserving the characteristics of the features and of the low-k dielectric material through which the features are formed. | 02-20-2014 |
20140047705 | HEATING PLATE WITH PLANAR HEATER ZONES FOR SEMICONDUCTOR PROCESSING - An exemplary method for manufacturing a heating plate for a substrate support assembly includes forming holes in at least one sheet, printing a slurry of conductor powder, or pressing a precut metal foil, or spraying a slurry of conductor powder, on the at least one sheet to form the planar heater zones, the power supply lines, and power return lines. The holes in the at least one sheet are filled with a slurry of conductor powder to form power supply and power return vias. The sheets are then aligned, pressed, and bonded to form the heating plate. | 02-20-2014 |
20140045337 | HEATING PLATE WITH PLANAR HEATER ZONES FOR SEMICONDUCTOR PROCESSING - An exemplary method is directed to powering heaters in a substrate support assembly on which a semiconductor substrate is supported. The support assembly has an array of heaters powered by two or more power supply lines and two or more power return lines wherein each power supply line is connected to a power supply and at least two of the heaters and each power return line is connected to at least two of the heaters, and a switching device which independently connects each one of the heaters to one of the power supply lines and one of the power return lines so as to provide time-averaged power to each of the heaters by time divisional multiplexing of switches of the switching device. The method includes supplying power to each of the heaters sequentially using a time-domain multiplexing scheme. | 02-13-2014 |
20140041581 | Single Substrate Processing Head For Particle Removal Using Low Viscosity Fluid - A head for dispensing a thin film of a fluid over a substrate is disclosed. The head includes a body assembly that extends between a first and a second end that is at least a width of the substrate. The body includes a main bore that is defined between the first and the second ends, the main bore connected to an upper side of a reservoir through a plurality of feeds that are defined between the main bore and the reservoir. The body also includes a plurality of outlets connected to a lower side of the reservoir and extends to an outlet slot. The plurality of feeds has a larger cross-sectional area than the plurality of outlets and the plurality of feeds are fewer than the plurality of outlets. The fluid is configured to flow through the main bore, through the plurality of feeds along the bore and fill the reservoir up to at least the threshold level before fluid is evenly output as a film out of the outlet slot onto the substrate. | 02-13-2014 |
20140041226 | Method and Apparatus for Physical Confinement of a Liquid Meniscus Over a Semiconductor Wafer - Apparatus, methods and systems for physically confining a liquid medium applied over a semiconductor wafer include a chemical head. The chemical head including multiple first return conduits formed from a first flat region in a head surface and multiple second return conduits formed from a second flat region in the head surface. The second flat region being disposed immediately adjacent to the first flat region and the second flat region being in a plane substantially parallel to and offset from the first flat region. At least one of the first return conduits and the second return conduits being formed at a first angle relative to the head surface and the first angle being greater than about 20 degrees to a meniscus plane normal. | 02-13-2014 |
20140038419 | METHOD FOR PROVIDING VIAS - A method for forming via holes in an etch layer disposed below a patterned organic mask with a plurality of patterned via holes is provided. The patterned organic mask is treated by flowing a treatment gas comprising H | 02-06-2014 |
20140038418 | BEVEL ETCHER WITH VACUUM CHUCK - A bevel etcher incorporating a vacuum chuck used for cleaning the bevel edge and for reducing the bending curvature of a semiconductor substrate. The bevel etcher includes a vacuum chuck and a plasma generation unit which energizes process gas into a plasma state. The vacuum chuck includes a chuck body and a support ring. The top surface of the chuck body and inner periphery of the support ring form a vacuum region enclosed by the bottom surface of a substrate mounted on the support ring. A vacuum pump evacuates the vacuum region during operation. The vacuum chuck is operative to hold the substrate in place by the pressure difference between the top and bottom surfaces of the substrate. The pressure difference also generates a bending force to reduce the bending curvature of the substrate. | 02-06-2014 |
20140037982 | Method for Strengthening Adhesion Between Dielectric Layers Formed Adjacent to Metal Layers - A method is provided which includes forming a metal layer and converting at least a portion of the metal layer to a hydrated metal oxide layer. Another method is provided which includes selectively depositing a dielectric layer upon another dielectric layer and selectively depositing a metal layer adjacent to the dielectric layer. Consequently, a microelectronic topography is formed which includes a metal feature and an adjacent dielectric portion comprising lower and upper layers of hydrophilic and hydrophobic material, respectively. A topography including a metal feature having a single layer with at least four elements lining a lower surface and sidewalls of the metal feature is also provided herein. The fluid/s used to form such a single layer may be analyzed by test equipment configured to measure the concentration of all four elements. In some cases, the composition of the fluid/s may be adjusted based upon the analysis. | 02-06-2014 |
20140034609 | Methods for Controlling Plasma Constituent Flux and Deposition During Semiconductor Fabrication and Apparatus for Implementing the Same - A time-dependent substrate temperature to be applied during a plasma process is determined. The time-dependent substrate temperature at any given time is determined based on control of a sticking coefficient of a plasma constituent at the given time. A time-dependent temperature differential between an upper plasma boundary and a substrate to be applied during the plasma process is also determined. The time-dependent temperature differential at any given time is determined based on control of a flux of the plasma constituent directed toward the substrate at the given time. The time-dependent substrate temperature and time-dependent temperature differential are stored in a digital format suitable for use by a temperature control device defined and connected to direct temperature control of the upper plasma boundary and the substrate. A system is also provided for implementing upper plasma boundary and substrate temperature control during the plasma process. | 02-06-2014 |
20140034608 | METHOD AND APPARATUS FOR CONTROLLING THE SPATIAL TEMPERATURE DISTRIBUTION ACROSS THE SURFACE OF A WORKPIECE SUPPORT - A chuck for a plasma processor comprises a temperature-controlled base, a thermal insulator, a flat support, and a heater. The temperature-controlled base has a temperature below the desired temperature of a workpiece. The thermal insulator is disposed over the temperature-controlled base. The flat support holds a workpiece and is disposed over the thermal insulator. A heater is embedded within the flat support and/or disposed on an underside of the flat support. The heater includes a plurality of heating elements that heat a plurality of corresponding heating zones. The power supplied and/or temperature of each heating element is controlled independently. | 02-06-2014 |
20140034370 | METALLIZATION MIXTURES AND ELECTRONIC DEVICES - One aspect of the present invention is a method of processing a substrate. In one embodiment, the method comprises forming an electrical conductor on or in the substrate by providing a mixture comprising metal particles and an electroless deposition solution and electrolessly depositing a metal matrix and co-depositing the metal particles. In another embodiment, the method comprises forming an electrical conductor on or in the substrate by providing a mixture comprising metal particles and an electrochemical plating solution and electrochemically plating a metal matrix and co-depositing the metal particles. Another aspect of the present invention is a mixture for the formation of an electrical conductor on or in a substrate. Another aspect of the present invention is an electronic device. | 02-06-2014 |
20140034242 | EDGE RING ASSEMBLY FOR PLASMA PROCESSING CHAMBER AND METHOD OF MANUFACTURE THEREOF - A two piece edge ring assembly is configured to surround a semiconductor substrate in a plasma processing chamber wherein plasma is generated and used to process the semiconductor substrate. The edge ring assembly comprises upper and lower rings which have an outer protective coating. The upper and lower rings are configured such that the upper ring is supported on an outer portion of the upper surface of the lower ring and the protective coatings are on plasma exposed surfaces of the upper and lower rings. | 02-06-2014 |
20140034096 | Acoustic Assisted Single Wafer Wet Clean For Semiconductor Wafer Process - An apparatus for cleaning a substrate includes a dispense head configured to supply a liquid medium as a meniscus to the surface of the substrate and a rinse head that is equipped with at least an inlet conduit to supply rinse chemical to a top substrate surface as a meniscus. An outlet conduit is disposed on either side of the inlet conduit and is configured to remove the rinse chemical and liquid medium from the substrate surface. The inlet conduit and the outlet conduits are perpendicular to the surface of the rinse head that faces the substrate and parallel to one another. A first and second transducers are disposed in a portion of the rinse head between the inlet conduit and each of the outlet conduits. The transducers are configured to transmit acoustic energy to the meniscus when formed between the surface of the rinse head and the substrate. | 02-06-2014 |