Lu, Tainan City
Chan-Yang Lu, Tainan City TW
Patent application number | Description | Published |
---|---|---|
20110272727 | LIGHT-EMITTING DIODE AND METHOD FOR MANUFACTURING THE SAME - A light-emitting diode and method for manufacturing the same are described. The light-emitting diode comprises: a conductive substrate including a first surface and a second surface opposite to the first surface; a reflector structure comprising a conductive reflector layer bonding to the first surface of the conductive substrate and a conductive distributed Bragg reflector (DBR) structure stacked on the conductive reflector layer; an illuminant epitaxial structure disposed on the reflector structure; a first electrode disposed on a portion of the illuminant epitaxial structure; and a second electrode bonded to the second surface of the conductive substrate. | 11-10-2011 |
Chao-Hsin Lu, Tainan City TW
Patent application number | Description | Published |
---|---|---|
20110029720 | Flash Storage Device and Operation Method Thereof - The invention provides a flash storage device. In one embodiment, the flash storage device comprises a flash memory and a controller. The flash memory comprises a plurality of blocks, wherein each of the plurality of blocks comprises a plurality of pages for storing data, and each of the plurality of pages has a physical address. The controller divides a plurality of logical addresses into a plurality of logical address ranges, records a plurality of partial link tables respectively storing a mapping relationship between logical addresses of a corresponding logical address range and corresponding physical addresses, stores the partial link tables in the flash memory, combines the partial link tables to obtain a link table, and converts logical addresses sent by a host to physical addresses according to the link table. | 02-03-2011 |
Chen-Yin Lu, Tainan City TW
Patent application number | Description | Published |
---|---|---|
20100146884 | COMBINED WALL PANEL - A combined wall panel is composed of a plurality of main body boards. The specific base angle on said main body board wherein longitudinal and transverse grooves are provided at equal distance so as to form a protruding square brace block; a first longitudinal and transverse grooves are provided respectively from said base angle at a translational distance of a second unit length, the last longitudinal and transverse grooves is positioned at a distance of a third unit length to said second long and short sides, while remanding longitudinal and transverse grooves are provided successively at an equal interval of a first unit length between the first longitudinal and transverse grooves and the last longitudinal and transverse grooves; wherein said main body board is provided further from said base angle with longitudinal and transverse splicing joining faces having a fourth unit width, and wherein said main body board is provided further on part of said brace blocks as well as longitudinal and transverse joining faces with through holes penetrating through the thickness of said main body board. | 06-17-2010 |
Chia-Ling Lu, Tainan City TW
Patent application number | Description | Published |
---|---|---|
20150064496 | SINGLE CRYSTAL COPPER, MANUFACTURING METHOD THEREOF AND SUBSTRATE COMPRISING THE SAME - The present invention relates to a single crystal copper having [100] orientation and a volume of 0.1˜4.0×10 | 03-05-2015 |
Chien-Chun Lu, Tainan City TW
Patent application number | Description | Published |
---|---|---|
20130141067 | MAXIMUM POWER POINT TRACKING CONTROLLERS AND MAXIMUM POWER POINT TRACKING METHODS - A maximum power point tracking controller, suitable for controlling an output voltage of a power converter is provided, including a slope detection unit and a control unit. The slope detection unit calculates whether the output voltage is in a positive trend or in a negative trend according to a detection signal corresponding to the output voltage in order to output a trend signal, in which the voltage level of the trend signal is a first voltage level or second voltage level when the output voltage is in the positive trend or negative trend. The control unit has first and second operation modes to respectively increase and decrease a duty cycle of a PWM signal, in which the control unit switches current operation mode to perform a maximum power point tracking procedure when the trend signal is changed from the first voltage level to the second voltage level. | 06-06-2013 |
20130162046 | MULTI ENERGY HARVESTING SYSTEM - A multi energy harvesting system is provided. The system includes: a DC energy source harvesting circuit, having a DC energy source harvesting unit for generating a DC based on a first physical quality, and a first DC to DC converter for adjusting voltage of the DC; an AC energy source harvesting circuit, having an AC energy source harvesting unit for generating an AC based on a second physical quality and a rectifier for rectifying the AC; and an assistance circuit, coupled between the DC energy source harvesting circuit and the AC energy source harvesting circuit, for increasing efficiency thereof. | 06-27-2013 |
Chien-Hsing Lu, Tainan City TW
Patent application number | Description | Published |
---|---|---|
20140186750 | Lithography Mask Repairing Process - A method includes performing a beam scan on a photolithography mask to repair the photolithography mask. After the beam scan, a radiation treatment is performed on the photolithography mask. The method is performed by an apparatus including a beam generator configured to generate and project a beam on the lithography mask, a radiation source configured to generate a radiation on the lithography mask, and a process gas source configured to release a process gas onto the lithography mask. The process as reacts with a surface portion of the lithography mask to repair the lithography mask. With the radiation treatment, residue process gas on the lithography mask is removed. | 07-03-2014 |
20140291416 | JET SPRAY NOZZLE AND METHOD FOR CLEANING PHOTO MASKS AND SEMICONDUCTOR WAFERS - A jet spray nozzle for cleaning a photolithographic mask or semiconductor wafer and method for cleaning the same. The jet spray nozzle in one embodiment includes a water supply inlet, a gas supply inlet, a first row of gas injection nozzles communicating with the gas supply inlet, a mixing cavity defining a jet spray nozzle outlet, and a flow mixing baffle disposed in the cavity. The mixing baffle preferably is configured and arranged to combine gas and water in the jet spray nozzle for delivering a concentrated stream of gas with a cluster of micro water droplets entrained in the gas for removing contaminant particles from the mask. The jet spray nozzle is capable of cleaning photo masks or wafers without the use of chemicals. In one embodiment, the water may be deionized water and the gas may be nitrogen. In another embodiment, the jet spray nozzle further includes a second row of gas injection nozzles spaced above or below the first row of gas injection nozzles that communicate with the gas supply inlet. | 10-02-2014 |
20150146186 | Lithography Mask Repairing Process - A method includes performing a beam scan on a photolithography mask to repair the photolithography mask. After the beam scan, a radiation treatment is performed on the photolithography mask. The method is performed by an apparatus including a beam generator configured to generate and project a beam on the lithography mask, a radiation source configured to generate a radiation on the lithography mask, and a process gas source configured to release a process gas onto the lithography mask. The process as reacts with a surface portion of the lithography mask to repair the lithography mask. With the radiation treatment, residue process gas on the lithography mask is removed. | 05-28-2015 |
Chien-Liang Lu, Tainan City TW
Patent application number | Description | Published |
---|---|---|
20110043919 | LIGHT GUIDING FILM - A light guiding film has a film body and a micro-structured portion. The film body has a first side, a second side and a reference horizontal level vertical to the second side. The micro-structured portion is set on the second side and has a plurality of V-shaped grooves, and each one of the V-shaped grooves has a first sub-face and a second sub-face. The first sub-face has a first included angle between 16° and 22° with respect to said reference horizontal level, and the second sub-face has a second included angle between 8° and 17° with respect to said reference horizontal level. When an incident light beam injects downwardly on said micro-structured portion in a predetermined incident angle range, a predetermined percentage of said incident light beam emit an emissive light beam projected out in an included angle range between 0° and 90° with respect to said reference horizontal level. | 02-24-2011 |
Chien-Ming Lu, Tainan City TW
Patent application number | Description | Published |
---|---|---|
20130201404 | IMAGE PROCESSING METHOD - An image processing method of an image processing apparatus includes: determining static pixels and non-static pixels of a current image frame; dividing the current image frame into a plurality of blocks, wherein each block comprises a plurality of pixels; determining static blocks and non-static blocks of the current image frame by referring to at least the static pixels and the non-static pixels of the current image frame; and refining determination of the static pixels and the non-static pixels of the current image frame according to the static blocks and the non-static blocks. | 08-08-2013 |
Chih-Cheng Lu, Tainan City TW
Patent application number | Description | Published |
---|---|---|
20090257276 | NONVOLATILE ANALOG MEMORY - A nonvolatile analog memory has a floating gate point. The nonvolatile analog memory includes a first current source, a second current source, and a current adjuster. The first current source generates a first current, and the second current source generates a second current. The current adjuster turns on or turns off a current path of the second current according to a reference current and the first current. Furthermore, when the current path of the second current is turned on, the first current is adjusted according to the second current, such that the first current is equal to the reference current. | 10-15-2009 |
20100188899 | NONVOLATILE ANALOG MEMORY - A nonvolatile analog memory has a floating gate point. The nonvolatile analog memory includes a capacitor. a first current source, a second current source and a current adjuster. The first current source controlled by a voltage value at the floating gate point and generates a first current. The second current source controlled by the voltage value at the floating gate point and generates a second current. The current adjuster receives the output voltage and a reference voltage and adjusts the first current and the second current based on the output voltage and the reference voltage. The current adjuster charges or discharges the capacitor to equalize the output voltage to the reference voltage. | 07-29-2010 |
20130015876 | APPARATUS AND METHOD FOR MEASURING DEGRADATION OF CMOS VLSI ELEMENTSAANM LAI; Fang-Shi JordanAACI Chia YiAACO TWAAGP LAI; Fang-Shi Jordan Chia Yi TWAANM LU; Chih-ChengAACI Tainan CityAACO TWAAGP LU; Chih-Cheng Tainan City TWAANM LIN; Yung-FuAACI Hsinchu CityAACO TWAAGP LIN; Yung-Fu Hsinchu City TWAANM HSUEH; Hsu-FengAACI Tainan CityAACO TWAAGP HSUEH; Hsu-Feng Tainan City TWAANM CHANG; Chin-HaoAACI Hsinchu CityAACO TWAAGP CHANG; Chin-Hao Hsinchu City TWAANM WENG; Cheng YenAACI Hsinchu CityAACO TWAAGP WENG; Cheng Yen Hsinchu City TWAANM MHALA; Manoj M.AACI HsinchuAACO TWAAGP MHALA; Manoj M. Hsinchu TW - The reliability of an integrated circuit is inferred from the operational characteristics of sample metal oxide semiconductor (MOS) devices switchably coupled to drain/source bias and gate input voltages that are nominal, versus voltage and current conditions that elevate stress and cause temporary or permanent degradation, e.g., hot carrier injection (HCI), bias temperature instability (BTI, NBTI, PBTI), time dependent dielectric breakdown (TDDB). The MOS devices under test (preferably both PMOS and NMOS devices tested concurrently or in turn) are configured as current sources in the supply of power to a ring oscillator having cascaded inverter stages, thereby varying the oscillator frequency as a measure of the effects of stress on the devices under test, but without elevating the stress applied to the inverter stages. | 01-17-2013 |
20130141260 | PIPELINE ANALOG-TO-DIGITAL CONVERTER - A pipelined ADC includes a first, second, and third pairs of comparators. The first pair of comparators compare an input voltage to a first positive reference voltage and to a first negative reference voltage. The second pair of comparators compare the input voltage to a second positive reference voltage and to a second negative reference voltage. Each comparator of the first and second pairs of comparators outputs a digital signal to an encoder. A third pair of comparators compares the input voltage to a third positive reference voltage and to a third negative reference voltage, and a comparator compares the input voltage to ground. The comparator and each comparator of the third pair of comparators is configured to output respective digital signals to an encoder. A multiplying digital-to-analog converter outputs a voltage based on the input voltage, an output from the encoder, and an output of the random number generator. | 06-06-2013 |
Chin-Cheng Lu, Tainan City TW
Patent application number | Description | Published |
---|---|---|
20150153901 | SCAN METHOD FOR A CAPACITIVE TOUCH PANEL - A scan method for a capacitive touch panel has steps of performing a relatively small first number of estimation scans on multiple sensing lines of a capacitive touch panel and recording results of the estimation scans, marking the sensing lines meeting a predetermined condition according to the results of the estimation scans, and performing a relatively large second number of practical scans on the marked sensing lines. Given the first-stage estimation scans and the second-stage practical scans, the sensing lines possibly touched by a touch object can be rapidly identified and marked, and the second-stage practical scans are performed on the marked sensing lines. Accordingly, noises and errors can be effectively reduced, accurate scan can be ensured, and higher frame rate can be achieved. | 06-04-2015 |
Ching-Ming Lu, Tainan City TW
Patent application number | Description | Published |
---|---|---|
20150356876 | EMOTION REGULATION SYSTEM AND REGULATION METHOD THEREOF - An emotion regulation system and a regulation method thereof are disclosed. A physiological emotion processing device of the emotion regulation system comprises an emotion feature processing unit and a physiological emotion analyzing unit. The emotion feature processing unit outputs a physiological feature signal according to a physiological signal generated by a user listening to a first music signal. The physiological emotion analyzing unit analyzes the user's physiological emotion according to the physiological feature signal and generates a physiological emotion state signal. A music feature processing unit of a musical emotion processing device obtains corresponding music feature signals from music signals. A music emotion analyzing processing unit analyzes the music feature signals to obtain musical emotions of the music signals and outputs a corresponding second music signal to the user according to the physiological emotion state signal and a target emotion. | 12-10-2015 |
Ching-Sen Lu, Tainan City TW
Patent application number | Description | Published |
---|---|---|
20120070948 | ADJUSTING METHOD OF CHANNEL STRESS - An adjusting method of channel stress includes the following steps. A substrate is provided. A metal-oxide-semiconductor field-effect transistor is formed on the substrate. The MOSFET includes a source/drain region, a channel, a gate, a gate dielectric layer and a spacer. A dielectric layer is formed on the substrate and covers the metal-oxide-semiconductor field-effect transistor. A flattening process is applied onto the dielectric layer. The remaining dielectric layer is removed to expose the source/drain region. A non-conformal high stress dielectric layer is formed on the substrate having the exposed source/drain region. | 03-22-2012 |
20120289015 | METHOD FOR FABRICATING SEMICONDUCTOR DEVICE WITH ENHANCED CHANNEL STRESS - A method for fabricating a semiconductor device with enhanced channel stress is provided. The method includes the following steps. Firstly, a substrate is provided. Then, at least one source/drain region and a channel are formed in the substrate. A dummy gate is formed over the channel. A contact structure is formed over the source/drain region. After the contact structure is formed, the dummy gate is removed to form a trench. | 11-15-2012 |
20120329259 | METHOD FOR FABRICATING METAL-OXIDE- SEMICONDUCTOR FIELD-EFFECT TRANSISTOR - A method for fabricating a metal-oxide-semiconductor field-effect transistor includes the following steps. Firstly, a substrate is provided. A gate structure, a first spacer, a second spacer and a source/drain structure are formed over the substrate. The second spacer includes an inner layer and an outer layer. Then, a thinning process is performed to reduce the thickness of the second spacer, thereby retaining the inner layer of the second spacer. After a stress film is formed on the inner layer of the second spacer and the source/drain structure, an annealing process is performed. Afterwards, the stress film is removed. | 12-27-2012 |
Chung-Yu Lu, Tainan City TW
Patent application number | Description | Published |
---|---|---|
20160043434 | GRAFT COPOLYMER, PROCESS FOR PRODUCING THE GRAFT COPOLYMER, PROCESS FOR PREPARING A GEL POLYMER ELECTROLYTE INCLUDING THE GRAFT COPOLYMER, AND INTERMEDIATE COPOLYMER OF THE GRAFT COPOLYMER - A graft copolymer comprising a backbone polymer and a branched-chain polymer, and represented by formula (I), | 02-11-2016 |
Chun-Hao Lu, Tainan City TW
Patent application number | Description | Published |
---|---|---|
20150233749 | METHOD AND DEVICE FOR DETECTING ABNORMAL STATE OF MEDICAL CONTAINER - A method for detecting abnormal state of medical container contains steps of: enabling a weight detecting unit to detect weight of a medical container which is coupled with a patient to acquire content change data; enabling an inclination detecting unit to detect inclination state of the medical container to acquire inclination data; receiving the content change data and the inclination data by a controlling unit; and comparing the content change data and the inclination data with preset content data and initial inclination data respectively, such that when the content change data is different from the preset content data, a warning unit is started by the controlling unit; or when the inclination data is different from the initial inclination data, the warning unit is started by the controlling unit. In addition, a device for detecting abnormal state of the medical container matches is used to execute above-mentioned steps. | 08-20-2015 |
Chun-Tsen Lu, Tainan City TW
Patent application number | Description | Published |
---|---|---|
20160099179 | METHOD OF FORMING SEMICONDUCTOR DEVICE - A method of forming a semiconductor device is disclosed. A substrate having multiple fins is provided. An insulating layer fills a lower portion of a gap between two adjacent fins. At least one first stacked structure is formed on one fin and at least one second stacked structure is formed on one insulation layer. A first dielectric layer is formed to cover the first and second stacked structures. A portion of the first dielectric layer and portions of the first and second stacked structures are removed. Another portion of the first dielectric layer is removed until a top of the remaining first dielectric layer is lower than tops of the first and second stacked structures. A second dielectric layer is formed to cover the first and second stacked structures. A portion of the second dielectric layer is removed until the tops of the first and second stacked structures are exposed. | 04-07-2016 |
Cindy Lu, Tainan City TW
Patent application number | Description | Published |
---|---|---|
20130068777 | THERMAL FLASK - A thermal flask includes a cylindrical body extending in an axial direction. The body includes a top face having an opening. A base is fixed on the top face of the body and surrounds the opening. The base is annular and includes a plurality of threaded sections. An engaging unit is integrally formed with the base. An upper lid is mounted on top of the body and includes a plurality of threaded sections in threading engagement with the threaded sections of the base. The upper lid includes a peripheral wall extending in the axial direction. The peripheral wall includes an engagement hole aligned with the engaging unit. The upper lid is coupled to the body, with the engaging unit releasably engaged with the engagement hole. The engaging unit is disengaged from the engagement hole when the engaging unit is pressed, allowing detachment of the upper lid from the body. | 03-21-2013 |
20140197203 | GASKET FOR A SPOUT OF A THERMOS BOTTLE - A thermos bottle includes a body having a container made of metal. The container includes an opening in an upper end thereof. A spout made of plastic is mounted on top of the body. The spout includes a recessed portion in a center thereof. The recessed portion includes a bottom having a through-hole aligned with the opening of the container. The bottom of the recessed portion includes an upper face having an annular area surrounding the through-hole. A gasket made of metal includes an annular portion having a hollow. The gasket is mounted in the recessed portion of the spout. The hollow of the gasket is aligned with the through-hole of the spout. The annular portion rests on the annular area of the upper face of the bottom of the recessed portion. | 07-17-2014 |
Deng-Maw Lu, Tainan City TW
Patent application number | Description | Published |
---|---|---|
20130087001 | CENTRAL TRANSMISSION DEVICE FOR A FOUR-WHEEL STEERING SYSTEM - A four-wheel steering central transmission device includes an input shaft extending through a front wall of a housing and having an input rotary disc formed with a first slide groove. A turning output unit includes an output shaft extending through a rear wall of the housing and having an output rotary disc formed with a second slide groove. A transmission unit disposed between the input and output shafts includes a hollow adjustment block disposed adjustably within the housing and movable leftward or rightward, and a transmission member movable within the adjustment block and having slide pieces inserted respectively and slidably into the first and second slide grooves. | 04-11-2013 |
Fu-San Lu, Tainan City TW
Patent application number | Description | Published |
---|---|---|
20100282748 | HERMETIC CONTAINER - A hermetic container includes a container body, a cap unit, and a control unit. The cap unit is disposed to cover a mouth of the container body, and has an outer cap, a mid cap, and an inner cap having a sealing ring that is movable toward and away from the mid cap and that can be pushed by the mid cap to abut against the mouth when moving to the mid cap. The control unit includes a release button depressably inserted into the cap unit, a sealing button inserted into the cap unit around the release button, and a lever unit connected to the inner cap to interact with the sealing button. The sealing button is movable relative to the release button to switch between a sealing position and a non-sealing position. | 11-11-2010 |
Fu-Shan Lu, Tainan City TW
Patent application number | Description | Published |
---|---|---|
20130240532 | SEALING COVER - A sealing cover includes a base, a lower lid, and a soft, deformable gasket mounted between outer peripheries of the base and the lower lid. An upper lid includes a positioning hole having an inner periphery with higher and lower positioning grooves, with a lower positioning groove located between two adjacent higher positioning grooves. A positioning ring includes upper and lower positioning protrusions. The upper lid can be pressed and moved downward relative to the lower lid, so that the pressing member presses against the upper positioning protrusions of the positioning ring, causing rotational movement of the positioning ring through an angle and changing the spacing between the base and the lid. When the upper lid is released, the upper positioning protrusions are engaged in higher positioning grooves or the lower positioning grooves so that the sealing cover is in a sealing state or an unsealing state. | 09-19-2013 |
Heueh-Jung Lu, Tainan City TW
Patent application number | Description | Published |
---|---|---|
20130146918 | Yttrium aluminum garnet phosphor, method for preparing the same, and light-emitting diode containing the same - The present invention relates to yttrium aluminum garnet phosphor, a method of preparing the same and a light-emitting diode containing the same. The yttrium aluminum garnet phosphor of the present invention is represented by the following formula (I): | 06-13-2013 |
Hung-Ching Lu, Tainan City TW
Patent application number | Description | Published |
---|---|---|
20120123107 | NUCLEIC ACID CLEAVAGE COMPLEX AND METHOD FOR USING THE SAME - A nucleic acid cleavage complex is disclosed, which includes: a nanoparticle, a nucleic acid cleavage reagent, and a polynucleotide chain specifically recognizing a sequence of a target nucleic acid and having a first terminal and a second terminal opposite to the first terminal, wherein the first terminal is connected to the nanoparticle, the second terminal is connected to the nucleic acid cleavage reagent, and the first terminal sequence and the second terminal sequence are base-paired to make the polynucleotide chain form a hairpin. Also, a method for using the nucleic acid cleavage complex is also disclosed. | 05-17-2012 |
20130236519 | Oral health care material and teeth cleaning agent composition - An oral health care material and a teeth cleaning agent composition are disclosed. The oral health care material is made of zinc oxide grains, where each is selected from the group consisting of zinc oxide crystalline nanograins, zinc oxide nanorods, zinc oxide hollow fibers, and a mixture thereof, wherein the diameter of each of the zinc oxide crystalline nanograins is 25 nm-200 nm, the cross-sectional diameter of each of the zinc oxide nanorods is 50 nm-1000 nm, the cross-sectional diameter of each of the zinc oxide hollow fibers is 500 nm-3 μm and its nanograin size is 20 nm-100 nm. | 09-12-2013 |
20140056948 | HARD TISSUE REGENERATION MATERIAL AND METHOD FOR MANUFACTURING THE SAME - A hard tissue regeneration material and a method for manufacturing the same are disclosed. The hard tissue regeneration material of the present invention comprises: ZnO particles selected from the group consisting of crystallized ZnO particles, crystallized ZnO nanorods, nano-ZnO hollow fibers, and a combination thereof; and at least one selected from the group consisting of polycarboxylate cement, glass ionomer cements, and collagen. | 02-27-2014 |
Jau-Jang Lu, Tainan City TW
Patent application number | Description | Published |
---|---|---|
20100007486 | Wireless Infant Alarm System - A wireless infant state alarm system includes a detecting device placed adjacent an infant. The detecting device includes an external signal receiver for receiving an external signal indicative of at least one of movement and sound of the infant. The detecting device further includes a signal transmitter receiving and converting the external signal into a wireless signal indicative of at least one of the movement and the sound of the infant and transmitting the wireless signal. An alarm device is placed adjacent a guardian of the infant and includes a signal receiver and a vibrator. The signal receiver receives the wireless signal from the signal transmitter, and the vibrator vibrates to alert the guardian of a state of the infant. | 01-14-2010 |
Jhe-Ching Lu, Tainan City TW
Patent application number | Description | Published |
---|---|---|
20110291232 | 3D Inductor and Transformer - In accordance with an embodiment, a semiconductor device comprises a semiconductor die, an interposer, and conductive bumps bonding the semiconductor die to the interposer. The semiconductor die comprises a first metallization layer, and the first metallization layer comprises a first conductive pattern. The interposer comprises a second metallization layer, and the second metallization layer comprises a second conductive pattern. Some of the conductive bumps electrically couple the first conductive pattern to the second conductive pattern to form a coil. Other embodiments contemplate other configurations of coils, inductors, and/or transformers, and contemplate methods of manufacture. | 12-01-2011 |
20110298551 | INTEGRATED CIRCUITS AND METHODS OF FORMING THE SAME - A three-dimensional integrated circuit includes a semiconductor substrate where the substrate has an opening extending through a first surface and a second surface of the substrate and where the first surface and the second surface are opposite surfaces of the substrate. A conductive material substantially fills the opening of the substrate to form a conductive through-substrate-via (TSV). An active circuit is disposed on the first surface of the substrate, an inductor is disposed on the second surface of the substrate and the TSV is electrically coupled to the active circuit and the inductor. The three-dimensional integrated circuit may include a varactor formed from a dielectric layer formed in the opening of the substrate such that the conductive material is disposed adjacent the dielectric layer and an impurity implanted region disposed surrounding the TSV such that the dielectric layer is formed between the impurity implanted region and the TSV. | 12-08-2011 |
20120119845 | Balun System and Method - A system and method for transmitting signals is disclosed. An embodiment comprises a balun, such as a Marchand balun, which has a first transformer with a primary coil and a first secondary coil and a second transformer with the primary coil and a second secondary coil. The first secondary coil and the second secondary coil are connected to a ground plane, and the ground plane has slot lines located beneath the separation of the coils in the first transformer and the second transformer. The slot lines may also have fingers. | 05-17-2012 |
20120299778 | ANTENNA USING THROUGH-SILICON VIA - An antenna includes a substrate and a top plate disposed over the substrate. At least one feed line is connected to the top plate, and each feed line comprises a first through-silicon via (TSV) structure passing through the substrate. At least one ground line is connected to the top plate, and each ground line comprises a second TSV structure passing through the substrate. The top plate is electrically conductive, and the at least one feed line is arranged to carry a radio frequency signal. The at least one ground line is arranged to be coupled to a ground. | 11-29-2012 |
20140152512 | ANTENNA USING THROUGH-SILICON VIA - An antenna includes a substrate and a conductive top plate over the substrate. A feed line is connected to the top plate, and the feed line comprises a first through-silicon via (TSV) structure passing through the substrate. The feed line is arranged to carry a radio frequency signal. A method of designing an antenna includes selecting a shape of a top plate, determining a size of the top plate based on an intended signal frequency, and determining, based on the shape of the top plate, a location of each TSV of at least one TSV contacting the top plate. A method of implementing an antenna includes forming a first feed line through a substrate, the first feed line comprising a TSV, and forming a top plate over the substrate, the top plate being electrically conductive and connected to the first feed line. | 06-05-2014 |
20140252548 | Filter and Capacitor Using Redistribution Layer and Micro Bump Layer - An integrated circuit package includes a die. An electrically conductive layer comprises a redistribution layer (RDL) in the die, or a micro-bump layer above the die, or both. The micro bump layer comprises at least one micro-bump line. A filter comprises the electrically conductive layer. A capacitor comprises an electrode formed in the electrically conductive layer. | 09-11-2014 |
20140374875 | 3D Inductor and Transformer - In accordance with an embodiment, a semiconductor device comprises a semiconductor die, an interposer, and conductive bumps bonding the semiconductor die to the interposer. The semiconductor die comprises a first metallization layer, and the first metallization layer comprises a first conductive pattern. The interposer comprises a second metallization layer, and the second metallization layer comprises a second conductive pattern. Some of the conductive bumps electrically couple the first conductive pattern to the second conductive pattern to form a coil. Other embodiments contemplate other configurations of coils, inductors, and/or transformers, and contemplate methods of manufacture. | 12-25-2014 |
20150255531 | 3-D Inductor and Transformer - In accordance with an embodiment, a semiconductor device comprises a semiconductor die, an interposer, and conductive bumps bonding the semiconductor die to the interposer. The semiconductor die comprises a first metallization layer, and the first metallization layer comprises a first conductive pattern. The interposer comprises a second metallization layer, and the second metallization layer comprises a second conductive pattern. Some of the conductive bumps electrically couple the first conductive pattern to the second conductive pattern to form a coil. Other embodiments contemplate other configurations of coils, inductors, and/or transformers, and contemplate methods of manufacture. | 09-10-2015 |
Jiunn-Chenn Lu, Tainan City TW
Patent application number | Description | Published |
---|---|---|
20160081200 | METHOD FOR MANUFACTURING CIRCUIT BOARD BY ETCHING POLYIMIDE - A method for manufacturing circuit board includes steps of forming upper and lower copper foil layers on upper and lower surface of a PI substrate, respectively, etching the upper and lower copper foil layers to form first and second electrical circuits, attaching first and second PI coverlays to the upper and lower copper foil layers, respectively, etching the PI substrate through a PI etching process to form at least one opening exposing the lower copper foil layer, and performing a surface treatment to form a solder layer electrically connected to the electrical circuit of the lower copper foil layer for soldering electrical elements in a subsequent process. Therefore, the circuit board with double side circuit and single side assembly is obtained. The present invention do not employ the process of exposure ink, thereby simplifying the whole manufacturing procedure and greatly improving preciseness of the circuit board. | 03-17-2016 |
Jui-Fa Lu, Tainan City TW
Patent application number | Description | Published |
---|---|---|
20160133559 | SEMICONDUCTOR STRUCTURE AND MANUFACTURING METHOD THEREOF - A semiconductor structure includes a substrate comprising a plurality of layers formed thereon, at least a first device formed in one of the layers formed thereon, a drawn region enclosing the first device, and a plurality of dummy structures in another layer. The dummy structures are formed in a first region correspondingly outside of the drawing region and in a second region correspondingly inside of the drawing region. | 05-12-2016 |
Jung-Chi (eric) Lu, Tainan City TW
Patent application number | Description | Published |
---|---|---|
20150221507 | INDIUM GALLIUM ZINC OXIDE LAYERS FOR THIN FILM TRANSISTORS - Embodiments of the present disclosure generally provide a method and apparatus for forming an IGZO active layer within a thin film transistor (TFT) device. In one embodiment, a method is provided for forming an IGZO active layer on a dielectric surface using a PECVD deposition process. In one embodiment, a method is provided for pretreating and passivating the dielectric surface for receiving the PECVD formed IGZO layer. In another embodiment, a method is provided for treating a PECVD formed IGZO layer after depositing said layer. In another embodiment, a method is provided for forming a multi-layer or complex layering structure of IGZO, within a PECVD processing chamber, for optimizing TFT electrical characteristics such as carrier density, contact resistance, and gate dielectric interfacial properties. In yet another embodiment, a method is provided for forming integrated layers for a TFT including IGZO within an in-situ environment of a cluster tool. | 08-06-2015 |
Ming-Chun Lu, Tainan City TW
Patent application number | Description | Published |
---|---|---|
20120018350 | MIXING-ASSISTED OXIDATIVE DESULFURIZATION OF DIESEL FUEL USING QUATERNARY AMMONIUM SALT AND PORTABLE UNIT THEREOF - The desulfurization of fossil fuels is provided by the combination of fossil fuels with an aqueous mixture of ozone or hydrogen peroxide and a Tetraoctylphosphonium salt phase transfer catalyst, and the mixture is then subjected to reactive mixing to form oxidize sulfur compounds in the fuel. The polar oxidized sulfones species are removed via another mixing step. The desulfurization device can be in the form of a portable device which provides for continuous mixing-assisted desulfurization for the removal of sulfur containing compounds from fossil fuels such as diesel fuel. | 01-26-2012 |
20140374320 | Method for Removing Sulfides from a Liquid Fossil Fuel - The desulfurization of fossil fuels is provided by the combination of fossil fuels with an aqueous mixture of ozone or hydrogen peroxide and a Tetraoctylphosphonium salt phase transfer catalyst, and the mixture is then subjected to reactive mixing to form oxidize sulfur compounds in the fuel. The polar oxidized sulfones species are removed via another mixing step. The desulfurization device can be in the form of a portable device which provides for continuous mixing-assisted desulfurization for the removal of sulfur containing compounds from fossil fuels such as diesel fuel. | 12-25-2014 |
Min-Qiao Lu, Tainan City TW
Patent application number | Description | Published |
---|---|---|
20120114139 | METHODS AND SYSTEMS FOR SUPPRESSING NOISE - The present invention relates to a suppressing noise system applied in a mobile device, comprises: at least two microphones are used for respectively transmitting a first audio signal with noise and a second audio signal with noise; a pre-processing unit is coupled to the at least two microphones for oversampling the first and second audio signals, downsampling the sampled first and second audio signals, and then generating a first adjusted signal and a second adjusted signal; and a suppressing noise device is coupled to the pre-processing device for filtering noise in the first and second adjusted signals once again, and outputting a third audio signal. | 05-10-2012 |
Pei-Lung Lu, Tainan City TW
Patent application number | Description | Published |
---|---|---|
20130175789 | FOLDING CART - A folding cart includes a tray, a chassis frame and a plurality of independent and foldable support frames. Each support frame has a first support member and a second support member with ends pivotally coupled with each other. The other ends of the first support member and the second support member have pivot member for pivotally coupling pivoting portions of the tray and the chassis frame respectively, so that the tray, the chassis frame and the support frames jointly form an accommodating space. When the support frames are folded, the pivotally coupled ends of the first support member and the second support member are accommodated in the accommodating space. The first support member and the second support member are pivotally coupled by a special pivoting structure for adjusting the height of the folding cart, and the folding cart further has a moving element for moving the folding cart. | 07-11-2013 |
Pi-Jie Lu, Tainan City TW
Patent application number | Description | Published |
---|---|---|
20100139446 | Car Gear Lever Assembly Having A Lighting or Blinking Effect - A gear lever assembly for a car includes a gear lever, a support unit, a control unit and a power supply unit. The support unit includes a housing, a transparent body, a sphere, an electric wire, and an insulating member. The control unit is used to control operation of the sphere and the electric wire. The power supply unit is used to provide an electric power to the electric wire. The sphere produces a blink (or light) by operation of a high voltage static electricity. The insulating member is enclosed around the electric wire to prevent from incurring a static electricity leakage from the housing and the transparent body so as to prevent the static electricity from interfering with operation of the electronic systems in the car. | 06-10-2010 |
Pong-Jeu Lu, Tainan City TW
Patent application number | Description | Published |
---|---|---|
20080300447 | Dual-Pulsation Bi-Ventricular Assist Device - A ventricular assist device is disclosed which comprises a sac for wrapping around a portion of a heart, the sac having one or more inflatable chambers for compressing the heart when the chambers being inflated and a blood outlet made to an aorta, the blood outlet being the sole opening in the human blood path in the vicinity of heart, wherein during a systolic phase the inflatable chambers inflate while blood flows out of the aorta through the blood outlet, and during a diastolic phase the inflatable chambers deflate while blood flows into the aorta through the blood outlet. | 12-04-2008 |
20080306329 | Ventricular Assist Device - A manifold for accessing blood from a human blood vessel is disclosed which comprises a first and a second pathway intersecting with each other at an angle, the first pathway being configured to be completed embedded in the human blood vessel with the second pathway leading toward outside of the human blood vessel wherein the manifold is substantially retained by the human blood vessel alone. | 12-11-2008 |
20100076480 | Orthopaedic paste delivering tool and method for continually delivering the paste, and devices and methods for facilitating the delivery of the orthopaedic paste - The present invention discloses a technique for continually delivering an orthopaedic paste into a bone, which will harden in the bone and act as a medical implant. The present invention uses a replacement mechanism in delivering the paste stored in a chamber through a tube in fluid communication with the chamber, which includes invading the paste in the chamber with a small volume of recovery member such as a rod to replace the same volume of paste into the tube, and retreating the invading rod while applying a pressure to the paste in the chamber, so that a space created by the retreating is replaced by the paste, and repeating the invasion and the retreating alternately to continually deliver the paste through the tube. | 03-25-2010 |
20110093025 | BONE CAVITY CREATION AND METHOD WITH MAGNETIC FORCE RETRIEVABLE BEADS - The present invention discloses a method of using beads to create a cavity in a bone, which includes introducing beads into a bone by applying a pressure on said beads, wherein the beads are metallic beads able to be attracted by a magnet; and withdrawing the beads from the bone by magnetic force. Preferably, a pocket is disposed in the bone prior to the introduction of beads, and the introduction and withdraw of the beads are carried out with respect to said pocket. | 04-21-2011 |
Shang-Chieh Lu, Tainan City TW
Patent application number | Description | Published |
---|---|---|
20120143370 | ROBOT POSITIONING METHOD AND CALIBRATION METHOD - A robot positioning method includes the following steps. A optical sensing device is configured at a front end of a robot. Then, the optical sensing device captures a calibration plate image, and a relative position of the optical sensing device with respective to a calibration plate is calculated according to a Bundle Adjustment. A robot calibration method includes the following steps. An optical sensing device is driven to rotate around a reference axis of a calibration plate, so as to calculate a translation matrix between the calibration plate and the robot, and the optical sensing device is driven to translate along three orthogonal reference axes of the calibration plate, so as to calculate a rotation matrix between the calibration plate and the robot. | 06-07-2012 |
Sheng-Hsiao Lu, Tainan City TW
Patent application number | Description | Published |
---|---|---|
20120097145 | Pitching Machine Having Angle and Speed Adjustment Function - A pitching machine includes a stand unit, a direction adjusting device swivelably mounted on the stand unit, a base unit pivotally mounted on the direction adjusting device, two pitch adjusting devices mounted on the base unit, and an angle adjusting device pivotally mounted between the base unit and the direction adjusting device. The base unit includes a base frame, two side brackets, two screwed sockets, two rotation wheels, two drive motors, a guide track, and a push member. Thus, the inclined angle of each of the rotation wheels can be adjusted individually by each of the pitch adjusting devices so that the rotation wheels can be adapted to have different inclined angles. In addition, the angle adjusting device can move the base frame relative to the support arm to change the inclined angle of the base frame. | 04-26-2012 |
20130312723 | Rotary Wheel for Ball Pitching machine - A rotary wheel for a ball pitching machine includes a wheel body and a resilient member engaged on an outer periphery of the wheel body. A shaft hole is provided in the wheel body for receiving a shaft of a motor of the ball pitching machine. The wheel body includes a spring portion arranged between the shaft hole and the outer periphery of the wheel body in a radial direction and including first and second bending portions both of which protrude from two sides of the wheel body respectively. When the rotary wheel is driven to turn by the motor, a frictional force of contact of the resilient member with a ball makes the ball shot outwardly, and the rotary wheel is not broken or damaged due to the spring portion compressed in the radial direction to absorb the impact energy. | 11-28-2013 |
Shu Lu, Tainan City TW
Patent application number | Description | Published |
---|---|---|
20080246280 | Generating system by using the sea power - A generating system includes an outer wall unit having an outlet conduit, an inner wall unit spaced from the outer wall unit, a receiving channel located between the outer wall unit and the inner wall unit to receive salt water of the sea to deliver the salt water to the outlet conduit, and a generating unit including a plurality of generating watermills driven by a flowing power of the salt water. The salt water directly flows from the receiving channel to the outlet conduit to drive and rotate the generating watermills so as to provide a generating function. Thus, the generating system is mounted on the sea coast to form an oceanic trench to collect the salt water of the sea to provide a generating function to produce an electric energy by using the natural resource. | 10-09-2008 |
Shui-Yen Lu, Tainan City TW
Patent application number | Description | Published |
---|---|---|
20150126015 | SEMICONDUCTOR PROCESS - A semiconductor structure includes a substrate, a resist layer, a dielectric material, two U-shaped metal layers and two metals. The substrate has an isolation structure. The resist layer is located on the isolation structure. The dielectric material is located on the resist layer. Two U-shaped metal layers are located at the two sides of the dielectric material and on the resist layer. Two metals are respectively located on the two U-shaped metal layers. This way a semiconductor process for forming said semiconductor structure is provided. | 05-07-2015 |
20150380312 | METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE - A method of manufacturing a semiconductor device is provided. The method includes the following steps. A substrate including a first transistor having a first conductivity type, a second transistor having a second conductivity type and a third transistor having the first conductivity type is formed. An inner-layer dielectric layer is formed on the substrate, and includes a first gate trench corresponding to the first transistor, a second gate trench corresponding to the second transistor and a third gate trench corresponding to the third transistor. A work function metal layer is formed on the inner-layer dielectric layer. An anti-reflective layer is coated on the work function metal layer. The anti-reflective layer on the second transistor and on the top portion of the third gate trench is removed to expose the work function metal layer. The exposed work function metal layer is removed. | 12-31-2015 |
20160005866 | FIN FIELD EFFECT TRANSISTOR AND METHOD OF MANUFACTURING THE SAME - A fin field effect transistor (FinFET) with improved electrical performance and a method of manufacturing the same are disclosed. A FinFET comprises a substrate having a top surface and an insulation. At least a recessed fin is extended upwardly from the top surface of the substrate, and at least a gate stack formed above the substrate, wherein the gate stack is extended perpendicularly to an extending direction of the recessed fin, and the recessed fin is outside the gate stack. The insulation comprises a lateral portion adjacent to the recessed fin, and a central portion contiguous to the lateral portion, wherein a top surface of the lateral portion is higher than a top surface of the central portion. A top surface of the recessed fin is lower than the top surface of the central portion of the insulation. | 01-07-2016 |
20160093737 | ETCHING METHOD FOR FORMING GROOVES IN Si-SUBSTRATE AND FIN FIELD-EFFECT TRANSISTOR - An etching method adapted to forming grooves in Si-substrate and FinFET transistor manufactured thereof are provided. The etching method includes providing a silicon substrate, at least two gate structures formed on the silicon substrate and at least two gate spacer structures disposed on the silicon substrate; performing a first etching process on the silicon substrate to form a first groove, which has a base and two inclined sidewalls, ascending to respective bottoms of the gate structures, and are interconnected with the base, respectively; and performing a second etching process on the silicon substrate at the base of the first groove, so as to form a second groove in an inverted | 03-31-2016 |
20160099179 | METHOD OF FORMING SEMICONDUCTOR DEVICE - A method of forming a semiconductor device is disclosed. A substrate having multiple fins is provided. An insulating layer fills a lower portion of a gap between two adjacent fins. At least one first stacked structure is formed on one fin and at least one second stacked structure is formed on one insulation layer. A first dielectric layer is formed to cover the first and second stacked structures. A portion of the first dielectric layer and portions of the first and second stacked structures are removed. Another portion of the first dielectric layer is removed until a top of the remaining first dielectric layer is lower than tops of the first and second stacked structures. A second dielectric layer is formed to cover the first and second stacked structures. A portion of the second dielectric layer is removed until the tops of the first and second stacked structures are exposed. | 04-07-2016 |
20160104627 | SEMICONDUCTOR DEVICE AND METHOD FOR FABRICATING THE SAME - A method for fabricating semiconductor device is disclosed. The method includes the steps of: providing a substrate; forming a fin-shaped structure on the substrate; forming a cap layer on the fin-shaped structure; removing part of the cap layer on top of the fin-shaped structure; removing part of the fin-shaped structure; removing the remaining cap layer; and removing part of the remaining fin-shaped structure. | 04-14-2016 |
20160118481 | SEMICONDUCTOR DEVICE AND METHOD FOR FABRICATING THE SAME - A semiconductor device comprises a substrate, a gate structure and a gate spacer. The substrate has a semiconductor fin protruding from a surface of the substrate. The gate structure is disposed on the semiconductor fin. The gate spacer is disposed on sidewalls of the gate structure, wherein the gate spacer comprises a first material layer and a second material layer stacked with each other and both of these two material layers are directly in contact with the gate structure. | 04-28-2016 |
20160126334 | SEMICONDUCTOR STRUCTURE AND MANUFACTURING METHOD THEREOF - The present invention provides a semiconductor structure, including a substrate, having a fin structure disposed thereon, a gate structure, crossing over parts of the fin structure. The top surface of the fin structure which is covered by the gate structure is defined as a first top surface, and the top surface of the fin structure which is not covered by the gate structure is defined as a second top surface. The first top surface is higher than the second top surface, and a spacer covers the sidewalls of the gate structure. The spacer includes an inner spacer and an outer spacer, and the outer pacer further contacts the second top surface of the fin structure directly. | 05-05-2016 |
Tai-Fu Lu, Tainan City TW
Patent application number | Description | Published |
---|---|---|
20130002738 | Testing Circuit of Dual Gate Cell Panel and Color Display Method for Dualgate Cell Panel - A testing circuit of a dual gate cell panel and a color display method of the dual gate cell panel. There are many data lines and scan lines in the dual gate cell panel, and the data lines are divided into three groups, and the scan lines are divided into two groups. The data lines or scan lines of each group are connected respectively to metal wires with a test pad each. When an appropriate signal is inputted to each test pad, the dual gate cell panel shows red, green and blue colors individually, so that defects of the dual gate cell panel can be detected accurately to avoid any unnecessary waste on the defective dual gate cell panel incurred in the subsequent manufacturing processes. | 01-03-2013 |
20130088679 | CELL TEST METHOD AND LIQUID CRYSTAL DISPLAY PANEL FOR A TRI-GATE TYPE PIXEL STRUCTURE - A cell test method for a liquid crystal display panel includes the following steps. A waveform sequence to shorting bars is provided, wherein the waveform sequence includes that the first gate line sends a voltage of “turn on” signal and the second and third gate lines send a voltage of “turn off” signal at the first and second time periods; and the waveform sequence further comprises that the first and second data lines respectively send first and second voltages at the first and second time periods, the first threshold voltage is higher than the first voltage, the first voltage is higher than the common voltage, the common voltage is higher than the second voltage, and the second voltage is higher than the second threshold voltage, whereby pixels defined by the first gate line and the first and second data lines is turn on. | 04-11-2013 |
Tien-Rong Lu, Tainan City TW
Patent application number | Description | Published |
---|---|---|
20130194241 | MIMO Sonic Touch Panel and MIMO Smart Sound Potential Server - A multi input and multi output (MIMO) sonic touch panel includes at least a sonic touch module and a display module. The sonic touch module includes a first carrier layer, a first vibrating layer and a first conducting layer. The first vibrating layer is disposed at the first carrier layer. The first conducting layer is disposed at the first carrier layer or the first vibrating layer and coupled with the first vibrating layer. The first conducting layer and the first vibrating layer are located at the same side or the opposite side of the first carrier layer. The display module is disposed opposite to the sound touch module. Besides, a MIMO smart sound potential server is also disclosed. | 08-01-2013 |
Tzung-Shiun Lu, Tainan City TW
Patent application number | Description | Published |
---|---|---|
20140273301 | MOVEABLE AND ADJUSTABLE GAS INJECTORS FOR AN ETCHING CHAMBER - An apparatus for increasing the uniformity in a critical dimension of chemical vapor deposition and etching during substrate processing, comprising a plurality of gas injectors for admitting a processing gas into an etching chamber. Each gas injector of the plurality of gas injectors is disposed along a track within the etching chamber and moveable along the track. Further, each gas injector is coupled with a throttling valve or nozzle to permit adjustment of processing gas flow rate. A method for increasing the uniformity in a critical dimension of chemical vapor deposition and etching during substrate processing includes performing a chemical deposition or etch using the plurality of moveable and adjustable gas injectors and measuring the critical dimension uniformity. Adjustments to the location of at least one gas injector or the processing gas flow rate to at least one gas injector are made to increase critical dimension uniformity. | 09-18-2014 |
Wan-Jie Lu, Tainan City TW
Patent application number | Description | Published |
---|---|---|
20130334773 | Structure of Piston Ring - A structure of a piston ring to be installed in an engine includes a matrix wherein a laminated intermediate layer is formed on a surface of the matrix from hard chromium (Cr), chromium nitride (CrN) and titanium carbide (TiC) in order, and a diamond-like carbon film (DLC) is coated on an outer periphery of the intermediate layer, so that the piston ring is wrapped by a skin with a progressive structure having gradient distribution of hardness. The structure formed from the diamond-like carbon film provides low wear rate and low friction coefficient, while applying a high bonding force to the matrix and making the piston ring have an improved normal service life that meets the related environmental regulations. | 12-19-2013 |
20130334774 | Structure of Titanium-alloy Piston Ring - A structure of a titanium-alloy piston ring is configured to be installed in an engine and includes a titanium-alloy matrix. The titanium-alloy matrix has an outer periphery receiving a hardening treatment (such as receiving a nitrogen treatment, or being coated with a diamond-like carbon film, or receiving a nitrogen treatment and then being coated with a diamond-like carbon film), so as to make the piston ring have improved abrasion-resistant strength, hardness, and wear resistance, thereby extending the normal service life of the piston ring. | 12-19-2013 |
20140339778 | Structure of Piston Ring - A structure of a piston ring to be installed in an engine includes a matrix. A laminated intermediate layer is formed on a surface of the matrix from hard chromium (Cr), chromium nitride (CrN) and titanium carbide (TiC) in order, and a diamond-like carbon film (DLC) is coated on an outer periphery of the intermediate layer. Thus, the piston ring is wrapped by a skin with a progressive structure having a gradient distribution of hardness. The structure formed from the diamond-like carbon film provides a low wear rate and a low friction coefficient, while applying a high bonding force to the matrix and making the piston ring have an improved normal service life that meets the related environmental regulations. | 11-20-2014 |
Wei-Lun Lu, Tainan City TW
Patent application number | Description | Published |
---|---|---|
20150021163 | APPARATUS AND METHOD FOR PRODUCING SOLAR CELLS WITH A HEATER APPARATUS - A method and apparatus for forming a solar cell can include a heater apparatus having one or more heater elements in a deposition processing system, a front cover covering the one or more heater elements from a front side, and a back metal reflector mating with the front cover on a back side and enclosing the one or more heater elements. The method can include disposing a plurality of substrates about a plurality of surfaces of a substrate apparatus that is operatively coupled to sequentially feed a substrate within a vacuum chamber, forming an absorber layer over a surface of each one of the plurality of substrates and heating the surface of each one of the plurality of substrates with the heater apparatus as described above. | 01-22-2015 |
20150162459 | SOLAR CELL ANTI REFLECTIVE COATING AND WET CHEMICAL METHOD FOR FORMING THE SAME - Provided are methods for forming antireflective layers on solar cells using wet chemical processes and solar cells with anti-reflective layers formed of ZnO based nanorods. Self-assembling ZnO nanorods are generated in the chemical solution without any catalysts. The nanorods are formed to different shapes such as hexagonal, cubic, and circular in cross-section. The refractive index of the ARC layer formed of the nanorods is modulated by controlling the diameter and length of the nanorods by controlling the Molarity of the solution used to form the nanorods. A correlation is established between the refractive index and solution Molarity and a solution is prepared with the desired Molarity. The nanorods are formed from HMT ([CH | 06-11-2015 |
20160064591 | PASSIVATION METHOD - A solar cell module includes a substrate; an absorber layer formed over the substrate; a porous alumina passivation layer formed on an upper surface of the absorber layer; a buffer layer conformably formed over the passivation layer; and a transparent conducting oxide layer conformably formed over the buffer layer. | 03-03-2016 |
Wei-Ting Lu, Tainan City TW
Patent application number | Description | Published |
---|---|---|
20130207953 | COMPUTER SYSTEM - A computer system includes a display and a computer device, having a CPU, a peripheral controller, and a setting circuit. The CPU and the peripheral controller respectively include first and second pins coupled to the setting circuit. The setting circuit respectively has the first pin biased with first reference voltage, and has the second pin biased with second reference voltage when the display supports first and second transmission interfaces. The CPU and the peripheral controller respectively provide first display data of the first transmission interface to drive the display in response to the first reference voltage on the first pin, and provide second display data of the second transmission interface to drive the display in response to the second reference voltage on the second pin. | 08-15-2013 |
20140042826 | POWER ADAPTOR SYSTEM - A power adaptor system including a system side and a power adaptor side is provided. The system side includes a first connector, a system circuit, a storage capacitor, and a first microcontroller. The storage capacitor is charged by an initial voltage received via the first connector. The first microcontroller outputs a system side setting after the storage capacitor is completely charged. The power adaptor side includes a second connector, a power adaptor circuit, and a second microcontroller. The second connector is for electrically connecting to the first connector. The second microcontroller receives the system side setting via the second connector, and controls the power adaptor circuit to output an operating voltage required by the system circuit according to the system side setting. | 02-13-2014 |
20140070630 | POWER ADAPTOR SYSTEM AND METHOD - A power adaptor system and method is provided. The adaptor system includes a system side and a power adaptor. The power adaptor method includes steps of: transforming an AC voltage to a DC voltage; the power adaptor generating a periodic signal and outputting a combined signal according to the DC voltage and the periodic signal; the system side retrieving a determination signal corresponding to the periodic signal according to the combined signal; and the system side selecting an operating mode according to the determination signal. | 03-13-2014 |
20140368478 | ELECTRONIC APPARATUS AND ASSOCIATED FRAME UPDATING METHOD - An electronic apparatus including a display block and a system block is provided. The display block includes a display panel for displaying a frame. The system block includes a comparison unit, an information generation unit and a transmission circuit. The comparison unit compares first frame data with second frame data to generate partial frame data. The information generation unit generates start information and address information according to the partial frame data. The transmission circuit transmits the start information, the address information and the partial frame data to the display block. The display block updates a part of the frame according to the start information, the address information and the partial frame data. | 12-18-2014 |
Wen-Ling Lu, Tainan City TW
Patent application number | Description | Published |
---|---|---|
20140118884 | POROUS CARBON MATERIAL AND MANUFACTURING METHOD THEREOF AND SUPERCAPACITOR - An embodiment provides a manufacturing method for a porous carbon material including: preparing a first solution including a surfactant, a carbon source material and a solvent; pouring the first solution into a silica sol aqueous solution to form a second solution; preparing a silicate aqueous solution; pouring the silicate aqueous solution into the second solution to form a third solution and to precipitate out an intermediate, wherein the intermediate includes the surfactant, the carbon source material and a silica template; performing a heating process on the intermediate to carbonize the intermediate; and removing the silica template of the carbonized intermediate to form a porous carbon material. Another embodiment of the disclosure provides a porous carbon material. The other embodiment provides a supercapacitor. | 05-01-2014 |
Yan-Chih Lu, Tainan City TW
Patent application number | Description | Published |
---|---|---|
20140256087 | Hybrid Bonding and Apparatus for Performing the Same - A method includes performing a hybrid bonding to bond a first package component to a second package component, so that a bonded pair is formed. In the bonded pair, first metal pads in the first package component are bonded to second metal pads in the second package component, and a first surface dielectric layer at a surface of the first package component is bonded to a second surface dielectric layer at a surface of the second package component. After the hybrid bonding, a thermal compressive annealing is performed on the bonded pair. | 09-11-2014 |
20150123233 | Image Sensor Pickup Region Layout - Embodiments of the present disclosure include an image sensor device and methods of forming the same. An embodiment is an image sensor device including a first plurality of pickup regions in a photosensor array area of a substrate, each of first plurality of pickup regions having a first width and a first length, a second plurality of pickup regions in a periphery area of the substrate, the periphery area along at least one side of the photosensor array area, each of second plurality of pickup regions having a second width and a second length. | 05-07-2015 |
Yen-Hsun Lu, Tainan City TW
Patent application number | Description | Published |
---|---|---|
20160099477 | PASSIVE ANODE GAS RECOVERY SYSTEM FOR FUEL CELL - A passive anode gas recovery system for fuel cells is revealed. The system includes a fuel cell, a fuel supply device, an electronically controlled regulator, a first ejection module, a second ejection module, a hydrogen recovery module, and a controller. The system is a passive fuel recovery system disposed on an outlet end of an anode of the fuel cell. By the controller, the hydrogen recovery module recovers unconsumed hydrogen gas in the fuel cell provided by the fuel supply device into two ejection modules with different orifice diameters for recycling and reuse. | 04-07-2016 |
Yen-Hung Lu, Tainan City TW
Patent application number | Description | Published |
---|---|---|
20150188640 | COOLERLESS FIBER LIGHT SOURCE DEVICES FOR HARSH ENVIRONMENTS - A robust broadband ASE (amplified spontaneous emission) fiber light source device outputs a light beam which is little affected by temperature and radiation. The light source device is a single-pass backward or double-pass backward architecture, and has a coolerless pump laser and temperature compensated bandpass reflector. The light source device may have a high pass filtering element disposed between the wavelength division multiplexer thereof and the optical isolator thereof, so as to compensate the effect of the temperature to the mean wavelength of the light beam. The specific band of the temperature compensated bandpass reflector which reflects the light beam, and the band which the high pass filtering element transmits the light beam are within the band which the ASE unit amplifies the light beam, and the high pass filtering element mainly absorbs the light beam outside the specific band. | 07-02-2015 |
Yin-Chih Lu, Tainan City TW
Patent application number | Description | Published |
---|---|---|
20140278045 | ASSISTANCE SYSTEM AND GENERATION METHOD OF DYNAMIC DRIVING INFORMATION - An assistance system and a generation method of dynamic driving information, which acquire object information of an ambient object near by a main vehicle to establish an ambient object relation list of the main vehicle, receive an ambient object relation list of an ambient vehicle, and determine object information of the ambient object relation list of the ambient vehicle before being added in the ambient object relation list of the main vehicle, so as to establish a dynamic driving information graph. | 09-18-2014 |
20150199806 | APPARATUS AND METHOD FOR VEHICLE POSITIONING - A method for vehicle positioning is provided, which includes the steps of identifying at least one vehicle in an image, obtaining identification information of each vehicle from the image, and transforming coordinates of each vehicle in the image into positioning information of the corresponding vehicle according to mapping information. The positioning information is a position of the corresponding vehicle in real world. Precise lane-level vehicle positioning can be achieved based on comparison with the identification information or the positioning information. | 07-16-2015 |
Yin-Dong Lu, Tainan City TW
Patent application number | Description | Published |
---|---|---|
20120257292 | Wafer Level Lens Module and Method for Manufacturing the Wafer Level Lens Module - A wafer level lens module which processes images includes a glass substrate, the metal baffle layers, a convex lens, and a concave lens. The glass substrate has a first surface and a second surface, and the metal baffle layers are respectively disposed on the first surface and the second surface of the glass substrate for blocking some light, in which each metal baffle layer comprises at least one through holes. The convex lens and the concave lens, disposed on the first surface or the second surface of the glass substrate, are contacted with the metal baffle layers. | 10-11-2012 |
20130114153 | CAMERA LENS ASSEMBLY AND PRODUCING METHOD THEREOF - A camera lens assembly is provided, including a holder, a lens module, and a metal frame. The lens module is fixed in the holder by an adhesive and includes a lens, a substrate connected to the lens, and a plurality solder balls disposed on the substrate. The metal frame is disposed around the lens module and fixed to the holder, wherein the metal frame forms an opening with the solder balls exposed thereto, and the lens module and the metal frame form a gap there between. | 05-09-2013 |
20130250444 | CAMERA LENS ASSEMBLY AND PRODUCING METHOD THEREOF - A camera lens assembly is provided, including a holder, a lens module, and a metal frame. The lens module is fixed in the holder by an adhesive and includes a lens, a substrate connected to the lens, and a plurality solder balls disposed on the substrate. The metal frame is disposed around the lens module and fixed to the holder, wherein the metal frame forms an opening with the solder balls exposed thereto, and the lens module and the metal frame form a gap there between. | 09-26-2013 |
20140021332 | WAFER-LEVEL OPTICS MODULE AND A METHOD OF ASSEMBLING THE SAME - A wafer-level optics (WLO) module includes a sensor configured to convert an optical image into an electronic signal; at least one wafer-level lens; and a bracket that provides a space set on a first surface of the bracket for accommodating the sensor, and provides a second surface that is opposite to the first surface for bonding with the wafer-level lens. | 01-23-2014 |
20140071546 | IMAGE CAPTURING DEVICE AND ASSEMBLING METHOD THEREOF - An image capturing device and an assembling method thereof are provided. The assembling method includes the following steps. Firstly, a lens holder, a lens module and a casing are provided, wherein the lens holder includes a containing cavity. Next, the lens module is disposed in the containing cavity of the lens holder. Then, the casing is disposed on the lens holder and the lens module, wherein the casing covers a part of the lens module. Finally, an Ultrasonic Welding is applied on the lens holder and the casing for forming a melting interface between the lens holder and the casing so as to fix the casing to the lens holder. | 03-13-2014 |
20140198399 | LENS MODULE AND MANUFACTURING METHOD THEREOF - A method of manufacturing a lens module including following is provided. A first lens plate having a plurality of first lens sections, a second lens plate having a plurality of second lens sections and a third lens plate having a plurality of third lens sections are provided. The first lens sections of the first lens plate are separated to form a plurality of first lens units. The second and third lens plates are connected. A relative position between each of the first lens units and one of the second lens sections corresponding to the first lens unit is adjusted. Each of the first lens units and the second lens section corresponding to the first lens unit are connected. The second and third lens sections are separated to form a plurality of second lens units and a plurality of third lens units connected to the second lens units. | 07-17-2014 |
20140268372 | WAFER LEVEL DEVICE AND WAFER LEVEL LENS WITH CONCAVE AND CONVEX MODELING - An exemplary wafer level device includes a first wafer and a second wafer. The first wafer has a concave modeling, and the second wafer has a convex modeling, wherein the first wafer and the second wafer are combined together by the concave modeling being engaged with the convex modeling. An exemplary wafer level lens includes a first wafer level lens and a second wafer level lens. The first wafer level lens has a concave modeling, and the second wafer level lens has a convex modeling, wherein the first wafer level lens and the second wafer level lens are combined together by the concave modeling being engaged with the convex modeling. | 09-18-2014 |
20140268374 | LENS ASSEMBLY AND METHOD FOR ASSEMBLING THE SAME - A lens assembly is disclosed, which includes a base and a lens barrel. The base has a front edge, a back edge opposite to the front edge and a passage penetrating the front edge and the back edge. The lens barrel is disposed in the passage and includes a lens and a lens holding member holding the lens, wherein an outer surface of the lens holding member is in contact with an inner wall of the passage, and at least one of the inner wall of the passage and the outer surface of the lens holding member includes a smooth surface, arranged such that a thrust force applied on the lens barrel while assembling the lens assembly causes a sliding movement between the base and the lens barrel on the smooth surface. | 09-18-2014 |
20140326855 | IMAGE CAPTURE MODULE - An image capture module is disclosed, which includes a holder and a lens unit. A plurality of through holes are disposed on the holder, wherein at least one light blocking portion is disposed between the two adjacent through holes. The lens unit is disposed in the holder and has a plurality of light gathering regions and at least one non-light gathering region, wherein the light gathering regions respectively align with each of the through holes, and the non-light gathering region aligns with the light blocking portion. | 11-06-2014 |
20140327977 | LENS MODULE AND MANUFACTURING METHOD THEREOF - A method of manufacturing a lens module including following is provided. A first lens plate having a plurality of first lens sections, a second lens plate having a plurality of second lens sections and a third lens plate having a plurality of third lens sections are provided. The first lens sections of the first lens plate are separated to form a plurality of first lens units. The second and third lens plates are connected. A relative position between each of the first lens units and one of the second lens sections corresponding to the first lens unit is adjusted. Each of the first lens units and the second lens section corresponding to the first lens unit are connected. The second and third lens sections are separated to form a plurality of second lens units and a plurality of third lens units connected to the second lens units. | 11-06-2014 |
20150062404 | IMAGE-CAPTURING LENS AND DEVICE USING THE SAME - An image-capturing lens is disclosed in the disclosure. The image-capturing lens includes a central portion and a periphery portion. The central portion includes a plurality of optical elements arranged in a circular fashion, and each of the optical elements differs in thickness. The periphery portion extends peripherally from the central portion and has a constant thickness. The thickness of the periphery portion is smaller than a thickness of one of the plurality of optical elements that is approximate to the periphery portion. | 03-05-2015 |
20150192752 | LENS MODULE AND ASSEMBLING METHOD THEREOF - A lens module and an assembling method thereof are provided. A lens set includes a barrel and at least one lens disposed inside the barrel. A first position-limiting member is connected to the barrel. A first hollow tube surrounds the barrel and has a first sliding path. The first position-limiting member is configured to slide along the first sliding path before the first hollow tube is fixed so that the barrel is capable of moving with respect to the first hollow tube before the first hollow tube is fixed. The first position-limiting member is limited by the first sliding path. A second hollow tube surrounds the first hollow tube and has a second sliding path. The first position-limiting member is configured to slide along the second sliding path before the first and second hollow tubes are fixed. The second sliding path is inclined to the first sliding path. | 07-09-2015 |
20150215505 | IMAGE-CAPTURING ASSEMBLY AND ARRAY LENS UNITS THEREOF - An image-capturing assembly is disclosed. The image-capturing assembly includes an array of lens units and an array of optical sensor units. At least one of the lens units includes a first functional lens and a first light-blocking member having an aperture. Each of the optical sensor units includes an image-capture element corresponding to one of the lens units. The first functional lens, the aperture of the first light-blocking member, and one of the image-capture element are arranged along an optical axis, and the aperture of the first light-blocking member is asymmetrical with respect to the optical axis. | 07-30-2015 |
20160062073 | IMAGE SENSOR MODULE AND METHOD FOR ADJUSTING FOCUS OF IMAGE SENSOR MODULE - According to one embodiment of the present invention, an image sensing module includes a substrate, an image sensor mounted on the substrate, a holder positioned on the substrate, a plurality of lens barrels positioned in the holder, and a plurality of elastic components. Each of the lens barrels holds a lens module, and each of the elastic components is positioned between the holder and the corresponding lens barrel, and exerting forces on the holder and the corresponding lens barrel. | 03-03-2016 |
Ying-Ti Lu, Tainan City TW
Patent application number | Description | Published |
---|---|---|
20130207264 | Stress Reduction Apparatus - A stress reduction apparatus comprises a metal structure formed over a substrate, an inter metal dielectric layer formed over the substrate, wherein a lower portion of the metal structure is embedded in the inter metal dielectric layer and an inverted cup shaped stress reduction layer formed over the metal structure, wherein an upper portion of the metal structure is embedded in the inverted cup shaped stress reduction layer. | 08-15-2013 |
20140106563 | Stress Reduction Apparatus - A stress reduction apparatus comprises a metal structure formed over a substrate, an inter metal dielectric layer formed over the substrate, wherein a lower portion of the metal structure is embedded in the inter metal dielectric layer and an inverted cup shaped stress reduction layer formed over the metal structure, wherein an upper portion of the metal structure is embedded in the inverted cup shaped stress reduction layer. | 04-17-2014 |
Yi-Shiang Lu, Tainan City TW
Patent application number | Description | Published |
---|---|---|
20150234521 | CLUSTERED SCAN METHOD OF A CAPACITIVE TOUCH DEVICE - A clustered scan method of a capacitive touch device has steps of simultaneously providing driving signals in one driving cycle to multiple driving lines including the (i−j) | 08-20-2015 |
20150261353 | CLUSTERED SCAN METHOD OF CAPACITIVE TOUCH DEVICE - A clustered scan method of a capacitive touch device is disclosed. According to the method, n drive lines of the capacitive touch device are divided into multiple clusters. Each of the clusters has a same number of drive lines. The clusters are driven by a clustered scan procedure in sequence. In each clustered scan procedure, the drive lines of the present cluster are driven for b times, wherein b is equal to the number of the drive lines of each cluster. If at least one bordering drive line exists, a bordering clustered scan procedure is executed, and a bordering drive line and some of drive lines of the previous clusters are driven. | 09-17-2015 |
Yueh-Li Lu, Tainan City TW
Patent application number | Description | Published |
---|---|---|
20090092780 | Decorative sticker - A decorative sticker includes: a sheet member having opposite first and second surfaces, the first surface having first and second printed regions; an adhesive layer disposed on the second surface of the sheet member and overlapping the first and second printed regions; a non-sticky coating attached to the adhesive layer and overlapping the second printed region so as to expose a portion of said adhesive layer that corresponds to said first printed region of said sheet member; and a releasable sheet attached to the portion of the adhesive layer and the non-sticky coating. | 04-09-2009 |
Yu-Jen Lu, Tainan City TW
Patent application number | Description | Published |
---|---|---|
20140005643 | OPTICAL SCANNING DEVICE | 01-02-2014 |
Yu-Wen Lu, Tainan City TW
Patent application number | Description | Published |
---|---|---|
20120240455 | SOLID HYDROGEN FUEL WITH INITIAL HEATING - An embodiment of the invention provides a solid hydrogen fuel with an initial heating mechanism, including: a solid hydrogen fuel; and a heating promoter disposed on at least one surface of the solid hydrogen fuel, wherein the heating promoter proceeds with an exothermal reaction when contacted with water. Another embodiment of the invention provides: a solid hydrogen fuel with an initial heating mechanism, including a solid hydrogen fuel; and an electrical heating element in contact with the solid hydrogen fuel. | 09-27-2012 |