Jacques, US
Aaron Jacques, Knoxville, TN US
Patent application number | Description | Published |
---|---|---|
20140121441 | Active Floor for Proton Therapy - An operator positioning apparatus for a proton treatment system includes: a proton beam nozzle to emit a proton beam to a targeted region of a patient; a gantry wheel having a front face to support the proton beam nozzle to direct the proton beam to an isocenter of the gantry wheel corresponding to a center of the targeted region, wherein the gantry wheel rotates the proton beam nozzle around the isocenter; an active floor that horizontally translates across the front face of the gantry wheel, the active floor having an opening having a width through which the proton beam nozzle protrudes when the proton beam nozzle is located below the targeted region. | 05-01-2014 |
Alan M. Jacques, San Diego, CA US
Patent application number | Description | Published |
---|---|---|
20140026456 | FRAME KITS AND FRAMES - Frame kits and frames are disclosed herein. In an example, a frame kit includes at least two frame legs. Each frame leg has two edges, where one of the two edges of each frame leg is to abut another of the two edges of an adjacent frame leg when a frame is constructed with the frame legs. Each frame leg includes a non-foamed polymer exterior wall; a hollow space defined by the non-foamed polymer exterior wall; and an expanded foam material positioned within the hollow space. | 01-30-2014 |
Alexander Jacques, Kings Park, NY US
Patent application number | Description | Published |
---|---|---|
20110158202 | CODE DIVISION MULTIPLE ACCESS (CDMA) COMMUNICATION SYSTEM - A subscriber unit for use in a multiple access spread-spectrum communication system includes a spread spectrum radio interface, responsive to a rate function signal from a base station, and first and second despreaders. The base station assigns the rate function spread-spectrum message channels and the first despreader recovers and modifies an information signal one of the spread spectrum message channels. The information channel mode is then modified for processing by the second despreader, with the second despreader supporting a different information signal rate. The subscriber unit has a capability of communicating with a dynamically changing a transmission rate of an information signal which includes multiple spread spectrum message channels. The system includes a closed loop power control system for maintaining a minimum system transmit power level for a radio carrier station and the subscriber units, and system capacity management for maintaining a maximum number of active subscriber units for improved system performance. | 06-30-2011 |
20140348135 | CODE DIVISION MULTIPLE ACCESS (CDMA) COMMUNICATION SYSTEM - A subscriber unit for use in a communication system includes a spread spectrum radio interface, responsive to a rate function signal from a base station, and first and second despreaders. The base station assigns the rate function spread-spectrum message channels and the first despreader recovers and modifies an information signal one of the spread spectrum message channels. The information channel mode is then modified for processing by the second despreader, with the second despreader supporting a different information signal rate. The subscriber unit has a capability of communicating with a dynamically changing a transmission rate of an information signal which includes multiple spread spectrum message channels. The system includes a closed loop power control system for maintaining a minimum system transmit power level for a radio carrier station and the subscriber units, and system capacity management for maintaining a maximum number of active subscriber units for improved system performance. | 11-27-2014 |
Alexander M. Jacques, Kings Park, NY US
Patent application number | Description | Published |
---|---|---|
20110188546 | WIRELESS TRANSMIT/RECEIVE UNIT (WTRU) FOR CARRIER OFFSET RECOVERY - A wireless transmit/receive unit (WTRU) for carrier offset recovery. An adaptive matched filter produces a filtered signal. A rake receiver provides relative path values of multipath components. A mixer generates channel impulse response estimates. A channel despreader despreads the filtered signal using the pseudo-noise signal generated to produce a despread channel signal of the selected channel. A pilot channel despreader despreads the filtered signal using a pseudo-noise signal generator to produce a despread pilot signal of the pilot channel. A hard decision processor determines a symbol value of the despread channel signal. A complex conjugate processor generates a complex conjugate of the symbol value as a correction signal. A phase-locked loop produces a phase correction signal to recover carrier offset. | 08-04-2011 |
20120320952 | RECEIVER FOR PRODUCING PHASE CORRECTED SIGNALS - A method for receiving at least one of a plurality of channels in a communication signal includes receiving a spread spectrum communication signal, demodulating the spread spectrum communication signal using a rake receiver and a pseudo-noise pilot signal for a selected channel, despreading the demodulated signal of a selected channel, performing a QPSK hard decision in association with a complex conjugate of the despread signal to produce a correction signal, and mixing the correction signal to a rake receiver output to remove relative phase error without an absolute phase reference, to produce a corrected signal. | 12-20-2012 |
20140111311 | METHOD AND APPARATUS FOR OPERATING AN RFID READER - A method and apparatus for operating an RFID scanner is provided herein. During operation, an RFID scanner will utilize beamforming techniques to appropriately beamform an interrogation signal. A first beamforming scheme will be used for a first task (e.g., EAS, inventory management, point-of-sale mode scanning of a first area, . . . , etc.) and a second beamforming scheme will be used for a second task. | 04-24-2014 |
20140197928 | SYSTEM FOR AND METHOD OF LOCATING RADIO FREQUENCY IDENTIFICATION (RFID) TAGS ASSOCIATED WITH ITEMS IN A CONTROLLED AREA - A radio frequency identification (RFID) tag reading system having a phased antenna array accurately locates RFID tags in a controlled area, by steering an interrogating beam over the controlled area to interrogate the tags and generate return modulated RF signals. A primary receiver steers a primary receive beam at a primary steering angle that is fixed during each tag interrogation. A primary demodulator demodulates and reconstructs the received return modulated signals. A secondary receiver, independently of the primary receiver, steers a secondary receive beam at a plurality of secondary steering angles. A secondary correlator/demodulator demodulates the combined return modulated signals, and utilizes the reconstructed signal reconstructed by the primary demodulator at each of the secondary steering angles. Both the primary and the secondary receivers cooperate to accurately locate the same tag. | 07-17-2014 |
20150278565 | SYSTEM FOR AND METHOD OF RAPIDLY DETERMINING TRUE BEARINGS OF RADIO FREQUENCY IDENTIFICATION (RFID) TAGS ASSOCIATED WITH ITEMS IN A CONTROLLED AREA - An RFID tag reading system and method accurately and rapidly determine true bearings of RFID tags associated with items in a controlled area. An RFID reader has an array of antenna elements and a plurality of RF transceivers. A controller controls the transceivers by steering a primary transmit beam over the controlled area to each tag, by steering a primary receive beam at a primary steering angle from each tag, by steering a plurality of secondary receive beams at different secondary steering angles that are offset from the primary steering angle by receiving secondary receive signals from each tag, and by processing the secondary receive signals to determine a true bearing for each tag. Bidirectional communication between the reader and a tag is conducted over a single inventory round in which the tag is read a plurality of times by the primary and the secondary receive beams. | 10-01-2015 |
20150323662 | SYSTEM AND METHOD OF ESTIMATING TRUE BEARINGS OF RADIO FREQUENCY IDENTIFICATION (RFID) TAGS ASSOCIATED WITH ITEMS LOCATED DIRECTLY UNDERNEATH AN OVERHEAD ANTENNA ARRAY IN A CONTROLLED AREA - An RFID tag reading system and method estimate true bearings of RFID tags associated with items located in a scan zone directly underneath an overhead array of antenna elements. A controller energizes a plurality of diametrically opposite antenna elements to yield electric fields having polarizations, and switches each antenna element between mutually orthogonal polarizations. A primary transmit beam and a primary receive beam are steered at a primary steering angle over the scan zone, and a plurality of secondary receive beams are steered over the scan zone at different secondary steering angles that are offset from the primary steering angle by receiving secondary receive signals from each tag, and by processing the secondary receive signals to estimate a true bearing for each tag. | 11-12-2015 |
Anna L. Jacques, Broussard, LA US
Patent application number | Description | Published |
---|---|---|
20120297537 | CRIB WITH ADJUSTABLE HEIGHT MATTRESS - A apparatus with an adjustable height mattress can include a crib frame with a stationary support frame secured to it. The mattress rests generally on an elevator frame which slides up and down with respect to the stationary frame. The crib further includes a height adjusting mechanism for adjusting height of the elevator frame, an operating mechanism for operating and locking the height adjusting mechanism and a leveling mechanism for maintaining the level of elevator frame horizontally stable. The mattress height can be adjusted not only on a semi-permanent basis, but can also be varied as needed. The mattress can be set high when a parent is placing a child in the crib and can be lowered with the child on it for the child's safety. The elevator frame may also be manually raised with the child on it via an external handle. | 11-29-2012 |
Auguste Jacques, Philadelphia, PA US
Bonnie Jacques, San Diego, CA US
Patent application number | Description | Published |
---|---|---|
20150126507 | COMPOUNDS TO TREAT HEARING LOSS - The invention is directed, in part, to compounds of structure (I) | 05-07-2015 |
Christian Jacques, Hamburg, NJ US
Patent application number | Description | Published |
---|---|---|
20140106390 | BIOMARKERS FOR THE TREATMENT OF MULTIPLE MYELOMA - Provided herein are the biomarkers for predicting or monitoring the efficacy of a treatment for multiple myeloma. The use of certain M-protein or other protein levels as biomarkers to predict whether a multiple myeloma treatment is likely to be successful is also provided. Further, the analysis of these biomarkers can be used to monitor progress of treatment effectiveness and patient compliance in multiple myeloma patients who are receiving treatment. | 04-17-2014 |
Christian J. Jacques, Hamburg, NJ US
Patent application number | Description | Published |
---|---|---|
20100272717 | COMBINATIONS OF THERAPEUTIC AGENTS FOR TREATING CANCER - The invention relates to a combination comprising vascular disrupting agent (VDA), such as 5,6-dimethylxanthenone-4-acetic acid or a pharmaceutically acceptable salt, ester or prodrug thereof; and one or more pharmaceutically active agents; pharmaceutical compositions comprising said combination; methods of treatment comprising said combination; processes for making said combination; and a commercial package comprising said combination. | 10-28-2010 |
Christopher Jacques, West Newbury, MA US
Patent application number | Description | Published |
---|---|---|
20150362211 | HIGH EFFICIENCY BOILER - A high efficiency compact boiler is disclosed which includes a burner configured to introduce combustion gases into an interior region of the boiler, a heat exchange tube made of a length of finned tubing in the form of a helical coil positioned within the interior region of the boiler such that combustion gases from the burner can flow from a region inside the helical coil to a region outside the helical coil, and a floating baffle configured to redirect the flow of combustion gases around the finned tubing as it passes from the region inside the helical coil to the region outside the helical coil, the baffle being positioned proximal adjacent turns of the helical coil and having a coiled configuration corresponding substantially to the helical coil. | 12-17-2015 |
Christopher J. Jacques, Mountain View, CA US
Patent application number | Description | Published |
---|---|---|
20120039162 | Synchronous Data Communication - A data packet is provided that includes a synchronization field and an acknowledgement field indicative of an acknowledgement of receipt of a prior data packet. The data packet also includes a response field that includes information indicative of a system fault, a header field, and a sequence number field that includes a number assigned to the data packet. The data packet further includes a data field, an end of packet field, and an error-checking field. Methods and computer program products are provided that, in some implementations, include retransmitting packets if the acknowledgement field in a received data packet is a no acknowledgement (NAK) and/or placing a node into a safe state in response to a fault signal that is included in the received data packet. | 02-16-2012 |
Christopher J. Jacques, Barrington, NH US
Patent application number | Description | Published |
---|---|---|
20120079995 | WATER HEATING SYSTEM AND METHOD FOR USING THE SAME - A water heating system includes a housing that defines a substantially enclosed interior region. A control panel is attached to the housing and includes a display that displays a particular condition of the water heating system. An access panel is attached to the housing for movement between a first position and a second position, wherein, in the first position of the access panel, a passageway to the interior region of the housing is provided, and, in the second position of the access panel, the passageway to the interior region of the housing is closed. The display is visible in both positions of the access panel such that movement of the access panel from the second position to the first position facilitates simultaneous access to the display and to the interior region of the housing though the passageway. | 04-05-2012 |
Daniel Jacques, Clarksville, MD US
Patent application number | Description | Published |
---|---|---|
20140052980 | SECURE NETWORK SYSTEMS AND METHODS - Secure network systems and methods are provided. In an aspect of the invention, a secure network system is provided that includes a computing system that comprises a client system and a specialized NIC (network interface controller) system equipped with the capability to form a secure connection with an endpoint system and encrypt and decrypt communications between the client system and the network to which it is connected. This trusted network interface (TNI), which may present itself as a physical peripheral connected to a physical client system or a virtual peripheral connected to a virtual client system, takes the place of a client system's standard NIC, and the connection that it forms with the trusted network is negotiated and enforced externally to and independent of the client system. | 02-20-2014 |
Daniel Arthur Jacques, Clarksville, MD US
Patent application number | Description | Published |
---|---|---|
20090249060 | DATA SECURITY MANAGEMENT SYSTEM AND METHODS - A system is provided for managing security rights of protected data having a plurality of security groups. The system comprises a client configured to generate the protected data, wherein a user of the client is a member of a subset of the plurality of security groups. The client comprising a key generator configured to generate a plurality of security group keys, wherein each membership key is associated with a security group selected by the user of the client and a combination key generator configured to generate a combination key based on the plurality of security group keys, wherein the client is configured to encrypt the protected data with the combination key. | 10-01-2009 |
Daniel R. Jacques, Caledonia, MI US
Patent application number | Description | Published |
---|---|---|
20090194034 | TEAT FOAM RING - A teat foam ring connected to a supply of pressurized cleaning solution and a supply of pressurized air. The teat foam ring includes a cleaning solution inlet and defines a cleaning solution channel and an extrusion slot. Cleaning solution and compressed air flow into the ring through the cleaning solution inlet and into a cleaning solution channel. The cleaning solution is expelled from the ring through the extrusion slot as a foam. The extrusion slot extends upwardly and inwardly so that the foam forms a cone supported on an upper surface of the ring over the central opening in the ring. The teat foam ring may include or be connected to a mixing chamber for transforming the cleaning solution and the compressed gas into a foam. The mixing chamber may be defined within the inlet of the teat foam ring. A mixing medium may be located in the mixing chamber. | 08-06-2009 |
David A. Jacques, Delano, MN US
Patent application number | Description | Published |
---|---|---|
20130032130 | USER ADJUSTABLE COUPLING DEVICE OR HINGE - A user adjustable coupling device or hinge adapted to be attachable to a spine is disclosed. The device or hinge comprises a curved, hook end on a horizontally oriented upper bracket, a vertically oriented support brace, and a curved, oval-shaped end on a horizontally oriented lower bracket that gives the hinge a C-shaped configuration. The hook and oval ends allow the hinge to be quickly and easily attached and removed from the spine without the use of tools. The coupling device or hinge may be utilized in an outdoor cooking apparatus. The apparatus comprises an enclosed area defining a heat containment structure, a spine operably connected to the heat containment structure, a collar operably connected to the spine, and at least one cooking support attached or attachable to the coupling device or hinge. | 02-07-2013 |
20150136110 | OUTDOOR COOKING APPARATUS - The outdoor cooking apparatus comprises an enclosed area defining a heat containment structure, a spine operably connected to the heat containment structure, a collar operably connected to the spine, a quick release device or hinge attachable to a collar on the spine or a spine sleeve, and at least one cooking support attached or attachable to the device or hinge. The device or hinge is adapted for efficient attachment and removal of the cooking support to and from the spine and further adapted for rotatable movement around a central vertical axis of the spine and vertical movement along a vertical length of the spine, thus allowing the user to adjust and customize the intensity of the heat on the cooking support. The cooking supports are also adapted to accommodate a plurality of cooking surfaces. | 05-21-2015 |
David L. Jacques, Northbridge, MA US
Patent application number | Description | Published |
---|---|---|
20090258491 | Method of inhibiting background plating - Methods of inhibiting background plating on semiconductor substrates using oxidizing agents are disclosed. | 10-15-2009 |
20100003817 | Method of light induced plating on semiconductors - Methods of light induced plating of nickel onto semiconductors are disclosed. The methods involve applying light at an initial intensity for a limited amount of time followed by reducing the intensity of the light for the remainder of the plating period to deposit nickel on a semiconductor. | 01-07-2010 |
20110065274 | ENHANCED METHOD OF FORMING NICKEL SILICIDES - Silicon containing substrates are coated with nickel. The nickel is coated with a protective layer and the combination is heated to a sufficient temperature to form nickel silicide. The nickel silicide formation may be performed in oxygen containing environments. | 03-17-2011 |
20130264214 | METAL PLATING FOR PH SENSITIVE APPLICATIONS - Metal electroplating processes are used in pH sensitive applications to plate metal layers on semiconductors. The semiconductors may be used in the manufacture of photovoltaic devices and solar cells. | 10-10-2013 |
David L. Jacques, Caledonia, IL US
Patent application number | Description | Published |
---|---|---|
20120011839 | AUXILIARY HYDRAULIC POWER GENERATION SYSTEM - An auxiliary hydraulic power generation system includes a first spool including a turbine. A fan is coupled to the first spool, the fan operable to drive the first spool in a windmill condition in which the turbine fails to provide rotational drive to the fan. An auxiliary variable displacement hydraulic pump is selectively driven by the first spool in the windmill condition to augment a hydraulic power source. | 01-19-2012 |
20120013177 | METHODS FOR AIRCRAFT EMERGENCY POWER MANAGEMENT - A method for controlling an aircraft power system having a plurality of generators includes determining a load set for controlling aircraft power as a function of a number of generators providing power and as a function of a health status of a load to be included in said load set. | 01-19-2012 |
20130230401 | FLYWEIGHT FOR RAM AIR TURBINE - A flyweight for use in a ram air turbine has a body with a circumferentially enlarged portion extending between flat sides spaced by an angle of between 45 degrees and 150 degrees. A pivot point is defined by a bore at a circumferentially intermediate point in the body, and in a relatively thin inner portion. The relatively thin inner portion extends beyond the pivot point to an end that will be radially inward when the flyweight is mounted in a ram air turbine. A governor, a ram air turbine and a method are also described. | 09-05-2013 |
David L. Jacques US
Patent application number | Description | Published |
---|---|---|
20120221157 | LOW PRESSURE SPOOL EMERGENCY GENERATOR - An emergency power system is useable on an aircraft having a gas turbine engine with a low pressure spool and a high pressure spool. The emergency power system includes an emergency electrical generator coupled to the low pressure spool during an emergency for generating emergency electrical power and one or more additional electrical power sources. A plurality of electrical loads are electrically connected to the emergency electrical generator and the one or more additional electrical power sources. Aircraft sensors provide data regarding emergency electrical power availability and emergency electrical power demand. A controller for controlling the emergency electrical generator determines emergency electrical power demand and emergency electrical power availability based upon data from the aircraft sensors. The controller controls the emergency electrical generator based upon the emergency electrical power demand and the emergency electrical power availability. | 08-30-2012 |
20130076120 | AIRCRAFT EMERGENCY POWER SYSTEM - An emergency power system for use on an aircraft includes an emergency electrical generator coupled to a mechanical power source for generating emergency electrical power. An electrical power distribution network connects the emergency electrical generator to a plurality of electrical loads. A controller controls the electrical power distribution network to selectively couple and decouple the electrical loads to and from the emergency electrical generator based upon a priority rank assigned to each of the electrical loads. | 03-28-2013 |
David N. Jacques, Lexington, KY US
Patent application number | Description | Published |
---|---|---|
20090053115 | CONTINUOUS PRODUCTION OF ALIGNED CARBON NANOTUBES - An apparatus for continuous production of aligned carbon nanotubes is disclosed. The apparatus includes a reactor, an injector for delivering a feed solution into the reactor, and a conveyor for passing through the reactor a substrate on which nanotubes may be formed and grown. The apparatus further may include an inert, porous medium through which the feed solution may be passed. The apparatus produces aligned carbon nanotubes of a predetermined external diameter, and is suitable for large scale production of aligned carbon nanotubes in an industrial setting. | 02-26-2009 |
20100279009 | PROCESS FOR THE CONTINUOUS PRODUCTION OF ALIGNED CARBON NANOTUBES - Novel methods and apparatus for continuous production of aligned carbon nanotubes are disclosed. In one aspect, the method comprises dispersion of a metal catalyst in a liquid hydrocarbon to form a feed solution, and volatilizing the feed solution in a reactor through which a substrate is continuously passed to allow growth of nanotubes thereon. In another aspect, the apparatus comprises a reactor, a tube-within-a-tube injector, and a conveyor for passing a substrate through the reactor. The present invention further discloses a method for restricting the external diameter of carbon nanotubes produced thereby comprising passing the feed solution through injector tubing of a specified diameter, followed by passing the feed solution through an inert, porous medium. The method and apparatus of this invention provide a means for producing aligned carbon nanotubes having a defined external diameter, suitable for large scale production in an industrial setting. | 11-04-2010 |
Ed Jacques, Howell, MI US
Patent application number | Description | Published |
---|---|---|
20150043987 | Vehicle Wheel Strap for Auto Carriers - Provided is an adjustable wheel strap system adapted for securing vehicles to rail cars or other auto carriers. The device comprises multi-ply rubber strap, a ratchet assembly, and tie down securement devices including one or more swivel hooks. The strap is made of multi-ply, high strength rubber with corded material in between the strap layers. The rubber material is non-abrasive and grips around over the upper half of the tire to secure it to the transporter via swivel hooks. The present invention may be retrofitted to a variety of transporters. The wheel strap system of the present invention helps prevent the shifting of the vehicle during transport, reducing the number of accidents caused by vehicle shifting on or within, or falling from, the transporters. | 02-12-2015 |
Etienne Jacques, Sunnyvale, CA US
Patent application number | Description | Published |
---|---|---|
20120128228 | Method for Matching of Patterns - A method for matching of two detailed patterns is disclosed in which abstracts of each of the detailed patterns are created, where the abstracts are less complex than the detailed patterns. The abstracts are then compared to determine if the detailed patterns may possibly match, where comparison of the abstracts is faster than comparison of the detailed patterns. If comparison of the abstracts indicates a possible match, then the detailed patterns are compared, otherwise no detailed pattern comparison is needed. | 05-24-2012 |
20120278770 | METHOD AND SYSTEM FOR FORMING NON-MANHATTAN PATTERNS USING VARIABLE SHAPED BEAM LITHOGRAPHY - A method and system for fracturing or mask data preparation or proximity effect correction is disclosed in which a series of charged particle beam shots is determined, where the series of shots is capable of forming a continuous non-manhattan track on a surface, such that the non-manhattan track has a line width roughness (LWR) which nearly equals a target LWR. A method and system for fracturing or mask data preparation or proximity effect correction is also disclosed in which at least two series of shots are determined, where each series of shots is capable of forming a continuous non-manhattan track on a surface, and where the space between tracks has space width roughness (SWR) which nearly equals a target SWR. | 11-01-2012 |
20130306884 | Method and System for Forming Non-Manhattan Patterns Using Variable Shaped Beam Lithography - A method and system for fracturing or mask data preparation or proximity effect correction is disclosed in which a series of charged particle beam shots is determined, where the series of shots is capable of forming a continuous non-manhattan track on a surface, such that the non-manhattan track has a line width roughness (LWR) which nearly equals a target LWR. A method and system for fracturing or mask data preparation or proximity effect correction is also disclosed in which at least two series of shots are determined, where each series of shots is capable of forming a continuous non-manhattan track on a surface, and where the space between tracks has space width roughness (SWR) which nearly equals a target SWR. | 11-21-2013 |
20140272675 | METHOD AND SYSTEM FOR FORMING A DIAGONAL PATTERN USING CHARGED PARTICLE BEAM LITHOGRAPHY - A method and system for fracturing or mask data preparation is disclosed in which the central core portion of a diagonal pattern is fractured using overlapping variable shaped beam (VSB) shots, and an outer portion of the diagonal pattern is fractured using non-overlapping VSB shots. A transition region is interposed between the central core and outer pattern portions, and transition region shots are generated so as to produce in the transferred pattern a smooth transition in pattern characteristics such as line edge roughness or period of waviness, from the central core portion of the pattern to the outer portion of the pattern. Methods for forming a semiconductor device layout pattern on a reticle or substrate are also disclosed. | 09-18-2014 |
20140282304 | METHOD AND SYSTEM FOR FORMING A DIAGONAL PATTERN USING CHARGED PARTICLE BEAM LITHOGRAPHY - A method and system for fracturing or mask data preparation is disclosed in which the central core portion of a diagonal pattern is fractured using overlapping variable shaped beam (VSB) shots, and an outer portion of the diagonal pattern is fractured using non-overlapping VSB shots. A transition region is interposed between the central core and outer pattern portions, and transition region shots are generated so as to produce in the transferred pattern a smooth transition in pattern characteristics such as line edge roughness or period of waviness, from the central core portion of the pattern to the outer portion of the pattern. A pattern determined by the transition region shots is then compared to a reticle pattern created using conventional non-overlapping VSB shots. Methods for forming a semiconductor device layout pattern on a reticle or substrate are also disclosed. | 09-18-2014 |
20150104737 | Method and System for Forming Non-Manhattan Patterns Using Variable Shaped Beam Lithography - A method and system for fracturing or mask data preparation or proximity effect correction is disclosed in which a series of charged particle beam shots is determined, where the series of shots is capable of forming a continuous non-manhattan track on a surface, such that the non-manhattan track has a line width roughness (LWR) which nearly equals a target LWR. A method and system for fracturing or mask data preparation or proximity effect correction is also disclosed in which at least two series of shots are determined, where each series of shots is capable of forming a continuous non-manhattan track on a surface, and where the space between tracks has space width roughness (SWR) which nearly equals a target SWR. | 04-16-2015 |
James J. Jacques, Ogden, UT US
Patent application number | Description | Published |
---|---|---|
20130186919 | Finish Dispensing Apparatus and Method of Use - The invention disclosed herein is a low cost, light weight, easy to use floor finish dispensing apparatus. The apparatus is a floor finish application apparatus defining a wheeled chassis mounted storage tank having a dispensing tube, wherein the chassis include a handle bar, the angular adjustment of which controls the flow rate of finish that is dispended from the storage tank. The apparatus is especially useful in efficiently and easily dispensing large quantities of floor finish such as Castlegaurd and Shurgloss 25 floor finishes at desired locations and in desired patterns such as elongated “puddle” finish patterns without overspray, finish splatter, finish waste, or user fatigue, and without the use of valves and levers. | 07-25-2013 |
James T. Jacques, Ogden, UT US
Patent application number | Description | Published |
---|---|---|
20130186919 | Finish Dispensing Apparatus and Method of Use - The invention disclosed herein is a low cost, light weight, easy to use floor finish dispensing apparatus. The apparatus is a floor finish application apparatus defining a wheeled chassis mounted storage tank having a dispensing tube, wherein the chassis include a handle bar, the angular adjustment of which controls the flow rate of finish that is dispended from the storage tank. The apparatus is especially useful in efficiently and easily dispensing large quantities of floor finish such as Castlegaurd and Shurgloss 25 floor finishes at desired locations and in desired patterns such as elongated “puddle” finish patterns without overspray, finish splatter, finish waste, or user fatigue, and without the use of valves and levers. | 07-25-2013 |
Jeannette Michelle Jacques, Rowlett, TX US
Patent application number | Description | Published |
---|---|---|
20080280447 | SPIN ON GLASS (SOG) ETCH IMPROVEMENT METHOD - A system and method of preventing pattern lifting during a trench etch/clean process is disclosed. A first layer comprising a first dip is formed over a first via pattern. A trench resist layer is formed. The trench resist layer is patterned with a trench reticle to produce a second via pattern in the trench resist layer over the first via pattern. A photo resist over the first via pattern is opened during a trench processing. Thus, an additional pattern added on a trench pattern reticle is used to open, i.e., remove resist over, a huge via feature area causing under layer dip. | 11-13-2008 |
20090050604 | TRI-LAYER PLASMA ETCH RESIST REWORK - Exemplary embodiments provide a tri-layer resist (TLR) stack used in a photolithographic process, and methods for resist reworking by a single plasma etch process. The single plasma etch process can be used to remove one or more portions/layers of the TLR stack that needs to be reworked in a single process. The removed portions/layers can then be re-formed and resulting in a reworked TLR stack for subsequent photo-resist (PR) processing. The disclosed plasma-etch resist rework method can be a fast, simple, and cost effective process used in either single or dual damascene tri-layer patterning processes for the fabrication of, for example, sub 45-nm node semiconductor structures. | 02-26-2009 |
20090170221 | Etch residue reduction by ash methodology - Methods for forming dual damascene interconnect structures are provided. The methods incorporate an ashing operation comprising a first ash operation and a second overash operation. The ashing operation is performed prior to etching of an etch stop layer. The operation removes residue from a cavity formed during formation of the interconnect structure and facilitates better CD control without altering the cavity profiles. | 07-02-2009 |
Jeff Jacques, New York, NY US
Patent application number | Description | Published |
---|---|---|
20150154880 | HEALTHCARE MANAGEMENT WITH A SUPPORT NETWORK - Systems and methods for supporting the care of a patient are disclosed. Embodiments of the disclosure provide systems and methods for supporting the care of a patient through the use of a patient's support network. The patient can be in any stage of the healthcare system, including being an in-patient at a hospital or other facility or receiving out-patient care. The support network comprises friends, family members and others that have an interest in supporting the patient. The system includes providing at least one member of the support network access to healthcare professionals through a support computing device. | 06-04-2015 |
Jeffrey M. Jacques, East Hartford, CT US
Patent application number | Description | Published |
---|---|---|
20130327847 | TURBINE ENGINE EXHAUST NOZZLE FLAP - An exhaust nozzle flap for a turbine engine may include an exhaust nozzle flap linkage, an exhaust nozzle flap panel and a mounting pin. The linkage may include a first linkage segment that extends longitudinally from a linkage end to a second linkage segment, and a mounting aperture that extends transversely through the second linkage segment. The panel may include a first panel segment that extends longitudinally from a panel end to a second panel segment. The first panel segment may be pivotally engaged with the first linkage segment. The mounting pin may extend through and move transversely within the mounting aperture, and may be connected to the second panel segment. | 12-12-2013 |
Jeffrey Michael Jacques, East Hartford, CT US
Patent application number | Description | Published |
---|---|---|
20140000282 | TURBINE BLADE PLATFORM WITH U-CHANNEL COOLING HOLES | 01-02-2014 |
20140003950 | MISTAKE PROOF DAMPER POCKET SEALS | 01-02-2014 |
20140083114 | TURBINE BLADE ROOT PROFILE - A turbine blade for a gas turbine engine includes an airfoil that extends in a first radial direction from a platform. A root extends from the platform in a second radial direction and has opposing lateral sides that provide a firtree-shaped contour. The contour includes first, second and third lobes on each of the lateral sides and that tapers relative to the radial direction away from the platform. The first, second and third lobes each provide contact surfaces arranged at about 45° relative to the radial direction. A contact plane on each lateral side at an angle of about 11° relative to the radial direction defining a contact point on each of the contact surfaces. The first, second and third lobes each include first, second and third grooves that are substantially aligned with one another along an offset plane spaced a uniform offset distance from the contact plane. | 03-27-2014 |
20140321961 | MATE FACE COOLING HOLES FOR GAS TURBINE ENGINE COMPONENT - A gas turbine engine component comprises a shroud, a U-channel, an internal cooling air passage and a U-channel cooling hole. The shroud comprises a forward face, an aft face, a first side face and a second side face. The U-channel is disposed in the aft face of the shroud. A gas path surface connects the forward face, aft face, first side face and second side face. A cooled surface connects the forward face, aft face, first side face and second side face opposite the gas path face. The internal cooling air passage extends through the shroud. The U-channel cooling hole extends into the first side face of the shroud adjacent the U-channel to intersect the internal cooling passage. | 10-30-2014 |
20150226077 | SEAL DAMPER WITH IMPROVED RETENTION - A seal damper system includes a plurality of circumferentially spaced blades that each include a platform and an air-foil that extends radially outwardly from the platform. A pocket is arranged circumferentially intermediate the blades and radially inwardly of the platform. A seal damper is arranged in the pocket. The seal damper includes a base section that extends along an axial dimension from a first axial end to an opposing second axial end. The base section joins a first arm that extends radially inwardly from the first axial end and a second arm that extends radially inwardly from the second axial end. The first arm includes a free end having a pair of spaced apart shoulders. | 08-13-2015 |
20150377032 | GAS TURBINE ENGINE COMPONENT WITH COMBINED MATE FACE AND PLATFORM COOLING - A component for a gas turbine engine according to an exemplary aspect of the present disclosure includes, among other things, a platform that axially extends between a leading edge and a trailing edge, circumferentially extends between a first mate face and a second mate face, and includes a gas path surface and a non-gas path surface. The component defines at least one cavity that extends at least partially inside of the component. A first plurality of cooling holes extends from the at least one cavity to at least one of the first mate face and the second mate face and a second plurality of cooling holes extends from either the at least one cavity or the non-gas path surface to the gas path surface. | 12-31-2015 |
Jeffrey Michael Jacques, East Hardford, CT US
Patent application number | Description | Published |
---|---|---|
20130323078 | TURBINE BLADE ROOT WITH MICROCIRCUIT COOLING PASSAGES - A method of fabricating an airfoil includes the steps of fabricating a first core including a first plurality of ribs defining a first plurality of passages of a completed airfoil, and fabricating as second core including a second plurality of ribs defining a second plurality of passages of the completed airfoil. The second plurality of ribs includes a plurality of standoffs. The plurality of standoffs set a spacing between the first plurality of ribs and the second plurality of ribs to define a spacing between the first plurality of channels and the second plurality of channels of the completed airfoil. The airfoil is then molded about the core assembly. Once completed, the core assembly is removed to provide a completed airfoil incorporating multiple microcircuits with a desired stability and structural integrity. | 12-05-2013 |
Jerome Jacques, Bethesda, MD US
Patent application number | Description | Published |
---|---|---|
20130323243 | FILOVIRUS FUSION PROTEINS AND THEIR USES - This invention provides fusion proteins comprising a Filovirus glycoprotein segment and an immunoglobulin polypeptide segment. The fusion proteins are useful in immunogenic compositions to protect against infections by Filoviruses, such as Ebola virus, in both humans and non-human animals. The fusion proteins are also useful in diagnostic assays to detect Filovirus infections. | 12-05-2013 |
20150346215 | FILOVIRUS FUSION PROTEINS AND THEIR USES - This invention provides fusion proteins comprising a Filovirus glycoprotein segment and an immunoglobulin polypeptide segment. The fusion proteins are useful in immunogenic compositions to protect against infections by Filoviruses, such as Ebola virus, in both humans and non-human animals. The fusion proteins are also useful in diagnostic assays to detect Filovirus infections. | 12-03-2015 |
Joseph Jacques, Austin, TX US
Patent application number | Description | Published |
---|---|---|
20090272125 | THERMAL PUMP MODULE AND TEMPERATURE REGULATION - A voltage regulator is configured to receive an input voltage from a power supply, measure a temperature associated with a heat transfer medium, produce an output voltage to drive a thermo-electric cooler, and vary the output voltage in accordance with changes in the measured temperature. Varying the output voltage results in: 1) extracting of heat from the heat transfer medium when the measured temperature is above a threshold value, or 2) supplying of heat to the heat transfer medium when the measured temperature is below a threshold value. The voltage regulator can cap upper and lower bounds of the output voltage to prevent the thermo-electric cooler from reaching its saturation point. The voltage regulator can be configured to produce an output voltage having reduced voltage ripple. | 11-05-2009 |
20120140406 | CONFIGURABLE FAN UNIT - In order to increase the flexibility of the positioning of a stacked computer system within a data center, a removable fan unit is positioned within the computer system. The removable fan unit includes a fan housing that supports a fan electrically connected to a connector extending from a side of the fan housing. The connector of the removable fan unit may be electrically connected to a computer system-side connector in a first orientation to move air through the computer system in a first direction. The connector or another connector of the removable fan unit may be electrically connected to another or the same computer system-side connector in a second orientation to move air through the computer system in a second direction. | 06-07-2012 |
Mark I. Jacques, Cologne, MN US
Patent application number | Description | Published |
---|---|---|
20150136110 | OUTDOOR COOKING APPARATUS - The outdoor cooking apparatus comprises an enclosed area defining a heat containment structure, a spine operably connected to the heat containment structure, a collar operably connected to the spine, a quick release device or hinge attachable to a collar on the spine or a spine sleeve, and at least one cooking support attached or attachable to the device or hinge. The device or hinge is adapted for efficient attachment and removal of the cooking support to and from the spine and further adapted for rotatable movement around a central vertical axis of the spine and vertical movement along a vertical length of the spine, thus allowing the user to adjust and customize the intensity of the heat on the cooking support. The cooking supports are also adapted to accommodate a plurality of cooking surfaces. | 05-21-2015 |
Mark L. Jacques, Cologne, MN US
Patent application number | Description | Published |
---|---|---|
20130032130 | USER ADJUSTABLE COUPLING DEVICE OR HINGE - A user adjustable coupling device or hinge adapted to be attachable to a spine is disclosed. The device or hinge comprises a curved, hook end on a horizontally oriented upper bracket, a vertically oriented support brace, and a curved, oval-shaped end on a horizontally oriented lower bracket that gives the hinge a C-shaped configuration. The hook and oval ends allow the hinge to be quickly and easily attached and removed from the spine without the use of tools. The coupling device or hinge may be utilized in an outdoor cooking apparatus. The apparatus comprises an enclosed area defining a heat containment structure, a spine operably connected to the heat containment structure, a collar operably connected to the spine, and at least one cooking support attached or attachable to the coupling device or hinge. | 02-07-2013 |
Michael A. Jacques, Syhnar, CA US
Patent application number | Description | Published |
---|---|---|
20080227320 | Lamp keying system and method - A system and a method for keying lamps which involve a lamp keying device, such as a keying device with a base protruding key having a configuration, e.g., being formed on, being affixed to, or being detachably coupled with, the base and a support slot being formed in the lamp support receptacle, the base protruding key detachably coupling with the support slot; a keying device with a support protruding key being formed on, being affixed to, or being detachably coupled with, the lamp support receptacle and a base slot being formed in the base, the base slot detachably coupling with the protruding support key; and a keying device with a base protruding key being formed on, being affixed to, or being detachably coupled with, the base and a base slot being formed in the base, the keying device further having a complementary support protruding key being formed on, being affixed to, or being detachably coupled with, the lamp support receptacle and a complementary support slot being formed in the lamp support receptacle, the base protruding key detachably coupling with the complementary support slot, and the slot detachably coupling with the complementary protruding support key. | 09-18-2008 |
Michael P. Jacques, Canton, OH US
Patent application number | Description | Published |
---|---|---|
20090008059 | Method and apparatus for sealing an ingot at initial startup - A continuous casting furnace for producing metal ingots includes a molten seal which prevents external atmosphere from entering the melting chamber. A startup sealing assembly allows an initial seal to be formed to prevent external atmosphere from entering the melting chamber prior to the formation of the molten seal. | 01-08-2009 |
20100282427 | CONTINUOUS CASTING SEALING METHOD - A casting furnace for manufacturing a metal casting comprises an interior chamber and a secondary chamber through which the metal casting passes from the interior chamber into external atmosphere. A seal along the secondary chamber surrounds and seals against the metal casting to separate the interior chamber from the external atmosphere in a manner which allows for an extended period of continuous casting. A force producing mechanism typically forces the seal against the metal casting. Multiple seals may be used sequentially to increase the duration of the sealing capability and the continuous casting process. | 11-11-2010 |
20110146935 | METHOD AND APPARATUS FOR SEALING AN INGOT AT INITIAL STARTUP - A continuous casting furnace for producing metal ingots includes a molten seal which prevents external atmosphere from entering the melting chamber. A startup sealing assembly allows an initial seal to be formed to prevent external atmosphere from entering the melting chamber prior to the formation of the molten seal. | 06-23-2011 |
20120024492 | METHOD AND APPARATUS FOR SEALING AN INGOT AT INITIAL STARTUP - A continuous casting furnace for producing metal ingots includes a molten seal which prevents external atmosphere from entering the melting chamber. A startup sealing assembly allows an initial seal to be formed to prevent external atmosphere from entering the melting chamber prior to the formation of the molten seal. | 02-02-2012 |
20120199307 | CONTINUOUS CASTING SEALING METHOD - A casting furnace for manufacturing a metal casting comprises an interior chamber and a secondary chamber through which the metal casting passes from the interior chamber into external atmosphere. A seal along the secondary chamber surrounds and seals against the metal casting to separate the interior chamber from the external atmosphere in a manner which allows for an extended period of continuous casting. A force producing mechanism typically forces the seal against the metal casting. Multiple seals may be used sequentially to increase the duration of the sealing capability and the continuous casting process. | 08-09-2012 |
20130168037 | CONTINUOUS CASTING SEALING METHOD - A casting furnace for manufacturing a metal casting comprises an interior chamber and a secondary chamber through which the metal casting passes from the interior chamber into external atmosphere. A seal along the secondary chamber surrounds and seals against the metal casting to separate the interior chamber from the external atmosphere in a manner which allows for an extended period of continuous casting. A force producing mechanism may force the seal against the metal casting. Multiple seals may be used sequentially to increase the duration of the sealing capability and the continuous casting process. Inert gas sensors may detect loss of inert gas from the interior chamber. | 07-04-2013 |
20140326427 | METHOD AND APPARATUS FOR REDUCING BUBBLES OR GAS POCKETS IN A METAL INGOT USING A CONTINUOUS CASTING MOLD - A method and apparatus are provided to cast a metal ingot using a continuous casting mold so that the ingot is essentially free of gas pockets which otherwise would result from gas bubbles being entrapped in the mushy zone and solid portion of the ingot during formation, wherein such bubbles may be caused by pouring molten metal into a molten liquid portion of the forming ingot and by impingement of a plasma plume of a plasma torch on the upper surface of the molten liquid portion. | 11-06-2014 |
Nicholas D. Jacques, Grand Rapids, MI US
Patent application number | Description | Published |
---|---|---|
20140239096 | PNEUMATICALLY POWERED FOAM SPRAYER - A pneumatically powered foam sprayer is provided. The foam sprayer includes a spray hose having a spray nozzle, a trigger assembly proximate the spray nozzle, and a pressure-actuated valve responsive to the trigger assembly. An air conduit extends between the pressure-actuated valve and an opening in the trigger assembly. Obstructing the opening with a hand, a finger or a thumb creates a change of air pressure within the air conduit and actuates the pressure-actuated valve to selectively allow the supply of foam into the spray hose. The air conduit may extends longitudinally through an interior portion of the spray hose, or along an exterior portion of the spray hose, and can include a laminar, chemical-resistant outer surface. An internal pump is powered by compressed air through the pressure-actuated valve, and the spray nozzle remains open both during and between uses to prevent the accumulation of pressurized foam within the spray hose. | 08-28-2014 |
Nicholas D. Jacques, East Grand Rapids, MI US
Patent application number | Description | Published |
---|---|---|
20160041086 | LUBRICATION CONTROL SYSTEM AND RELATED METHOD OF USE - A system for measuring and optionally controlling the COF of moving surfaces. The system may include a component that rides on the moving surface and a controller that measures the drag force between the component and the moving surface. The system may include a linkage to hold the component so that it does not move with the moving surface and a load cell to measure the force between the component and the moving surface. The load cell may be oriented transversely to the drag force. The system may control a lubrication system based on the measurements. The system may include a component that is urged into the moving surface by a normal force, and may include one load cell to measure the tangential force and another to measure the normal force. A controller may compare the measured tangential and normal forces to obtain a representation of the COF. | 02-11-2016 |
Normand P. Jacques, Marlborough, CT US
Patent application number | Description | Published |
---|---|---|
20140248140 | SHIPLAP CANTILEVERED STATOR - A disclosed stator vane assembly includes a plurality of stator vane elements supported within a case about an axis. Each of the plurality of stator vane elements includes a platform having a recess on a first side and a tongue on a second side. The tongue overlaps a recess of an adjacent one of the plurality of stator vane elements with at least one of the recess and the tongue includes a crowned surface forming a seal. | 09-04-2014 |
Peter Jacques, Westland, MI US
Patent application number | Description | Published |
---|---|---|
20090207701 | Date band watch - A display for a watchband includes a housing member having a first shell and a second shell member, each shell having a joining means, when assembled such first and second shell members form a cavity. At least a portion of a top surface of such second shell of such housing member is transparent. A predetermined power source is located at a predetermined location within such cavity. A control unit is located at a predetermined location within such cavity operably connected to such power source and having an integrated circuit with a clock function to output day, date and other information. A display mechanism is located within such cavity operably connected to such power source and such control unit. An input mechanism on such housing member is operably connected to such control unit. A band attaching mechanism attaches such housing member to such watch band. | 08-20-2009 |
Pierre-Richard Jacques, Cape Coral, FL US
Patent application number | Description | Published |
---|---|---|
20110290409 | Method and System for Forming an Extruded Jacket Over Elongated Objects - A system and method for forming an extruded jacket over an elongated object is provided. The system includes a quantity of heated jacketing material and at least one die having an entry side and an exit side, the entry side proximate to the quantity of heated jacketing material, wherein the quantity of heated jacketing material is extruded through the at least one die. A heating device is located proximate to the exit side of the at least one die, wherein the heating device positioned to maintain the extruded quantity of heated jacketing material in a heated state. A wrapping device is positioned to rotate the elongated object, wherein the extruded quantity of heated jacketing material is wrapped around at least a portion of the elongated object. | 12-01-2011 |
20120061388 | Pipe and Pipe Joint Covering Apparatus - A pipe covering apparatus and method associated therewith are provided. The apparatus includes a first half of the pipe covering apparatus mateable along a length with a second half of the pipe covering apparatus, wherein each of the first half and second half have a substantially rigid exterior shell and a flexible insulated liner positioned interior to the exterior shell. A plurality of male connecting elements and a plurality of female connecting elements are integral with each of the first half and second half. The plurality of male connecting elements and plurality of female connecting elements on the first half have identical positions to the plurality of male connecting elements and plurality of female connecting elements on the second half, wherein the plurality of male connecting elements on the first half engage with the plurality of female connecting elements on the second half. | 03-15-2012 |
Rachael Jacques, Plymouth, MN US
Patent application number | Description | Published |
---|---|---|
20140188074 | MEDICAL MARKING APPARATUS AND METHODS - The present invention provides the materials and methods related to marking the injection site on a patient's skin. Specifically, the present invention provides the materials and methods for applying a medical marking tattoo containing at least one injecting targeting site, injecting a medical substance into the area of the injection target site, and marking the injecting targeting site using a tattoo activation element. The present invention also provides the materials and methods for a medical marking apparatus that can be used to perform the methods of the present invention to mark the injection site on a patient's skin. Other embodiments are also included herein. | 07-03-2014 |
Rachel Jacques, Plymouth, MN US
Patent application number | Description | Published |
---|---|---|
20130144256 | MEDICAL MARKING APPARATUS AND METHODS - The present invention provides the materials and methods related to marking the injection site on a patient's skin. Specifically, the present invention provides the materials and methods for applying a medical marking tattoo containing at least one injecting targeting site, injecting a medical substance into the area of the injection target site, and marking the injecting targeting site using a tattoo activation element. The present invention also provides the materials and methods for a medical marking apparatus that can be used to perform the methods of the present invention to mark the injection site on a patient's skin. | 06-06-2013 |
Robert Jacques, San Diego, CA US
Patent application number | Description | Published |
---|---|---|
20140191133 | Method of Timing Laser Beam Pulses to Regulate Extreme Ultraviolet Light Dosing - Described herein are embodiments of a method to control energy dose output from a laser-produced plasma extreme ultraviolet light system by adjusting timing of fired laser beam pulses. During stroboscopic firing, pulses are timed to lase droplets until a dose target of EUV has been achieved. Once accumulated EUV reaches the dose target, pulses are timed so as to not lase droplets during the remainder of the packet, and thereby prevent additional EUV light generation during those portions of the packet. In a continuous burst mode, pulses are timed to irradiate droplets until accumulated burst error meets or exceeds a threshold burst error. If accumulated burst error meets or exceeds the threshold burst error, a next pulse is timed to not irradiate a next droplet. Thus, the embodiments described herein manipulate pulse timing to obtain a constant desired dose target that can more precisely match downstream dosing requirements. | 07-10-2014 |
Robert L. Jacques, Troy, MI US
Patent application number | Description | Published |
---|---|---|
20130298854 | ENGINE INCLUDING OIL PRESSURE PASSAGE WITH AIR BLEED - An engine assembly includes an engine structure, an air bleed valve and a hydraulically actuated engine component. The engine structure defines a first oil supply passage. The air bleed valve is in communication with the first oil supply passage and purges air from pressurized oil within the first oil supply passage. The hydraulically actuated engine component is in communication with the oil supply passage. | 11-14-2013 |
Robert Lionel Jacques, Troy, MI US
Patent application number | Description | Published |
---|---|---|
20110067387 | Thermally Efficient Exhaust Treatment System for an Internal Combustion Engine - An exhaust system for an engine comprises a cylinder head having an integral exhaust manifold to collect exhaust gas exiting the engine combustion chambers. An exhaust gas conduit in fluid communication with the exhaust manifold comprises an inlet end connected to the cylinder head, a portion that extends towards an upper end of the internal combustion engine and an outlet end configured to define an inlet end of a multistage catalytic converter. The catalytic converter comprises a canister having an inlet end located adjacent the top of the engine and includes first and second catalyst substrates and a collector closing an outlet end of the canister. The outlet end of the canister is located adjacent to the bottom of the engine, and the first and the second catalyst substrates receive and convert constituents of exhaust gas passing from the inlet end of the canister to the outlet end. | 03-24-2011 |
20110073054 | ENGINE ASSEMBLY HAVING CAMSHAFT WITH NON-MAGNETIC JOURNAL - An engine assembly may include an engine structure, a camshaft, a timing wheel and a camshaft position sensor. The camshaft may be rotationally supported within the engine structure and may have a non-magnetic journal rotationally fixed thereto. The timing wheel may be rotationally fixed to the camshaft proximate the non-magnetic journal. The camshaft position sensor may be coupled to the engine structure and configured to determine the rotational position of the camshaft based on a magnetic impulse from the timing wheel. | 03-31-2011 |
20110094466 | ENGINE ASSEMBLY INCLUDING CAM PHASER WITH DUAL LOCK POSITION - A cam phaser assembly may include a stator assembly, a rotor assembly, and a lock assembly. The stator assembly may be rotationally driven by an engine crankshaft. The rotor assembly may be engaged with the stator assembly and fixed for rotation with an engine camshaft. The rotor assembly may include a radially extending vane located within a recess of the stator assembly to define advance and retard chambers receiving pressurized fluid to rotationally displace the rotor assembly. The lock assembly may be engaged with the stator assembly and the rotor assembly during first and second operating conditions. The lock assembly may include a lock pin mechanically securing the rotor assembly in a rotationally advanced position relative to the stator assembly during the first operating condition and mechanically securing the rotor assembly in a rotationally retarded position relative to the stator assembly during the second operating condition. | 04-28-2011 |
20110162600 | CAMSHAFT PROFILE FOR REDUCING CYLINDER PRESSURES DURING ENGINE CRANKING - A camshaft assembly may include a shaft and a lobe member fixed for rotation with the shaft. The lobe member may include a lobe extending radially outward from a base circle. The lobe may include a valve closing profile defined between a peak of the lobe and an ending point of the lobe on the base circle. The valve closing profile may define a closing flank and a closing ramp extending from an end of the closing flank to the ending point. The closing ramp may have a radial extent from the base circle at the end of the closing flank that is less than ten percent of the radial extent of the peak from the base circle and may have a first angular extent of at least ten degrees. A second angular extent defined between the peak and the ending point may be at least eighty degrees. | 07-07-2011 |
20110224851 | CONTROL SYSTEM AND METHOD FOR OXYGEN SENSOR HEATER CONTROL IN A HYBRID ENGINE SYSTEM - A control system for a hybrid engine system includes a torque management module and an engine control module. The torque management module operates an electric machine of the hybrid engine system for a period prior to starting an engine of the hybrid engine system for a first time during a current run cycle of the hybrid engine system. The engine control module selectively activates a heater for an oxygen sensor of an exhaust system of the engine during the period. The engine control module may selectively activate the heater prior to the period when an ignition switch for the hybrid engine system moves from an off state into an on state. The engine control module may increase the temperature of the oxygen sensor to a predetermined temperature based on one of a thermal shock temperature and a sensitivity temperature of the oxygen sensor. A related method is also provided. | 09-15-2011 |
20110226206 | ENGINE HAVING VARIABLE LIFT VALVETRAIN - A rocker arm may include a first arm defining a first longitudinal bore and a second arm defining a second longitudinal bore. The rocker arm may house a locking assembly including a first lock pin located within the first longitudinal bore, an actuation pin extending through a radial passage in the rocker arm and engaged with the first lock pin, and a first biasing member engaged with the first lock pin and urging the first lock pin toward the actuation pin and biasing the actuation pin radially inward. An actuation assembly may be engaged with the actuation pin and linearly displaceable between first and second actuation positions. The first and second arms may be rotatable relative to one another when the actuation member is in the first actuation position and may be fixed for rotation with one another when the actuation member is in the second actuation position. | 09-22-2011 |
20110226207 | ENGINE HAVING VARIABLE LIFT VALVETRAIN - A rocker arm may include a first arm defining a first longitudinal bore and a second arm defining a second longitudinal bore. The rocker arm may house a locking assembly including a first actuation pin extending through a first radial passage in the rocker arm, a second actuation pin extending through a second radial passage in the rocker arm, and a first lock pin located in the first longitudinal bore between the first and second actuation pins. An actuation assembly may be engaged with the first and second actuation pins and may be linearly displaceable between first and second actuation positions. The first and second arms may be rotatable relative to one another when the actuation assembly is in the first actuation position and may be fixed for rotation with one another when the actuation assembly is in the second actuation position. | 09-22-2011 |
20110277720 | COMPACT SECOND ORDER BALANCE SHAFT ARRANGEMENT WITH LOW INERTIA DRIVEN SHAFT - A balancer assembly for an engine includes a first balance shaft and a second balance shaft parallel with and laterally spaced from the first balance shaft. The first balance shaft includes a first counterweight portion and a second counterweight portion axially spaced from each other, with each defining a first radius. The second balance shaft includes a central counterweight defining a second radius. The central counterweight is axially disposed between the first counterweight portion and the second counterweight portion to allow a radial overlap with the first counterweight portion and the second counterweight portion, with the first radius being greater than the second radius. | 11-17-2011 |
20110280745 | ENGINE ASSEMBLY INCLUDING CAMSHAFT WITH INTEGRATED PUMP - A camshaft assembly may include a shaft and a mechanical vacuum pump. The shaft may define first and second ends and include first and second lobes and first and second bearing support structures distributed between the first and second end. The shaft may define a first vane opening. The mechanical pump may be coupled to the shaft between the first and second ends. The mechanical vacuum pump may include a cover, a housing coupled to the cover to define a cavity therebetween and a vane. The cover may define a cover opening that rotatably receives the shaft. The housing may define a housing opening that rotatably receives the shaft. The vane may be arranged within the cavity and slidably received within the first vane opening such that the vane rotates with the shaft. | 11-17-2011 |
20110280746 | MECHANICAL VACUUM PUMP INTEGRATED WITH COUPLED SECONDARY AIR INJECTION VALVE - A mechanical vacuum pump for an engine includes a flange configured for attachment to a cylinder head of the engine. The flange defines a bore, which is configured for interconnecting an injection air inlet in the cylinder head with an injection air outlet of a secondary air injection valve. The secondary air injection valve is directly mounted to the mechanical vacuum pump, thereby indirectly mounting the secondary air injection valve to the cylinder head of the engine, with the bore communicating fresh air from the secondary air injection valve to the cylinder head. | 11-17-2011 |
20120209460 | METHOD AND SYSTEM FOR OIL LIFE MONITORING - A method and system of determining a maximum oil change limit for an engine considering the engine operating environment and location is provided. An engine location indicator is generated in response to a trigger, using a locating device in communication with a controller. The locating device may include a global positioning system (GPS) receiver. The engine may be an internal combustion engine on a vehicle configured as one of a hybrid vehicle and an extended range electric vehicle. The trigger may be one of a calendar time, a key-on event, an oil change event, and a signal provided by the controller. The controller may generate the maximum oil change limit of the engine using one or more oil change indices, each defined by the engine location and/or time in location. The maximum oil change limit and/or an oil change limit alert may be provided as an output of the controller. | 08-16-2012 |
20120260879 | ENGINE ASSEMBLY INCLUDING INTAKE MANIFOLD ASSEMBLY - An engine assembly includes an engine structure and an intake manifold assembly coupled to the engine structure. The engine structure defines first and second banks of cylinders. The intake manifold assembly includes first and second plenums and first and second sets of runners. The first and second plenums are located laterally between the first and second banks of cylinders. The second plenum is located laterally between the first plenum and the second bank of cylinders at first and second longitudinal ends of the intake manifold assembly and laterally between the first plenum and the first bank of cylinders at a medial region of the intake manifold assembly. | 10-18-2012 |
20120266829 | COOLING SYSTEM FOR AN INTERNAL COMBUSTION ENGINE - A cooling system for an internal combustion engine comprises a tubular conduit configured to conduct coolant to and from various components of the internal combustion engine and to remove excess heat therefrom. A shield portion is fluidly coupled inline of the tubular conduit and is disposed between a heat source and a cooler operating component. The expanded portion further comprises a cooling body, an inlet in fluid communication with the tubular conduit and configured to conduct coolant into the cooling body and an outlet in fluid communication with the tubular conduit and is configured to conduct coolant out of the cooling body. | 10-25-2012 |
20130019593 | SECONDARY AIR INJECTION SYSTEM AND METHOD - According to one embodiment of the invention, an internal combustion engine includes a first exhaust port in a cylinder head of the internal combustion engine, a first one way valve coupled to a secondary air system, the first one way valve configured to restrict fluid communication from the first exhaust port to the secondary air system. The engine also includes a second exhaust port in the cylinder head and a second one way valve coupled to the secondary air system, the second one way valve configured to restrict fluid communication from the second exhaust port to the secondary air system, wherein the first and second exhaust ports are in fluid communication with a turbocharger. | 01-24-2013 |
20130192547 | CONTROL OF ENGINE WITH ACTIVE FUEL MANAGEMENT - An engine includes a fluid pump configured to pressurize oil and a cylinder configured to combust a mixture of fuel and air therein. The engine also includes a valve arrangement configured to deliver air or fuel and air mixture to and exhaust post-combustion gases from the cylinder. The engine additionally includes fluidly connected first and second switching mechanisms, and an oil gallery fluidly connecting the fluid pump and the second switching mechanism. The engine additionally includes an oil squirter in fluid communication with the second switching mechanism and configured to spray the pressurized oil into the cylinder. The second switching mechanism is operated by the pressurized oil to selectively activate and deactivate operation of the valve arrangement. Moreover, the first switching mechanism is configured to alternately direct the pressurized oil to the second switching mechanism to deactivate the operation of the valve arrangement and to feed the oil squirter. | 08-01-2013 |
20140137824 | ENGINE ASSEMBLY WITH PHASING MECHANISM ON ECCENTRIC SHAFT FOR VARIABLE CYCLE ENGINE - An engine assembly includes a crankshaft having a first axis of rotation and a crankpin offset from the first axis of rotation. A second shaft is offset from the crankshaft and has a second axis of rotation. A piston is movable in a cylinder between a top dead center position and a bottom dead center position to drive the crankshaft. A connecting rod extends from the piston. A four jointed linkage assembly pivotably connects the connecting rod, crankshaft, and second shaft. A drive system operatively connects the crankshaft and the second shaft to drive the second shaft via the crankshaft. A phasing mechanism connects the drive system and the second shaft and is controllable to vary the rotational position of the second shaft relative to the crankshaft. | 05-22-2014 |
20140261281 | Dry Sump Scavenge Pump System With Balance Shaft Capability For Application To Flat Plane V8 Engines - An internal combustion engine includes a balance shaft assembly having a housing mounted to the cylinder block wherein the housing supports a pair of balance shafts rotatably driven by the crankshaft. The pair of balance shafts rotate in opposite directions and each include a hollow tubular body with an internal eccentric mass. A first of the pair of balance shafts is drivingly connected to a drive sprocket at a first end and can include a first drive gear at a second end that is meshingly engaged with a first driven gear at an end of a second of the pair of balance shafts. A first oil pump is provided at the first end of the pair of balance shaft, and a second oil pump is provided at a second end of the pair of balance shafts. The gears of the oil pumps transfer torque between the pair of balance shafts. | 09-18-2014 |
20150292451 | ENGINE INTAKE WITH SUMP HAVING A HEAT SOURCE - A number of variations may include a product including an intake system to provide air to an engine, the intake system including an inlet manifold to provide an air flow pathway to an inlet port; wherein the inlet manifold includes a false floor at least partially defining a plenum, the plenum at least partially separated from a sump, the sump at least partially defined in a lower portion of the inlet manifold; and wherein the sump includes a heat source. | 10-15-2015 |
Robert St. Jacques, Fairport, NY US
Patent application number | Description | Published |
---|---|---|
20080198399 | System for maintaining a cache of printer-readable prioritized content - A system for prioritizing a cache of print jobs associated with at least one print driver includes a workstation having a processing unit and at least one print driver having a processing unit, that interface via a network. The workstation implements a print job request for a document and determines whether a document key identifier associated with the document exists. If not, a key is created. If a printer-readable format for a document associated with the key identifier is stored in or is pre-existing in one of at least two caches, the print driver assigns a prioritization identifier to the format. The system retrieves the printer-readable format from the cache to produce a print job output. Since the cache stores the document in printer-readable format, overall printing time is reduced. The format may be moved from one cache to another depending upon priority. The corresponding method is also disclosed. | 08-21-2008 |
Ryan C. Jacques, Helena, MT US
Patent application number | Description | Published |
---|---|---|
20150286556 | Software Test Automation System and Method - The present disclosure provides methods, systems, and apparatuses for testing software. The method may comprise associating a plurality of controls on a software application screen with testing actions to be performed on the controls, thereby creating a plurality of test steps, and then generating a test component comprised of the plurality of test steps. The method may then comprise comparing the generated test component to a second test component that is associated with a previous version of the software application screen, and displaying, on a user interface, a graphical representation of one or more differences between the generated test component and the second test component. | 10-08-2015 |
Shiela Jacques, San Mateo, CA US
Patent application number | Description | Published |
---|---|---|
20140373182 | SYSTEMS AND METHODS OF AUTOMATED COMPLIANCE WITH DATA PRIVACY LAWS - The technology disclosed relates to automated compliance with data privacy laws of varying jurisdictions. In particular, it relates to constructing trust filters that automatically restrict collection, use, processing, transfer, or consumption of any person-related data that do not meet the data privacy regulations of the applicable jurisdictions. The trust filters are constructed dependent on associating person-related data entities with trust objects that track person-related data sources. | 12-18-2014 |
Steve Jacques, Portland, OR US
Patent application number | Description | Published |
---|---|---|
20120170828 | AUTOMATED DETECTION OF MELANOMA - Embodiments herein exploit the optical sectioning capability of reflectance confocal microscopy to non-invasively survey the dermal-epidermal junction (DEJ), noting the irregularities associated with malignancy. Methods are provided to aid a clinician in diagnosing melanoma through pattern recognition to extract pertinent diagnostic information from large 3D confocal images. Identifying the combination of pagetoid melanocytes and DEJ breakdown increases the accuracy of detection. A method may be used to process a 3D confocal volume of images taken by a clinician of a suspicious lesion and deduce the depth location z of the first reflective surface (FRS) at each x-y position. This FRS is where the most superficial melanin resides. In this manner, the stratum corneum and epidermis are digitally stripped and no longer distract the clinician from the more diagnostically relevant pigmented cell network. The FRS is putatively either the DEJ for benign nevi or the depth of a pagetoid melanocyte at x,y above the DEJ. Thus by creating a 3D surface plot of the FRS for each lateral x,y point, the presence of pagetoid cells is identified by a discreet jump in the FRS above the level of the DEJ. The DEJ map may also be used to determine if breakdown of the DEJ is occurring. | 07-05-2012 |
20130079607 | FIBEROPTIC PROBE FOR MEASURING TISSUE OXYGENATION AND METHOD FOR USING SAME - Embodiments herein relate to the field of medical monitoring, and, more specifically, to a fiberoptic probe for monitoring tissue oxygenation and a method for using such a probe. A non-invasive method of measuring tissue oxygenation includes, in some embodiments, illuminating a tissue surface with a first fiberoptic fiber, receiving light from the tissue surface with a second fiberoptic fiber, measuring the absorption spectra of oxy- and deoxy-hemoglobin in the light, and calculating a tissue oxygenation value based on the absorption spectra. | 03-28-2013 |
Steven Jacques, Westford, MA US
Patent application number | Description | Published |
---|---|---|
20150025476 | Method and Systems for Providing Fluid Communication with a Gastrostomy Tube - A low profile adaptor is disclosed to reduce the length of a gastrostomy tube that has been inserted by means of conventional endoscopic procedures or with a replacement feeding tube inserted into the patient's stomach. The gastrostomy tube is cut to the appropriate length by the physician. The adaptor comprises a stem which is inserted into the open end of the gastrostomy tube. The valve assembly contains a seal that functions as a one-way valve to prevent reflux of gastric contents but permits the introduction of feeding solution into the feeding tube. A lock and key mechanism is incorporated into the hub of the gastrostomy tube to prevent disconnection of the feeding tube. A cover is placed over the opening of the adapter to prevent contamination of the lumen of the gastrostomy tube. | 01-22-2015 |
Steven L. Jacques, Westford, MA US
Patent application number | Description | Published |
---|---|---|
20080262529 | Gastric Balloon Devices and Methods of Use - A gastric balloon devices and systems ( | 10-23-2008 |
20090112183 | MEDICAL DEVICES AND METHODS OF USE - A medical device for creating a fistula in a patient has a catheter including a first lumen and a second lumen for forming a fistula inside a body organ of a patient is disclosed. The second lumen may be capable of fluid communication with an internal bolster, wherein the internal bolster is attachable to the catheter near the distal end and is at least partially filled with a liquid. An external bolster may be adjustably attachable to the catheter outside the patient. Methods of use of medical devices according to the present invention are also disclosed. In one aspect of a method of use, at least a portion of the liquid within an internal bolster may be removed. Such a process may substantially collapse the internal bolster for removal of the medical device. | 04-30-2009 |
20100176535 | LOW PROFILE SHORT TAPERED TIP CATHETER - A low profile, short, tapered distal tip catheter and methods for its manufacture are provided. The catheter tip is configured to have a taper over a relatively short length resulting in a low profile that is useful when navigating the catheter tip into tight passages such as the Papilla of Vater. The configuration of the tip and process for making it can be employed in any medical catheter but are found to be most useful in a multilumen papillotome catheter used in biliary procedures. | 07-15-2010 |
Thomas Edward Jacques, Long Island City, NY US
Patent application number | Description | Published |
---|---|---|
20150051978 | SYSTEM AND METHOD FOR OPTIMIZING PROMOTION OF A SOCIAL EVENT IN A NETWORK ENVIRONMENT - A method is provided in one example embodiment and includes determining a score for at least one parameter associated with an event and a user and applying to the score a filter associated with the at least one parameter to determine whether the score falls within a range defined by the filter. The method also includes displaying the event to the user if the score falls within the range defined by the filter and hiding the event from the user if the score falls outside of the range defined by the filter. | 02-19-2015 |
20150067070 | SYSTEM AND METHOD FOR PERFORMING GENDER BALANCING OF AN EVENT USING NOTIFICATIONS IN A NETWORK ENVIRONMENT - A method is provided in one example embodiment and includes determining a first number of users who have indicated an intention to attend an event; determining what fraction of the first number of users is a particular gender; and using the determined fraction and an ideal fraction of the particular gender for the event to determine an additional number of males or an additional number of females to be invited to attend the event. | 03-05-2015 |
Veonous M. Jacques, Philadelphia, PA US
Vincent Jacques, Somerville, MA US
Patent application number | Description | Published |
---|---|---|
20100197723 | BICYCLIC COMPOUNDS AND METHODS OF MAKING AND USING SAME - Disclosed herein are compounds that may be modulators of 5-HT receptors, and methods of making and using same. | 08-05-2010 |
20110237529 | Adenosine Analogs and Their Use - The invention provides adenosine analog compounds that act at P2Y receptors, e.g., the P2Y | 09-29-2011 |
20120088793 | Bicyclic Compounds and Methods of Making and Using Same - Disclosed herein are compounds that may be modulators of 5-HT receptors, and methods of making and using same. | 04-12-2012 |
20120114557 | Methods for Collagen Imaging - Diagnostic compositions and methods for imaging and/or assessing collagen are described. The diagnostic compositions can include collagen binding peptides. | 05-10-2012 |
20130317003 | Histone Deacetylase Inhibitors - This invention relates to generally inhibiting histone deacetylase (“HDAC”) enzymes (e.g., HDAC1, HDAC2, and HDAC3). | 11-28-2013 |
20140038912 | ADENOSINE ANALOGS AND THEIR USE - Provided are adenosine analog compounds of the general formula that act as P2Y receptors, e.g., the P2Y | 02-06-2014 |
20140051680 | Histone Deacetylase Inhibitors - This invention relates to generally inhibiting histone deacetylase (HDAC) enzymes (e.g., HDAC1, HDAC2, and HDAC3). | 02-20-2014 |
20150158884 | HISTONE DEACETYLASE INHIBITORS - This invention relates to generally inhibiting histone deacetylase (“HDAC”) enzymes (e.g., HDAC1, HDAC2, and HDAC3). | 06-11-2015 |
20160031823 | HDAC INHIBITORS - Disclosed herein are compounds of formula (I), and methods of inhibiting histone deacetylase (“HDAC”) enzymes (e.g., HDAC1, HDAC2, and HDAC3) using compounds of formula (I). | 02-04-2016 |
Walter Jacques, Smithsburg, MD US
Patent application number | Description | Published |
---|---|---|
20150192050 | APPARATUS AND METHOD OF OPERATING AN INJECTOR FOR AN EXHAUST GAS AFTERTREATMENT APPARATUS - A method for operating an exhaust aftertreatment system injector to prevent coking includes steps of injecting fuel for heating the aftertreatment devices, when not injecting fuel, flowing air to purge and cool the nozzle to prevent carbon deposits when exhaust gas temperature is low, and substantially stop air flow to allow passive heating of the nozzle by the exhaust for oxidation of any accumulated carbon when exhaust temperature is high enough to support oxidation. Preferably, the nozzle has a catalytic material coating to reduce the temperature necessary for oxidation of the coking material. | 07-09-2015 |
William L. Jacques, Mount Pleasant, SC US
Patent application number | Description | Published |
---|---|---|
20110037597 | BODY POSITION MONITORING SYSTEM - An apparatus is provided for detecting the presence of an obstruction with respect to a height-adjustable bed. The apparatus includes one or more sensors coupled to the bed. At least one of the sensors has an output signal which is variable in response to changes in a weight applied to the support surface. The apparatus also includes a controller having inputs configured to receive the output signals from the sensor or sensors. The controller is configured to monitor the output signals, and turn on a room light if a change in position of a body relative to a support surface of the bed is detected. | 02-17-2011 |
20110234408 | BODY POSITION MONITORING SYSTEM - A bed includes a frame, a controller coupled to the frame, and a patient position detection system coupled to the frame and coupled to the controller. The patient position detection system has at least three modes of operation of varying sensitivities for determining whether a person supported on the frame has moved by a sufficient amount to activate an alarm. | 09-29-2011 |
20120086575 | HOSPITAL BED HAVING ALERT LIGHT - A bed includes a frame, a controller coupled to the frame, and a patient position detection system coupled to the frame and coupled to the controller. The patient position detection system has at least three modes of operation of varying sensitivities for determining whether a person supported on the frame has moved by a sufficient amount to activate an alarm. | 04-12-2012 |
20120291200 | HOSPITAL BED HAVING ALERT LIGHT - A bed includes a frame, a controller coupled to the frame, and a patient position detection system coupled to the frame and coupled to the controller. The patient position detection system has multiple modes of operation of varying sensitivities for determining whether a person supported on the frame has moved by a sufficient amount to activate an alarm. The frame includes a plurality of barriers. An alert light is coupled to one of the barriers. | 11-22-2012 |
20130300558 | SYSTEM FOR MONITORING CAREGIVERS AND EQUIPMENT - A hospital monitoring system for monitoring hospital personnel, a plurality of patient locations for patients, and associated devices is configured to control the associated devices based on the presence of hospital personnel or alarms. | 11-14-2013 |
20140320290 | System for Monitoring Caregivers and Equipment - A hospital monitoring system for monitoring hospital personnel, a plurality of patient locations for patients, and associated devices is configured to control the associated devices based on the presence of hospital personnel or alarms. | 10-30-2014 |