Entries |
Document | Title | Date |
20080207008 | Microwave hybrid and plasma rapid thermal processing of semiconductor wafers - Microwave energy is used as a radiation source for rapid thermal processing of semiconductor wafers. In one aspect, a hybrid material formed from a microwave modulator material is used to provide temperature uniformity across the wafer and to avoid cracking or breaking of wafers due to the development of thermal stresses. In another aspect, microwave-generated atmospheric pressure plasma is used to heat the wafer either directly or indirectly. | 08-28-2008 |
20080214020 | MANUFACTURING METHOD OF SEMICONDUCTOR DEVICE SUBJECTED TO HEAT TREATMENT BY USE OF OPTICAL HEATING APPARATUS - An auxiliary heating process is performed to set the temperature of the outer peripheral portion of a semiconductor substrate higher than that of the central portion thereof by use of an auxiliary heating source which supplementally heats a region of an area smaller than the area of the main surface of the semiconductor substrate from the rear surface of the main surface thereof, pulse-like flash lamp light or laser light is applied in the auxiliary heated state and the heat treatment is performed by use of the applied energy. The flash lamp light is applied to the main surface of the semiconductor substrate in a pulse form of 0.1 ms to 100 ms. | 09-04-2008 |
20080220619 | METHOD FOR INCREASING MECHANICAL STRENGTH OF DIELECTRIC FILM BY USING SEQUENTIAL COMBINATION OF TWO TYPES OF UV IRRADIATION - A method for increasing mechanical strength of a dielectric film includes: providing an initial dielectric film containing porogen; irradiating the initial dielectric film with first UV light having a first wavelength which is substantially or nearly similar to a maximum light absorption wavelength of the porogen for removing the porogen; and then irradiating the porogen-removed dielectric film with second UV light having a second wavelength which is shorter than the first wavelength, thereby increasing mechanical strength of the dielectric film. | 09-11-2008 |
20080220620 | METHOD OF MANUFACTURING SILICON CARBIDE SEMICONDUCTOR DEVICE - A method of manufacturing a silicon carbide semiconductor device includes forming a trench for a MOS gate in an SiC substrate by dry etching. Thereafter, the substrate with the trench is heat treated. The heat treatment includes heating the substrate in an Ar gas atmosphere or in a mixed gas atmosphere containing SiH | 09-11-2008 |
20080220621 | SUBSTRATE TREATMENT APPARATUS AND SUBSTRATE TREATMENT METHOD - A substrate treatment apparatus that treats a substrate under treatment has an interface section, a substrate loading/unloading section, a reduced pressure atmosphere conveyance chamber, and an exposure treatment chamber. The interface section has a conveyance mechanism that can freely load and unload the substrate under treatment from another device into the apparatus or vice versa. The substrate under treatment can be loaded and unloaded into and from the substrate loading/unloading section in one direction by the conveyance mechanism of the interface section. The reduced pressure atmosphere conveyance chamber is disposed adjacent to and perpendicular to the direction of the substrate loading/unloading section and has a conveyance mechanism that conveys the substrate under treatment under a reduced pressure atmosphere. The exposure treatment chamber is disposed adjacent to and in parallel with the direction of the reduced pressure atmosphere conveyance chamber and performs an exposure treatment for the substrate under treatment. | 09-11-2008 |
20080233766 | ASHING METHOD AND APPARATUS THEREFOR - An ashing method of a target substrate is applied after plasma-etching a part of a low-k film by using a patterned resist film as a mask in a vacuum processing chamber. The method includes a process of removing the resist film in the vacuum processing chamber, and a pre-ashing process, performed prior to the main ashing process, for ashing the target substrate for a time period while maintaining the target substrate at a temperature in a range of from about 80 to 150° C. | 09-25-2008 |
20080242117 | APPARATUS TO REDUCE WAFER EDGE TEMPERATURE AND BREAKAGE OF WAFERS - In some embodiments radiation incident on a wafer is provided to perform an annealing process, and the wafer is cooled at an edge portion to reduce temperature and stress on the wafer. Other embodiments are described and claimed. | 10-02-2008 |
20080254645 | LIGHT IRRADIATION APPARATUS, CRYSTALLIZATION APPARATUS, CRYSTALLIZATION METHOD, AND DEVICE - A light irradiation apparatus includes a light modulation element which has a step line of a phase step having a phase difference different from 180 degrees, and modulates a phase of incident light. An illumination optical system illuminates the modulation element with illumination light inclined in a direction perpendicular to the step line. An image forming optical system forms a light intensity distribution on a crystallized plane, based on the light subjected to phase modulation. The illumination optical system simultaneously illuminates the modulation element with first light which illuminates the modulation element along a first direction extending from a phase advance side toward a phase retardation side of the phase step and second light which illuminates the modulation element along a second direction extending from the phase retardation side toward the phase advance side, and has a light intensity setting mechanism which sets different light intensities of the first and second lights. | 10-16-2008 |
20080268658 | Photo-assisted hydrogenation process - Apparatus and method are provided for hydrogenating semiconductor or other materials by ultraviolet (UV) radiation in the presence of hydrogen. Hydrogen uptake may be optimized by selection of temperature and wavelength of the UV radiation. Patterned areas may be selectively hydrogenated, such as mesas in Avalanche Photodiode Arrays. | 10-30-2008 |
20080268659 | HIGH TEMPERATURE METHODS FOR ENHANCING RETENTION CHARACTERISTICS OF MEMORY DEVICES - Methods are described for improving the retention of a memory device by execution of a retention improvement procedure. The retention improvement procedure comprises a baking process of the memory device in a high temperature environment, a verifying process of the memory device that checks the logic state of memory cells, and a reprogramming process to program the memory device once again by programming memory cells in a 0-state to a high-Vt state. The baking step of placing the memory device in a high temperature environment causes a charge loss by expelling shallow trapped charges, resulting in the improvement of retention reliability. | 10-30-2008 |
20080268660 | METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE - A method of manufacturing a semiconductor device that involves a heat treatment of a semiconductor substrate, has removing a superficial layer from an upper surface of an edge part of said semiconductor substrate, a bevel surface of the edge part of said semiconductor substrate and a side surface of the edge part of said semiconductor substrate; and conducting the heat treatment of said semiconductor substrate by irradiating said semiconductor substrate with light having a pulse width of 0.1 milliseconds to 100 milliseconds from a light source after said superficial layer is removed. | 10-30-2008 |
20080280458 | IRRADIATING APPARATUS, SEMICONDUCTOR DEVICE MANUFACTURING APPARATUS, SEMICONDUCTOR DEVICE MANUFACTURING METHOD, AND DISPLAY DEVICE MANUFACTURING METHOD - An irradiating apparatus for irradiating an irradiation object with beam light emitted from a semiconductor laser, wherein letting w be a radius of a beam for irradiating the irradiation object, Δ be a rate of individual difference in angle of divergence of the semiconductor laser, and λ be beam wavelength of the semiconductor laser, a focal position of an irradiating optical system interposed between the semiconductor laser and the irradiation object is defocused such that a distance z between the focal position and the irradiation object is | 11-13-2008 |
20080293258 | CRYSTALLIZATION APPARATUS AND CRYSTALLIZATION METHOD - A crystallization apparatus is provided. The crystallization apparatus includes a visible light source capable of obtaining high energy density output therein. A visible light irradiation system is formed by a plurality of visible laser beam sources arranged in a two-dimensional array. The visible light irradiation system includes a light intensity distribution forming apparatus for patterning light intensity distribution of a plurality of visible laser beams emitted by each visible laser beam source, and an imaging optical system for imaging the light having the light intensity distribution patterned by the light intensity distribution forming apparatus onto an irradiated region on the processed substrate. The visible laser beams emitted by a plurality of solid lasers or semiconductor lasers are overlapped in the light intensity distribution forming apparatus that satisfies an imaging position relationship in an optical axis with respect to the processed substrate. | 11-27-2008 |
20080293259 | METHOD OF FORMING METAL/HIGH-k GATE STACKS WITH HIGH MOBILITY - The present invention provides a gate stack structure that has high mobilities and low interfacial charges as well as semiconductor devices, i.e., metal oxide semiconductor field effect transistors (MOSFETs) that include the same. In the semiconductor devices, the gate stack structure of the present invention is located between the substrate and an overlaying gate conductor. The present invention also provides a method of fabricating the inventive gate stack structure in which a high temperature annealing process (on the order of about 800° C.) is employed. The high temperature anneal used in the present invention provides a gate stack structure that has an interface state density, as measured by charge pumping, of about 8×10 | 11-27-2008 |
20080299783 | SYSTEMS AND METHODS FOR PROCESSING SEMICONDUCTOR STRUCTURES USING LASER PULSES LATERALLY DISTRIBUTED IN A SCANNING WINDOW - Systems and methods process structures on or within a semiconductor substrate using a series of laser pulses. In one embodiment, a deflector is configured to selectively deflect the laser pulses within a processing window. The processing window is scanned over the semiconductor substrate such that a plurality of laterally spaced rows of structures simultaneously pass through the processing window. As the processing window is scanned, the deflector selectively deflects the series of laser pulses among the laterally spaced rows within the processing window. Thus, multiple rows of structures may be processed in a single scan. | 12-04-2008 |
20080311761 | Method for the Thermal Treatment of Disk-Shaped Substrates - Disclosed is an apparatus and a method for reducing flash in an injection mold ( | 12-18-2008 |
20080311762 | Semiconductor device surface roughness reduction - Methods and apparatus relating to surface roughness reduction are described. In one embodiment, a particle beam may be directed onto the surface roughness of a semiconductor device to reduce the roughness. Other embodiments are also disclosed. | 12-18-2008 |
20090011613 | Method for producing annealed wafer and annealed wafer - The present invention is a method for producing an annealed wafer, wherein, at least, when a boat in which a semiconductor wafer is placed is inserted into a furnace tube, the boat is inserted along with introducing an inert gas into the furnace, so that entirety of the semiconductor wafer to be a product reaches a thermally uniform portion, then an insertion rate of the boat in which the semiconductor wafer is placed is decelerated and/or suspended, so that an interval between the furnace tube and the shutter is maintained for a predetermined time, and then the furnace tube is blocked in with the shutter. Thereby, there can be provided a method for producing an annealed wafer by which during the heat treatment, it can be more certainly prevented that the wafer is contaminated with conductive impurities and that thereby resistivity of the wafer is changed before and after the heat treatment. | 01-08-2009 |
20090011614 | RECONFIGURABLE SEMICONDUCTOR STRUCTURE PROCESSING USING MULTIPLE LASER BEAM SPOTS - Methods and systems selectively irradiate structures on or within a semiconductor wafer using multiple laser beams. The structures may be laser-severable conductive links, and the purpose of the irradiation may be to sever selected links. The structures are arranged in rows and may be processed in either an on-axis mode or a cross-axis mode. In the on-axis mode, the beam spots fall on structures in the same row as they move along the row. In the cross-axis mode, the beam spots fall on structures in different rows as they move along the rows. | 01-08-2009 |
20090017641 | Substrate processing apparatus and semiconductor device producing method - Disclosed is a substrate processing apparatus, including: a chamber, made of a metal, to form a processing space for processing a substrate; at least one rod-like heating body to heat the substrate; and a tube body, made of a material different from that of the chamber, to accommodate the heating body therein, wherein an outer diameter of the tube body on a processing space side in a penetrating portion where the tube body penetrates a wall of the chamber is set to be smaller than an outer diameter of the tube body on an outer side of the chamber in the penetrating portion. | 01-15-2009 |
20090017642 | LASER CRYSTALLIZATION APPARATUS AND LASER CRYSTALLIZATION METHOD - A laser crystallization apparatus which capable of correcting both shift in imaging position caused by thermal lens effect of the imaging optical system and shift due to flatness of the substrate comprises an crystallization optical system which irradiates laser light to a thin film disposed on the substrate to melt and crystallize an irradiated region of the thin film, the apparatus comprises a measurement light source which is disposed outside a light path of the laser light, and which emits measurement light being illuminated the irradiated region of the thin film, and a substrate height correction system which illuminates the thin film with the measurement light through an imaging optical system in the crystallization optical system, and which detects the reflected measurement light from the thin film. | 01-15-2009 |
20090081887 | Heat treatment method and heat treatment apparatus - The number of substrates held by a substrate holder is increased compared with conventional techniques while uniformity of a heat treatment is ensured. The substrate holder holds a plurality of substrates at predetermined vertical intervals. The substrate holder is carried into a heat treating furnace. A predetermined heat treatment is performed on the substrates. The substrate holder has two holder constituting bodies. Each of the holder constituting bodies has a plurality of columns and substrate holding sections. The columns are arranged on the circumference of the same imaginary circle. The substrate holding sections hold circumferential portions of the respective substrates. One of the holder constituting bodies holds the substrates under the condition that front surfaces of the substrates face upward, while the other of the holder constituting bodies holds the substrates under the condition that back surfaces of the substrates face upward. The substrate with the front surface facing upward and the substrate with the back surface facing upward are alternately arranged in a vertical direction. At least one of the holder constituting bodies moves in the vertical direction to change the positions of the holder constituting bodies relative to each other. A distance between one of a first pair of substrates that are vertically adjacent to each other and have the respective front surfaces facing each other and the other of the first pair of substrates is set to ensure uniformity of the treatment and larger than a distance between one of a second pair of substrates that are vertically adjacent to each other and have the respective back surfaces facing each other and the other of the second pair of substrates. | 03-26-2009 |
20090098742 | System and Process for Heating Semiconductor Wafers by Optimizing Absorption of Electromagnetic Energy - An apparatus for heat treating semiconductor wafers is disclosed. The apparatus includes a heating device which contains an assembly linear lamps for emitting light energy onto a wafer. The linear lamps can be placed in various configurations. In accordance with the present invention, tuning devices which are used to adjust the overall irradiance distribution of the light energy sources are included in the heating device. The tuning devices can be, for instance, are lamps or lasers. | 04-16-2009 |
20090111285 | Substrate treatment apparatus, method for manufacturing substrate, and method for manufacturing semiconductor device - [Problem] To provide a substrate treatment apparatus capable of performing temperature control in a reaction tube with accuracy. | 04-30-2009 |
20090117752 | Semiconductor device manufacturing method and substrate processing apparatus - A high quality interface is formed at a low oxygen-carbon density between a substrate and a thin film while preventing heat damage on the substrate and increase of thermal budget. This method includes a step of loading a wafer into a reaction furnace, a step of pretreating the wafer in the reaction furnace, a step of performing a main processing of the pretreated wafer in the reaction furnace, and a step of unloading the wafer from the reaction furnace after the main processing. Hydrogen gas is continuously supplied to the reaction furnace in the period from the end of the pretreating step to the start of the main processing and at least during vacuum-exhausting an interior of the reaction furnace. | 05-07-2009 |
20090130863 | Method and system for forming an air gap structure - A method for forming an air gap structure on a substrate is described. The method comprises forming a sacrificial layer on a substrate, wherein the sacrificial layer comprises a decomposable material that thermally decomposes at a thermal decomposition temperature above approximately 350 degrees C. Thereafter, a cap layer is formed on the sacrificial layer at a substrate temperature less than the thermal decomposition temperature of the sacrificial layer. The sacrificial layer is decomposed by performing a first exposure of the substrate to ultraviolet (UV) radiation and heating the substrate to a first temperature less than the thermal decomposition temperature of the sacrificial layer, and the decomposed sacrificial layer is removed through the cap layer. The cap layer is cured to cross-link the cap layer by performing a second exposure of the substrate to UV radiation and heating the substrate to a second temperature greater than the first temperature. | 05-21-2009 |
20090130864 | SYSTEMS AND METHODS FOR FLASH ANNEALING OF SEMICONDUCTOR DEVICES - An embodiment generally relates a method of processing semiconductor devices. The method includes forming a semiconductor device and exposing the semiconductor device to a temperature substantially between 1175 to 1375 degrees Celsius after the formation of a gate dielectric layer. The method also includes annealing the semiconductor device for a period of time. | 05-21-2009 |
20090130865 | METHOD OF PATTERNING A LAYER USING A PELLICLE - A method for patterning a layer on a semiconductor substrate includes forming a layer of a semiconductor substrate and exposing the layer to light. The light travels through a second pellicle that is manufactured by a method that includes determining a first transmission of a first light through a first pellicle, wherein the first light is normal to the first pellicle, determining a second transmission of a second light through the first pellicle, wherein the second light is not normal to the first pellicle, and modifying the first pellicle to form a second pellicle using the first and second transmission. | 05-21-2009 |
20090142936 | METHOD OF FORMING GATED, SELF-ALIGNED MICRO-STRUCTURES AND NANO-STRUCTURES - Methods of forming a gated, self-aligned nano-structures for electron extraction are disclosed. One method of forming the nano-structure comprises irradiating a first surface of a thermally conductive laminate to melt an area across the first surface of the laminate. The laminate comprises a thermally conductive film and a patterned layer disposed on the first surface of the film. The patterned layer has a pattern formed therethrough, defining the area for melting. The film is insulated at a second surface thereof to provide two-dimensional heat transfer laterally in plane of the film. The liquid density of the film is greater than the solid density thereof. The method further comprises cooling the area inwardly from the periphery thereof to form the nano-structure having an apical nano-tip for electron emission centered in an electrically isolated aperture that serves as a gate electrode to control electron extraction in a gated field emitter device. | 06-04-2009 |
20090156018 | Laser mask and crystallization method using the same - A crystallization method includes providing a substrate having a silicon thin film; positioning a laser mask having first to fourth blocks on the substrate, each block having a periodic pattern including a plurality of transmitting regions and a blocking region; and crystallizing the silicon thin film by irradiating a laser beam through the laser mask. A polycrystalline silicon film crystallized by this method is substantially free from a shot mark, and has uniform crystalline characteristics. | 06-18-2009 |
20090163042 | THERMAL REACTOR WITH IMPROVED GAS FLOW DISTRIBUTION - Embodiments of the present invention provide apparatus and method for improving gas distribution during thermal processing. One embodiment of the present invention provides an apparatus for processing a substrate comprising a chamber body defining a processing volume, a substrate support disposed in the processing volume, wherein the substrate support is configured to support and rotate the substrate, a gas inlet assembly coupled to an inlet of the chamber body and configured to provide a first gas flow to the processing volume, and an exhaust assembly coupled to an outlet of the chamber body, wherein the gas inlet assembly and the exhaust assembly are disposed on opposite sides of the chamber body, and the exhaust assembly defines an exhaust volume configured to extend the processing volume. | 06-25-2009 |
20090176381 | METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE AND SUBSTRATE PROCESSING APPARATUS - There are provided a method of manufacturing a semiconductor device and a substrate processing apparatus that are designed to suppress a popping phenomenon and reduce residues remaining on a substrate in a photoresist removing process. Oxygen gas and hydrogen gas are supplied to a plasma generating chamber while maintaining the hydrogen atom/oxygen atom ratio of the oxygen and hydrogen gases equal to or higher than 3, and the oxygen gas and the hydrogen gas are excited into plasma in the plasma generating chamber so as to remove photoresist from a substrate accommodated in a treatment chamber installed contiguous to the plasma generating chamber. | 07-09-2009 |
20090181551 | INTEGRATED CIRCUIT SYSTEM EMPLOYING MULTIPLE EXPOSURE DUMMY PATTERNING TECHNOLOGY - An integrated circuit system that includes: providing a substrate coated with a photoresist material; exposing the photoresist material to an energy source through a first mask to form a first substrate feature and a second substrate feature therein; and exposing the photoresist material to the energy source through a second mask to transform the second substrate feature into another one of the first substrate feature therein. | 07-16-2009 |
20090181552 | LASER PROCESSING APPARATUS AND METHOD FOR MANUFACTURING SEMICONDUCTOR SUBSTRATE - An SOI substrate having a single crystal semiconductor layer the surface of which has high planarity is manufactured. A semiconductor substrate is doped with hydrogen to form a damaged region containing a large amount of hydrogen. After a single crystal semiconductor substrate and a supporting substrate are bonded to each other, the semiconductor substrate is heated to separate the single crystal semiconductor substrate in the damaged region. While a heated high-purity nitrogen gas is sprayed on a separation surface of a single crystal semiconductor layer which is separated from the single crystal semiconductor substrate and irradiation with a microwave is performed from the back side of the supporting substrate, the separation surface is irradiated with a laser beam. The single crystal semiconductor layer is melted by irradiation with the laser beam, so that the surface of the single crystal semiconductor layer is planarized and re-single-crystallization thereof is performed. In addition, the length of the melting time is increased by irradiation with the nitrogen gas and the microwave; thus, the re-single-crystallization is performed more efficiently. | 07-16-2009 |
20090191723 | METHOD OF PERFORMING LITHOGRAPHIC PROCESSES - Method of performing lithographic processes on a wafer in a lithographic apparatus having multiple stages. First, a lithographic apparatus including a first wafer chuck and a second wafer chuck is provided. Subsequently, a cassette including a plurality of wafers is provided in the lithographic apparatus, and each wafer has a wafer identification. Thereafter, the first wafer chuck is set for holding the wafers having odd wafer identifications, and the second wafer chuck is set for holding the wafers having even wafer identifications. Next, a first lithographic process is performed on each wafer by the lithographic apparatus. | 07-30-2009 |
20090197427 | IMPURITY-ACTIVATING THERMAL PROCESS METHOD AND THERMAL PROCESS APPARTUS - A thermal cycle includes: increasing a temperature from an initial temperature to a temperature T | 08-06-2009 |
20090203229 | Substrate Processing Apparatus and Semiconductor Device Manufacturing Method - Provided is a substrate processing apparatus comprising: a process chamber for processing a substrate; a heater for heating an interior of the process chamber; a holder for sustaining the substrate in the process chamber; and a substrate transfer plate for transferring the substrate to the holder; wherein the holder has a retainer for sustaining the substrate at its outer periphery and a main body for sustaining the retainer, a portion of the retainer extending at least from a back region thereof with respect to an inserting direction of the substrate transfer plate to a region adjacent thereto and to be sustained by the main body and lying outer than the substrate upon putting the substrate on the retainer being made thicker than other portions of the retainer. | 08-13-2009 |
20090203230 | Mask for crystallizing a semiconductor layer and method of crystallizing a semiconductor layer using the same - A mask for crystallizing a semiconductor layer includes a plurality of first main-slit portions, a plurality of second main-slit portions, upper slit portion and lower slit portion. The first main-slit portions extend along an inclined direction with respect to a first direction. The second main-slit portions are spaced apart from the first main-slit portions. The upper slit portion is disposed on the first main-slit portions along a second direction to be parallel to the first main-slit portions, and extends partway over the second main-slit portions to be longer than the first main-slit portions. The lower slit portion is disposed under the second main-slit portions along the second direction to be parallel to the second main-slit portions, and extends partway under the first main-slit portions to be longer than the second main-slit portions. | 08-13-2009 |
20090203231 | CRYSTALLIZATION APPARATUS, CRYSTALLIZATION METHOD, PHASE MODULATION ELEMENT, DEVICE AND DISPLAY APPARATUS - A phase modulation element according to the present invention has a first area having a first phase value based on a phase modulation unit having a predetermined size and a second area having a second phase value based on the phase modulation unit having the predetermined size, and each phase distribution is defined by a change in area shares of the first area and the second area depending on each position. | 08-13-2009 |
20090209112 | MILLISECOND ANNEALING (DSA) EDGE PROTECTION - A method and apparatus for thermally processing a substrate is provided. A substrate is disposed within a processing chamber configured for thermal processing by directing electromagnetic energy toward a surface of the substrate. An energy blocker is provided to block at least a portion of the energy directed toward the substrate. The blocker prevents damage to the substrate from thermal stresses as the incident energy approaches an edge of the substrate. | 08-20-2009 |
20090209113 | SUBSTRATE PROCESSING APPARATUS, METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE, AND CEILING INSULATING PART - Provided is a substrate processing apparatus. The substrate processing apparatus comprises a reaction vessel configured to process a substrate, and a heating device. The heating device comprises at least one sidewall insulating part surrounding the reaction vessel, a ceiling insulating part placed on the sidewall insulating part and comprising a plurality of stress relief grooves, and a heating element installed at an inner side of the sidewall insulating part. | 08-20-2009 |
20090215282 | PROCESSES FOR CURING SILICON BASED LOW-K DIELECTRIC MATERIALS - Processes for curing silicon based low k dielectric materials generally includes exposing the exposing the silicon based low k dielectric material to ultraviolet radiation in an inert atmosphere having an oxidant in an amount of about 10 to about 500 parts per million for a period of time and intensity effective to cure the silicon based low k dielectric material so to change a selected one of chemical, physical, mechanical, and electrical properties and combinations thereof relative to the silicon based low k dielectric material prior to the ultraviolet radiation exposure. Also disclosed herein are silicon base low k dielectric materials substantially free of sub-oxidized SiO species. | 08-27-2009 |
20090233455 | SEMICONDUCTOR DEVICES HAVING TENSILE AND/OR COMPRESSIVE STRESS AND METHODS OF MANUFACTURING - A semiconductor device having a tensile and/or compressive strain applied thereto and methods of manufacturing the semiconductor devices to enhance channel strain. The method includes relaxing a gate structure using a low temperature thermal creep process to enhance channel strain. The gate structure undergoes a plastic deformation during the low temperature thermal creep process. | 09-17-2009 |
20090233456 | IRRADIATION OPTICAL SYSTEM, IRRADIATION APPARATUS AND FABRICATION METHOD FOR SEMICONDUCTOR DEVICE - An irradiation optical system includes: a first projection optical system for mixing a plurality of luminous fluxes outputted from a laser light source having a plurality of linearly arrayed light emitting points with each other and dividing the mixed luminous fluxes into a plurality of luminous fluxes and then projecting, to a slit member having a plurality of slits parallel to each other, the plural luminous fluxes as a line beam extending across the plural slits; and a second projection optical system for projecting an image of the plural slits of the slit member to an irradiation target. | 09-17-2009 |
20090246975 | MULTIPLE TECHNOLOGY NODE MASK - A multiple technology node mask (MTM) is provided. An MTM includes a pattern associated with a first technology node and a pattern associated with a second technology node. The first technology node and the second technology node may be different. For example, the first technology node may be a main node and the second technology node a sub-node. A mask set including an MTM may also include single technology node masks (STMs) for mask layers in which the first technology node and second technology node and/or the patterns associated with each are not compatible. A single mask set including MTM and STMs, may be used to produce a plurality of devices, each on a different wafer. | 10-01-2009 |
20090253273 | METHOD OF HEAT-TREATING SEMICONDUCTOR - The present invention relates to a method of heat-treating a semiconductor, and the object is to enable heat-treating to a semiconductor or semiconductor device in a short period time and to obtain a stable and high reforming effect. The present invention is a method in which carbon or a layer including carbon is provided as a light absorbing layer, and a semiconductor material as a heat-treating target layer or semiconductor device contacting the heat absorbing layer directly or through a heat transfer layer of 5 nm-100 μm in thickness is heat-treated, and the light source to be used is a semiconductor laser light of wavelength of 600 nm-2 μm, and this semiconductor laser light is caused to continuously irradiate and sweep the surface of the heat-treating target material. The light source can be easily made to output high power, and heat-treating at a high speed and with low energy consumption is realized. | 10-08-2009 |
20090263978 | LASER MASK AND CRYSTALLIZATION METHOD USING THE SAME - An embodiment of a laser crystallization method includes providing a substrate on which an amorphous silicon thin film is deposited, positioning a laser mask over the substrate, the laser mask including a mask pattern that contains transmitting regions and a blocking region, irradiating a first laser beam onto a surface of the substrate through the pattern of the laser mask to first crystallize a predetermined region of the silicon thin film, moving the laser mask or a stage on which the substrate is loaded in an X-axis direction to perform second crystallization using the laser mask, repeatedly performing the crystallization to an end of the substrate in the X-axis direction, moving the laser mask or the stage in a Y-axis direction, and repeatedly performing the crystallization in the Y-axis direction to complete crystallization. | 10-22-2009 |
20090275215 | SUITABLY SHORT WAVELENGTH LIGHT FOR LASER ANNEALING OF SILICON IN DSA TYPE SYSTEMS - The present invention generally relates to a thermal processing apparatus and method that permits a user to index one or more preselected light sources capable of emitting one or more wavelengths to a collimator. Multiple light sources may permit a single apparatus to have the capability of emitting multiple, preselected wavelengths. The multiple light sources permit the user to utilize multiple wavelengths simultaneously to approximate “white light”. One or more of a frequency, intensity, and time of exposure may be selected for the wavelength to be emitted. Thus, the capabilities of the apparatus and method are flexible to meet the needs of the user. | 11-05-2009 |
20090286407 | BAKING APPARATUS, BAKING MEHOD AND METHOD OF REDUCING GAP WIDTH - A baking apparatus including a hot plate and a substrate rotation member is provided. The hot plate has a heating surface. The substrate rotation member includes a rotation ring and a plurality of support arms. The rotation ring is configured to surround the hot plate. The support arms are disposed over the heating surface of the hot plate. Each of the support arms includes a connection part and a support part, wherein the connection part is configured to connect the rotation ring and the support part, and a supporting surface of the support part for supporting the substrate is higher than the heating surface of the hot plate. | 11-19-2009 |
20090291569 | LASER IRRADIATION METHOD AND LASER IRRADIATION APPARATUS - The present invention is to provide a laser irradiation technique for irradiating the irradiation surface with the laser beam having homogeneous intensity distribution using a cylindrical lens array without being affected by the intensity distribution of the original beam. A laser beam emitted from a laser oscillator is divided by two kinds of cylindrical lens arrays into a plurality of beams, which are two kinds of linear laser beams with their energy intensity distribution inverted each other, and the two kinds of linear laser beams are superposed in a minor-axis direction. This can form the linear laser beam having homogeneous intensity distribution on the irradiation surface. | 11-26-2009 |
20090298299 | LASER ABLATION OF ELECTRONIC DEVICES - The present invention relates to methods of fabricating electronic devices using laser ablation and to devices fabricated thereby. Embodiments of the methods are particularly suitable for defining electrodes within thin film transistor (TFT) structures using laser ablation in a step-and-repeat mode. A method of fabricating an electronic device, the device comprising a plurality of layers on a substrate, the layers including an upper conductive layer and at least said conductive layer and said substrate, the method comprising: patterning said underlying layer; and patterning said upper conductive layer by laser ablation using a stepwise process in which successive areas of said upper conductive layer are ablated by successively applied laser patterns; wherein said successively applied laser patterns overlap one another in an overlap region; and wherein said method further comprises configuring a said laser pattern and said patterned underlying layer with respect to one another such that in a said overlap region said patterned underlying layer is substantially undamaged by said stepwise laser ablation. | 12-03-2009 |
20090298300 | Apparatus and Methods for Hyperbaric Rapid Thermal Processing - Methods and apparatus for hyperbaric rapid thermal processing of a substrate are described. Methods of processing a substrate in a rapid thermal processing chamber are described that include passing a substrate from outside the chamber through an access port onto a support in the interior region of the processing chamber, closing a port door sealing the chamber, pressurizing the chamber to a pressure greater than 1.5 atmospheres absolute and directing radiant energy toward the substrate. Hyperbaric rapid thermal processing chambers are described which are constructed to withstand pressures greater than at least about 1.5 atmospheres absolute or, optionally, 2 atmospheres of absolute pressure. Processing chambers may include pressure control valves to control the pressure within the chamber. | 12-03-2009 |
20090298301 | METHOD OF PRODUCING A TENSIONED LAYER ON A SUBSTRATE - A silicon on insulator (SOI) substrate is converted into a strained SOI substrate by first providing an SOI substrate having a thin silicon layer and an insulator and at least one first epitaxial relaxing layer on the SOI-substrate. Then a defect region is produced in a layer by implantation of SI ions above the silicon layer of the SOI-substrate. Finally the first layer is relaxed by a thermal treatment in an inert atmosphere to simultaneously strain the silicon layer of the SOI-substrate via dislocation mediated strain transfer and to produce the strained silicon layer directly on the insulator. | 12-03-2009 |
20090305518 | SOI WAFER AND MANUFACTURING METHOD THEREOF - An SOI wafer which does not generate slip dislocation even if laser annealing is performed for no more than 0.1 seconds at a maximum temperature of 1200° C. or more is provided. | 12-10-2009 |
20090311880 | Method of Annealing Using Two Wavelengths of Continuous Wave Laser Radiation - A thermal processing apparatus and method in which a first laser source, for example, a CO | 12-17-2009 |
20090317983 | Process for Producing Silicon Carbide Semiconductor Device - In a bipolar silicon carbide semiconductor device in which an electron and a hole recombine with each other during current passage within a silicon carbide epitaxial film grown from a surface of a silicon carbide single crystal substrate, an object described herein is the reduction of defects which are the nuclei of a stacking fault which is expanded by current passage, thereby suppressing the increase of the forward voltage of the bipolar silicon carbide semiconductor device. In a method for producing a bipolar silicon carbide semiconductor device, the device is subjected to a thermal treatment at a temperature of 300° C. or higher in the final step of production. Preferably, the above-mentioned thermal treatment is carried out after the formation of electrodes and then the resulting bipolar silicon carbide semiconductor device is mounted in a package. | 12-24-2009 |
20090325392 | SUB-SECOND ANNEALING PROCESSES FOR SEMICONDUCTOR DEVICES - An annealing method and apparatus for semiconductor manufacturing is described. The method and apparatus allows an anneal that can span a thermal budget and be tailored to a specific process and its corresponding activation energy. In some cases, the annealing method spans a timeframe from about 1 millisecond to about 1 second. An example for this annealing method includes a sub-second anneal method where a reduction in the formation of nickel pipes is achieved during salicide processing. In some cases, the method and apparatus combine the rapid heating rate of a sub-second anneal with a thermally conductive substrate to provide quick cooling for a silicon wafer. Thus, the thermal budget of the sub-second anneal methods may span the range from conventional RTP anneals to flash annealing processes (including duration of the anneal, as well as peak temperature). Other embodiments are described. | 12-31-2009 |
20090325393 | HEAT TREATMENT METHOD AND HEAT TREATMENT APPARATUS - Disclosed is a heat treatment method including a step of placing a wafer W provided with a low-k film and a metal layer in a heat treatment furnace | 12-31-2009 |
20100009547 | LASER WORKING METHOD - An object to be processed is restrained from warping at the time of laser processing. A modified region M | 01-14-2010 |
20100009548 | METHOD FOR HEAT-TREATING SILICON WAFER - Provided is a heat treatment method wherein generation of slip dislocation in silicon wafer RTP is suppressed, in order to solve a problem of not sufficiently suppressing generation of slip dislocation of silicon wafers in conventional RTP. A step is provided for suspending temperature rising for 10 seconds or longer at a temperature in a range of over 700° C. to below 950° C., so as to prevent generation of slip dislocation during rapid heating, at least at a silicon wafer portion that contacts with a supporting section of a rapid heating apparatus or at a portion on the outermost circumference section of the silicon wafer. | 01-14-2010 |
20100009549 | WAFER TREATING METHOD - A wafer treating method includes the steps of irradiating a wafer, provided with devices on the face side, from the back side with a laser beam capable of being transmitted through the wafer, while converging the laser beam to a predetermined depth, so as to form a denatured layer between the face side and the back side of the wafer, and separating the wafer into a back-side wafer on the back side relative to the denatured layer and a face-side wafer on the face side relative to the denatured layer. The denatured layer remaining in the face-side wafer is removed, and the face-side wafer is finished to a predetermined thickness, whereby the devices constituting the face-side wafer are finished into products, and the back-side wafer is recycled. | 01-14-2010 |
20100015817 | VERTICAL HEAT TREATMENT BOAT AND HEAT TREATMENT METHOD FOR SEMICONDUCTOR WAFER - The present invention provides a vertical heat treatment boat that has at least four or more support portions per processing target substrate to be supported, the support portions horizontally supporting the processing target substrate, support auxiliary members on which the processing target substrate is mounted being detachably attached to the four or more support portions, respectively, wherein flatness obtained from all surfaces of the respective support auxiliary members on which the processing target substrate is mounted is adjusted by adjusting thicknesses of the support auxiliary members or interposing spacers between the support portions and the support auxiliary members in accordance with respective shapes of the four or more support portions. As a result, it is provided the vertical heat treatment boat and a heat treatment method for a semiconductor wafer that can readily improve flatness in support of the processing target substrate and effectively prevent occurrence of slip dislocation when performing a heat treatment to the processing target substrate such as a semiconductor wafer by using a vertical heat treatment furnace. | 01-21-2010 |
20100022102 | LASER ANNEALING METHOD AND DEVICE - A laser annealing method for executing laser annealing by irradiating a semiconductor film formed on a surface of a substrate with a laser beam, the method including the steps of, generating a linearly polarized rectangular laser beam whose cross section perpendicular to an advancing direction is a rectangle with an electric field directed toward a long-side direction of the rectangle or an elliptically polarized rectangular laser beam having a major axis directed toward a long-side direction, causing the rectangular laser beam to be introduced to the surface of the substrate, and setting a wavelength of the rectangular laser beam to a length which is about a desired size of a crystal grain in a standing wave direction. | 01-28-2010 |
20100041246 | Cleaving Of Substrates - An improved process of substrate cleaving and a device to perform the cleaving are disclosed. In the traditional cleaving process, a layer of microbubbles is created within a substrate through the implantation of ions of a gaseous species, such as hydrogen or helium. The size and spatial distribution of these microbubbles is enhanced through the use of ultrasound energy. The ultrasound energy causes smaller microbubbles to join together and also reduces the straggle. An ultrasonic transducer is acoustically linked with the substrate to facilitate these effects. In some embodiments, the ultrasonic transducer is in communication with the platen, such that ultrasound energy can be applied during ion implantation and/or immediately thereafter. In other embodiments, the ultrasonic energy is applied to the substrate during a subsequent process, such as an anneal. | 02-18-2010 |
20100041247 | PROGRAM FOR CONTROLLING LASER APPARATUS AND RECORDING MEDIUM FOR RECORDING PROGRAM FOR CONTROLLING LASER APPARATUS AND CAPABLE OF BEING READ OUT BY COMPUTER - The object of the present invention is to solve problems of treatment time when using an SLS method or continuous-oscillation laser. An indispensable portion is scanned with a laser beam in order to crystallize a semiconductor film by driving a laser and so on in accordance with the positions of islands instead of scanning and irradiating the whole semiconductor film. The present invention makes it possible to omit the time for irradiating a portion to be removed through patterning after crystallizing the semiconductor film with a laser beam and greatly shorten the treatment time for one substrate. | 02-18-2010 |
20100041248 | MULTI-STEP SYSTEM AND METHOD FOR CURING A DIELECTRIC FILM - A multi-step system and method for curing a dielectric film in which the system includes a drying system configured to reduce the amount of contaminants, such as moisture, in the dielectric film. The system further includes a curing system coupled to the drying system, and configured to treat the dielectric film with ultraviolet (UV) radiation and infrared (IR) radiation in order to cure the dielectric film. | 02-18-2010 |
20100048034 | VERTICAL BOAT FOR HEAT TREATMENT AND HEAT TREATMENT METHOD OF SEMICONDUCTOR WAFER USING THEREOF - According to the present invention, a vertical boat for heat treatment having at least a plurality of supporting columns, a pair of plate members, each coupled to each of the both ends of each supporting column, in which in each of the supporting columns a plurality of supporting parts for horizontally supporting substrates to be treated are formed and an auxiliary supporting member to place each of the substrates to be treated is removably attached to each of the plurality of supporting parts, the vertical boat for heat treatment wherein the auxiliary supporting member is adjusted for each supporting part with respect to the inclination of a surface for placing the substrates to be treated depending on the shape of each supporting part by processing a surface for attaching to the supporting part, or by interposing a spacer between the supporting part and the auxiliary supporting member is provided. Thereby, the vertical boat for heat treatment which can prevent generation of slip dislocation due to the inclination of each supporting part during the heat treatment of the substrate to be treated in a vertical furnace for heat treatment and which is inexpensive and can be easily improved can be provided. | 02-25-2010 |
20100048035 | ROBOT APPARATUS AND PROCESSING APPARATUS PROVIDED THEREWITH, ASHING SYSTEM, AND ASHING METHOD - A robot apparatus according to the invention is configured to hand over a workpiece by rotating by a prescribed angle a finger including a holding means for holding the workpiece. The robot apparatus includes: a drive shaft including a first finger and a second finger spaced from each other. The first finger includes a first arm portion and a second arm portion extending from its rotation center with a prescribed angle therebetween so as to be distanced from each other. The second finger includes a third arm portion and a fourth arm portion extending from its rotation center with a prescribed angle therebetween so as to be distanced from each other. The second arm portion and the fourth arm portion are distanced from each other when the first arm portion and the third arm portion overlap in the axial direction of the drive shaft. The robot apparatus can further improve productivity without incurring size increase and high cost. | 02-25-2010 |
20100048036 | METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE AND LASER IRRADIATION APPARATUS - It is an object to achieve continuous crystal growth without optical interference using a compact laser irradiation apparatus. A megahertz laser beam is split and combined to crystallize a semiconductor film. At this point of time, an optical path difference is provided between the split beams to reduce optical interference. The optical path difference is set to have a length equivalent to the pulse width of the megahertz laser beam or more and less than a length equivalent to the pulse repetition interval; thus, optical interference can be suppressed with a very short optical path difference. Therefore, laser beams can be applied continuously and efficiently without energy deterioration. | 02-25-2010 |
20100068897 | DIELECTRIC TREATMENT PLATFORM FOR DIELECTRIC FILM DEPOSITION AND CURING - A system for curing a low dielectric constant (low-k) dielectric film on a substrate is described, wherein the dielectric constant of the low-k dielectric film is less than a value of approximately 4. The system comprises one or more process modules configured for exposing the low-k dielectric film to electromagnetic (EM) radiation, such as infrared (IR) radiation and ultraviolet (UV) radiation. | 03-18-2010 |
20100081294 | PATTERN DATA CREATING METHOD, PATTERN DATA CREATING PROGRAM, AND SEMICONDUCTOR DEVICE MANUFACTURING METHOD - A pattern data creating method according to an embodiment of the present invention comprises: extracting marginal error patterns using a first result obtained by applying process simulation to mask pattern data based on an evaluation target cell pattern, applying the process simulation to mask pattern data based on an evaluation target cell pattern with peripheral environment pattern created by arranging a peripheral environment pattern in the marginal error patterns such that a second result obtained by creating mask pattern data and applying the process simulation to the mask pattern data is more deteriorated than the first result, and correcting the evaluation target cell pattern or the mask pattern data based on the evaluation target cell pattern when there is a fatal error. | 04-01-2010 |
20100099273 | ENHANCING THE WIDTH OF POLYCRYSTALLINE GRAINS WITH MASK - A system, method and masking arrangement are provided of enhancing the width of polycrystalline grains produced using sequential lateral solidification using a modified mask pattern is disclosed. One exemplary mask pattern employs rows of diamond or circular shaped areas in order to control the width of the grain perpendicular to the direction of primary crystallization. | 04-22-2010 |
20100105217 | DEFECTIVITY OF POST THIN LAYER SEPARATION BY MODIFICATION OF ITS SEPARATION ANNEALING - A method of detaching two substrates at the embrittlement zone situated at a given depth of one of the two substrates. The method includes a separation annealing step implemented in a furnace, wherein the annealing includes a first phase during which the temperature changes along an upgrade allowing a high temperature to be reached and annealing at this high temperature to be stabilized, and a second phase during which the temperature changes along a downgrade, at the end of which the furnace is opened to unload the substrates from the furnace. The second phase is regulated so as to minimize temperature inhomogeneities such as cleavage defects at the detached surfaces of the substrates when the furnace is opened. | 04-29-2010 |
20100120263 | MICROWAVE ACTIVATION ANNEALING PROCESS - The present invention relates a microwave activation annealing process, which includes: the providing of a semiconductor process to form a semiconductor device on a substrate; activation: using a microwave device to perform microwave activation on the semiconductor device with frequency in the range of 2.45 GHz and 24.15 GHz and temperature in the range of 100° C. and 600° C.; annealing: using the microwave device to perform microwave annealing on the semiconductor device with frequency in the range 2.45 GHz to 24.15 GHz and temperature in the range 100° C. to 600° C.; by doing so, the present invention can, in the premise without the destruction of material property and structural interface and be able to shorten process time and enhance heating homogeneity, achieve the objective of activation annealing, hence, it can solve the defects caused by the heat treatment technique of prior art high temperature activation annealing. | 05-13-2010 |
20100136799 | Method of manufacturing semiconductor device, Exposure device, and recording medium - A method of manufacturing a semiconductor device includes a first absorption step, a releasing step, a second absorption step, and an exposure step. In the first absorption step, a wafer chuck of a wafer stage absorbs the semiconductor wafer to adjust the temperature of the semiconductor wafer. In the releasing step, the semiconductor wafer is released from the wafer chuck. In the second absorption step, a wafer chuck of a wafer stage for exposure absorbs the semiconductor wafer. In the exposure step, the semiconductor wafer is exposed. | 06-03-2010 |
20100136800 | ON-CHIP COOLING SYSTEMS FOR INTEGRATED CIRCUITS - Structures and methods for forming the same. A semiconductor chip includes a substrate and a transistor. The chip includes N interconnect layers on the substrate, N being a positive integer. The chip includes a cooling pipes system inside the N interconnect layers. The cooling pipes system does not include any solid or liquid material. Given any first point and any second point in the cooling pipes system, there exists a continuous path which connects the first and second points and which is totally within the cooling pipes system. A first portion of the cooling pipes system overlaps the transistor. A second portion of the cooling pipes system is higher than the substrate and lower than a top interconnect layer. The second portion is in direct physical contact with a surrounding ambient. | 06-03-2010 |
20100151695 | SUBSTRATE PROCESSING APPARATUS, SUBSTRATE ANNEALING METHOD, AND SEMICONDUCTOR DEVICE MANUFACTURING METHOD - A substrate processing apparatus includes a chamber capable of being evacuated, a substrate stage adapted to mount a substrate, a heating unit adapted to be set above the substrate mounting surface of the substrate stage, face the substrate mounted on at least the substrate mounting surface, and heat the substrate by radiant heat without being in contact with the substrate, a shutter adapted to be retractably inserted in the space between the heating unit and the substrate mounted on the substrate mounting surface, and a shutter driving unit adapted to extend/retract the shutter into/from the space. The substrate is mounted on the substrate stage to face the heating unit, the substrate is annealed by heating the substrate by radiant heat from the heating unit, and the shutter is extended into the space between the heating unit and the substrate stage. | 06-17-2010 |
20100167556 | THREE DEGREE OF MOVEMENT MOVER AND METHOD FOR CONTROLLING A THREE DEGREE OF MOVEMENT MOVER | 07-01-2010 |
20100173502 | LOW k1 HOLE PRINTING USING TWO INTERSECTING FEATURES - A method of forming one or more features during semiconductor device fabrication can comprise exposing a photosensitive layer to a first pattern at an exposure energy which is insufficient to fully expose the photosensitive layer, then exposing the photosensitive layer to a second pattern at an exposure energy which is insufficient to fully expose the photosensitive layer At an intersection of the first and second patterns, the energy does received during the first and second exposure is sufficient to fully expose the photosensitive layer. | 07-08-2010 |
20100173503 | TRAP CHARGE EQUALIZING METHOD AND THRESHOLD VOLTAGE DISTRIBUTION REDUCING METHOD - A method reduces a threshold voltage distribution in transistors of a semiconductor memory device, where each transistor includes a nitride liner. The method includes injecting electrons into a charge trap inside and outside the nitride liner of the transistors, and partially removing the electrons injected into the charge trap inside and outside the nitride liner to equalize trapped charges in the transistors. | 07-08-2010 |
20100178776 | HEAT TREATMENT APPARATUS AND METHOD FOR HEATING SUBSTRATE BY LIGHT-IRRADIATION - A light-emission output of a flash lamp for performing a light-irradiation heat treatment on a substrate in which impurities are implanted is increased up to a target value L | 07-15-2010 |
20100184303 | Method for revealing emergent dislocations in a germanium-base crystalline element - The invention relates to a method for detecting defects, more particularly emergent dislocations of an element having at least one crystalline germanium-base superficial layer. The method comprises an annealing step of the element in an atmosphere having a base that is a mixture of at least an oxidizing gas and a neutral gas enabling selective oxidizing of the emergent dislocations of the crystalline germanium-base superficial layer. | 07-22-2010 |
20100221926 | LASER ANNEALING - A system for and method of processing an article such as a semiconductor wafer is disclosed. The wafer includes first and second surface which are segmented into a plurality of first and second zones. The first surface of the wafer, for example, on which devices or ICs are formed is processed by, for example, laser annealing while the second surface is heated with a backside heating source. Corresponding zones on the first and second surfaces are processed synchronously to reduce variations of post laser anneal thermal budge across the wafer. | 09-02-2010 |
20100221927 | METHODS AND APPARATUS FOR PRODUCING SEMICONDUCTOR ON INSULATOR STRUCTURES USING DIRECTED EXFOLIATION - Methods and apparatus provide for forming a semiconductor-on-insulator (SOI) structure, including subjecting a implantation surface of a donor semiconductor wafer to an ion implantation step to create a weakened slice in cross-section defining an exfoliation layer of the donor semiconductor wafer; and subjecting the donor semiconductor wafer to a spatial variation step, either before, during or after the ion implantation step, such that at least one parameter of the weakened slice varies spatially across the weakened slice in at least one of X- and Y-axial directions. | 09-02-2010 |
20100227480 | APPARATUS AND METHOD FOR MAINTAINING A NEAR-ATMOSPHERIC PRESSURE INSIDE A PROCESS CHAMBER - A process chamber ( | 09-09-2010 |
20100240226 | METHOD AND APPARATUS FOR THERMAL TREATMENT OF SEMICONDUCTOR WORKPIECES - The present invention provides an apparatus and method for rapid and uniform thermal treatment of semiconductor workpieces in two closely arranged thermal treatment chambers with a retractable door between them. The retractable door moves in between two thermal treatment chambers during heating or cooling process, and additional heating and cooling sources are provided for double-side thermal treatment of the semiconductor workpiece. | 09-23-2010 |
20100248498 | MATERIAL STRIPPING IN SEMICONDUCTOR DEVICES BY EVAPORATION - A sacrificial material, such as resist material, polymer material, organic residues and the like, may be efficiently removed from a surface of a semiconductor device by evaporating the material under consideration, which may, for instance, be accomplished by energy deposition. For example, a laser beam may be scanned across the surface to be treated so as to evaporate the sacrificial material, such as resist material, while significantly reducing any negative effects on other materials such as dielectrics, metals, semiconductive materials and the like. Moreover, by selecting an appropriate scan regime, a locally selective removal of material may be accomplished in a highly efficient manner. | 09-30-2010 |
20100267249 | QUARTZ WINDOW HAVING GAS FEED AND PROCESSING EQUIPMENT INCORPORATING SAME - Methods and apparatus for providing a process gas to a substrate in a processing system are disclosed herein. In some embodiments, the substrate processing system may include a process chamber having a substrate support disposed therein; a light source disposed above the process chamber to direct energy towards the substrate support; and a window assembly disposed between the light source and the substrate support to allow light energy provided by the light source to enter the process chamber towards the substrate support, wherein the window assembly includes an inlet to receive a process gas and one or more outlets to distribute the process gas into the process chamber. | 10-21-2010 |
20100273333 | HEAT TREATMENT METHOD AND HEAT TREATMENT APPARATUS FOR HEATING SUBSTRATE BY LIGHT IRRADIATION - A semiconductor wafer in which a carbon thin film is formed on a surface of a silicon substrate implanted with impurities is irradiated with flash light emitted from flash lamps. Absorbing the flash light causes the temperature of the carbon thin film to increase. The surface temperature of the silicon substrate implanted with impurities is therefore increased to be higher than that in a case where no thin film is formed, and the sheet resistance value can be thereby decreased. When the semiconductor wafer with the carbon thin film formed thereon is irradiated with flash light in high concentration oxygen atmosphere, since the carbon of the thin film is oxidized to be vaporized, removal of the thin film is performed concurrently with flash heating. | 10-28-2010 |
20100273334 | MILLISECOND ANNEALING (DSA) EDGE PROTECTION - A method and apparatus for thermally processing a substrate is provided. A substrate is disposed within a processing chamber configured for thermal processing by directing electromagnetic energy toward a surface of the substrate. An energy blocker is provided to block at least a portion of the energy directed toward the substrate. The blocker prevents damage to the substrate from thermal stresses as the incident energy approaches an edge of the substrate. | 10-28-2010 |
20100279516 | APPARATUS AND METHOD OF ALIGNING AND POSITIONING A COLD SUBSTRATE ON A HOT SURFACE - Embodiments of the invention contemplate a method, apparatus and system that are used to support and position a substrate on a surface that is at a different temperature than the initial, or incoming, substrate temperature. Embodiments of the invention may also include a method of controlling the transfer of heat between a substrate and substrate support positioned in a processing chamber. The apparatus and methods described herein generally may also provide an inexpensive and simple way of accurately positioning a substrate on a substrate support that is positioned in a semiconductor processing chamber. Substrate processing chambers that can benefit from the various embodiments described herein include, but are not limited to RTP, CVD, PVD, ALD, plasma etching, and/or laser annealing chambers. | 11-04-2010 |
20100297855 | DEVICE PROCESSING METHOD - A device processing method for improving the die strength of a device divided from a semiconductor wafer. The device processing method includes a chamfering step of applying a pulsed laser beam having an absorption wavelength to the device along the periphery of the device to thereby chamfer the periphery of the device, wherein the pulse width of the pulsed laser beam to be applied in the chamfering step is set to 2 ns or less, and the peak energy density is set in the range of 5 to 200 GW/cm | 11-25-2010 |
20100297856 | PULSE TRAIN ANNEALING METHOD AND APPARATUS - The present invention generally describes apparatuses and methods used to perform an annealing process on desired regions of a substrate. In one embodiment, pulses of electromagnetic energy are delivered to a substrate using a flash lamp or laser apparatus. The pulses may be from about 1 nsec to about 10 msec long, and each pulse has less energy than that required to melt the substrate material. The interval between pulses is generally long enough to allow the energy imparted by each pulse to dissipate completely. Thus, each pulse completes a micro-anneal cycle. The pulses may be delivered to the entire substrate at once, or to portions of the substrate at a time. Further embodiments provide an apparatus for powering a radiation assembly, and apparatuses for detecting the effect of pulses on a substrate. | 11-25-2010 |
20100317200 | Method of manufacturing semiconductor device - A method of manufacturing a semiconductor device includes performing heat treatment for activating impurities of a transistor having a gate electrode over a gate insulating film with a higher relative permittivity than a silicon oxynitride film or a silicon oxide film. In the heat treatment, a first heat treatment, in which a wafer surface is heated at a temperature of 800 to 1000° C. in 5 to 50 milliseconds by low-output flash lamp annealing or laser annealing, and a second heat treatment, in which the wafer surface is heated at a temperature equal to or more than of 1100° C. in 0.1 to 10 milliseconds by flash lamp annealing or laser annealing with a higher output than in the first heat treatment, are performed in this order. | 12-16-2010 |
20100323532 | METHOD OF THERMAL PROCESSING STRUCTURES FORMED ON A SUBSTRATE - The present invention generally describes one ore more methods that are used to perform an annealing process on desired regions of a substrate. In one embodiment, an amount of energy is delivered to the surface of the substrate to preferentially melt certain desired regions of the substrate to remove unwanted damage created from prior processing steps (e.g., crystal damage from implant processes), more evenly distribute dopants in various regions of the substrate, and/or activate various regions of the substrate. The preferential melting processes will allow more uniform distribution of the dopants in the melted region, due to the increased diffusion rate and solubility of the dopant atoms in the molten region of the substrate. The creation of a melted region thus allows: 1) the dopant atoms to redistribute more uniformly, 2) defects created in prior processing steps to be removed, and 3) regions that have hyper-abrupt dopant concentrations to be formed. | 12-23-2010 |
20100330815 | APPARATUS AND METHOD FOR HEATING SUBSTRATE AND COATING AND DEVELOPING SYSTEM - A substrate heating apparatus includes a top plate arranged above a hot plate so that a vertical space is formed between the hot plate and the top plate. The top plate has an evacuated internal chamber serving as a vacuum insulating layer that suppresses heat transfer from a first surface of the top plate facing the hot plate to a second surface of the top plate opposite to the first surface. When heating the substrate, a gas flow flowing through the space between the hot plate and the top plate is generated. | 12-30-2010 |
20110003484 | MASK FOR CRYSTALLIZING SILICON, APPARATUS HAVING THE MASK AND METHOD OF CRYSTALLIZING WITH THE MASK - A mask for crystallizing silicon includes a first, a second, and a third pattern part arranged in a longitudinal direction, each of the first, second, and third pattern parts including a plurality of unit blocks for transmitting and blocking a portion of light. At least two of the first, second and third pattern parts have a corresponding pattern to each other. Advantageously, scans using the aforementioned mask effectively remove a boundary on the silicon formed by the difference in the amount of laser beam irradiation received by the silicon, thereby improving electronic characteristics of the silicon. | 01-06-2011 |
20110003485 | Optical Cavity Furnace for Semiconductor Wafer Processing - An optical cavity furnace | 01-06-2011 |
20110008973 | LASER ANNEALING METHOD AND APPARATUS - In the case of a lens array type homogenizer optical system, the incident angle and intensity of a laser beam | 01-13-2011 |
20110014799 | PROJECTION ILLUMINATION SYSTEM FOR EUV MICROLITHOGRAPHY - A projection illumination installation for EUV microlithography includes an EUV synchrotron light source for producing EUV used light. An object field is illuminated with the used light using illumination optics. The object field is mapped into an image field using projection optics. A scanning device is used to illuminate the object field by deflecting the used light in sync with a projection illumination period. The result is a projection illumination installation in which the output power from an EUV synchrotron light source can be used as efficiently as possible for EUV projection illumination. | 01-20-2011 |
20110021038 | HEATING DEVICE, SUBSTRATE PROCESSING APPARATUS, AND METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE - Provided are a heating device, a substrate processing apparatus, and a method of manufacturing a semiconductor device, which can suppress differences between heating bodies, and simultaneously, can suppress shearing of a holder due to thermal deformation of the heating element. The heating device comprises: a heating element including a mountain part and a valley part that are alternately connected in plurality in a meander shape with both ends being fixed; holding body receiving parts respectively installed at ends of the valley parts and formed as cutout parts having a width larger than a width of the valley part; an insulating body installed at an outer circumference of the heating element; and a holding body disposed in the holding body receiving part and fixed to the insulating body. | 01-27-2011 |
20110021039 | HEATING DEVICE, SUBSTRATE PROCESSING APPARATUS, AND METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE - Provided are a heating device, a substrate processing apparatus, and a method of manufacturing a semiconductor device. The heating device comprises: a heating element including a mountain part and a valley part that are alternately connected in plurality in a meander shape with both ends being fixed; holding body receiving parts respectively installed at ends of the valley parts and formed as cutout parts having a width larger than a width of the valley part; an insulating body installed at an outer circumference of the heating element; a holding body disposed in the holding body receiving part and fixed to the insulating body; the heating element having a ring shape; the insulating body installed in a manner of surrounding the outer circumference of the heating element; and a fixation part configured to fix the heating element to an inner wall of the insulating body. | 01-27-2011 |
20110028003 | Substrate processing with reduced warpage and/or controlled strain - Provided are systems and methods for processing the surface of substrates that scan a laser beam at one or more selected orientation angles. The orientation angle or angles may be selected to reduce substrate warpage. When the substrates are semiconductor wafers having microelectronic devices; the orientation angles may be selected to produce controlled strain and to improve electronic performance of the devices. | 02-03-2011 |
20110028004 | Inspection Method and Apparatus, Lithographic Apparatus, Lithographic Processing Cell and Device Manufacturing Method - A mark used in the determination of overlay error comprises sub-features, the sub-features having a smallest pitch approximately equal to the smallest pitch of the product features. The sensitivity to distortions and aberrations is similar as that for the product features. When the mark is developed the sub-features merge and the outline of the larger feature is developed. | 02-03-2011 |
20110028005 | VERTICAL BOAT FOR HEAT TREATMENT AND METHOD FOR HEAT TREATMENT OF SILICON WAFER USING THE SAME - The present invention is a vertical boat for heat treatment having an auxiliary supporting member removably attached to each of supporting parts of a boat body, the auxiliary supporting member on which a substrate to be treated is to be placed, in which the auxiliary supporting member has a guiding member attached to the supporting part and a substrate supporting plate on which the substrate to be treated is to be placed, a hole is formed on an upper surface of the guiding member, the substrate supporting plate is inserted and fitted into the hole of the guiding member so as to be fixed, a height position of a placing surface for the substrate to be treated is higher than a height position of the upper surface of the guiding member, the substrate supporting plate is composed of silicon carbide and the guiding member is composed of quartz. | 02-03-2011 |
20110034040 | LOCALIZED ANNEAL - A method of forming a device is presented. The method includes providing a wafer having an active surface and dividing the wafer into a plurality of portions. The wafer is selectively processed by localized heating of a first of the plurality of portions. The wafer is then repeatedly selectively processed by localized heating of a next of the plurality of portions until all plurality of portions have been selectively processed. | 02-10-2011 |
20110039421 | HEAT TREATMENT METHOD - A heat treatment method which can prevent heat deformation of a substrate caused during a heat treatment process on the substrate with a thin film formed on its surface is provided. The heat treatment method in accordance with the present invention includes (a) stacking a second substrate | 02-17-2011 |
20110059621 | DEVICE MANUFACTURING APPARATUS AND DEVICE MANUFACTURING METHOD - An apparatus for manufacturing a device comprises a temperature regulator configured to regulate a temperature of a component associated with manufacture of the device, a controller configured to control the temperature regulator, and a console configured to send command information to the controller upon receiving an input regarding an operation of the temperature regulator, the command information including a command for causing the temperature regulator to operate in an electric power saving mode, and a parameter which specifies a detail of the electric power saving mode. | 03-10-2011 |
20110070746 | METHOD OF INCREASING OPERATION SPEED AND SATURATED CURRENT OF SEMICONDUCTOR DEVICE AND METHOD OF REDUCING SITE FLATNESS AND ROUGHNESS OF SURFACE OF SEMICONDUCTOR WAFER - A method of increasing the operation speed and the saturated current of a semiconductor device to be formed on a semiconductor wafer is described, including a step of reducing the site flatness and the roughness of the surface of the semiconductor wafer before the semiconductor device is formed. The step of reducing the site flatness and the roughness of the wafer surface includes annealing the semiconductor wafer in an atmosphere that contains at least one of H | 03-24-2011 |
20110081787 | PLASMA PROCESSING METHOD AND APPARATUS - With evacuation of interior of a vacuum chamber halted and with gas supply into the vacuum chamber halted, in a state that a mixed gas of helium gas and diborane gas is sealed in the vacuum chamber, a plasma is generated in a vacuum vessel and simultaneously a high-frequency power is supplied to a sample electrode. By the high-frequency power supplied to the sample electrode, boron is introduced to a proximity to the substrate surface. | 04-07-2011 |
20110097906 | SILICON CRYSTALLIZATION SYSTEM AND SILICON CRYSTALLIZATION METHOD USING LASER - A silicon crystallization system includes a vibration device for vibrating a linear laser beam along a longer-axis direction of the linear laser beam. A vibration frequency at which the laser beam is vibrated is periodically generated and randomly changes within a predetermined range. | 04-28-2011 |
20110097907 | LASER ANNEALING METHOD AND APPARATUS - Disclosed are a laser annealing method and apparatus capable of forming a crystalline semiconductor thin film on the entire surface of a substrate without sacrificing the uniformity of crystallinity in a seam portion in a long-axis direction of laser light, the crystalline semiconductor thin film having good properties and high uniformity to an extent that the seam portion is not visually recognizable. | 04-28-2011 |
20110104908 | Laser Mask and Crystallization Method Using the Same - A crystallization method using a mask includes providing a substrate having a semiconductor layer; positioning a mask over the substrate, the mask having first, second and third blocks, each block having a periodic pattern including a plurality of transmitting regions and a blocking region, the periodic pattern of the first block having a first position, the periodic pattern of the second block having a second position, the periodic pattern of the third block having a third position, the first, second and third positions being different from each other; and crystallizing the semiconductor layer by irradiating a laser beam through the mask. | 05-05-2011 |
20110117753 | HEAT TREATMENT APPARATUS AND SEMICONDUCTOR DEVICE MANUFACTURING METHOD - A heat treatment apparatus including a vacuum vessel, a substrate stage which holds a substrate mounted on it, a heating unit for heating the substrate, and an exhaust unit for evacuating the vacuum vessel includes a first reflector which covers the upper portion of the exhaust port of the exhaust unit while being spaced apart from the exhaust port, and a second reflector which surrounds the exhaust port. At least one of reflector members which form the second reflector faces a direction defined from the heating unit to the exhaust port. | 05-19-2011 |
20110124205 | TUNING OF PHOTO-ABSORPTION MATERIALS THROUGH USE OF MAGNETIC FIELDS - The disclosure relates to using magnetic fields for the purposes of modifying the absorption characteristics of materials, such as semiconductor materials, to both tune the materials to specific wavelengths and to enhance the absorption of the materials by concentrating the continuum of states of the conduction and valence bands into magnetic field-dependent Landau levels. | 05-26-2011 |
20110130012 | RAPID THERMAL PROCESSING BY STAMPING - A rapid thermal processing device and methods are provided for thermal processing of samples such as semiconductor wafers. The device has components including a stamp ( | 06-02-2011 |
20110130013 | Substrate processing method and semiconductor device manufacturing method - A substrate processing method and a semiconductor device manufacturing method in which a stained part does not remain in a finished product even if a residual ion-injected part stays in the finished product. | 06-02-2011 |
20110136348 | PHONON-ENHANCED CRYSTAL GROWTH AND LATTICE HEALING - A system for modifying dislocation distributions in semiconductor materials is provided. The system includes one or more vibrational sources for producing at least one excitation of vibrational mode having phonon frequencies so as to enhance dislocation motion through a crystal lattice. | 06-09-2011 |
20110207338 | Laser crystallization apparatus and laser crystallization method - A laser crystallization apparatus for crystallizing a thin film of a substrate, the laser crystallization apparatus includes a laser beam emitting unit configured to scan the substrate in a predetermined direction with a laser beam, a stage configured to support the substrate, a fixing part disposed on a first part of the stage, the fixing part having a shape corresponding to a corner of the substrate, and a driving unit configured to lift a second part of the stage to be higher than the first part of the stage, the substrate on the stage being configured to slide toward and engage with the fixing part. | 08-25-2011 |
20110207339 | HEAT TREATMENT APPARATUS AND METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE - A heat treatment apparatus capable of achieving high-accuracy processing and high safety and a method of manufacturing a substrate are provided. The heat treatment apparatus | 08-25-2011 |
20110212630 | METHOD FOR PREPARING A SELF-SUPPORTING CRYSTALLIZED SILICON THIN FILM - The invention relates to a method for preparing a self-supporting crystallized silicon thin film having a grain size of more than 1 mm. The invention also relates to the use of said method for preparing self-supporting silicon bands and to the bands thus obtained. | 09-01-2011 |
20110217852 | SUBSTRATE PROCESSING APPARATUS AND METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE - Provided is technology for preventing breakage of an induction target part of a substrate processing apparatus using an induction heating method. The substrate processing apparatus including a reaction vessel configured to process a substrate therein; a first induction target part comprising a peripheral portion and a center portion wherein a thickness of the center portion is less than that of the peripheral portion, the first induction target part being configured to heat the substrate accommodated on the center portion; a second induction target part comprising a peripheral portion and a center portion wherein a thickness of the center portion is equal to or greater than that of the peripheral portion, the second induction target part being configured to heat the substrate accommodated on the center portion of the first induction target part; an induction target part holder configured to hold the first induction target part and the second induction target part in a manner that the second induction part is spaced apart from the first induction target part by a predetermined distance; and an induction heating device configured to heat at least the first and second induction target parts in the reaction vessel held by the induction target part holder using an induction heating method. | 09-08-2011 |
20110223775 | CRYSTALLIZATION METHOD OF AMORPHOUS SILICON LAYER - A crystallization method is disclosed. In one embodiment, the method includes providing a substrate having an amorphous silicon layer, wherein the substate has first and second sides opposing each other and irradiating a laser beam onto the substrate so as to have an inclined angle with respect to the first and second sides of the substrate. The method further includes relatively moving one of the laser beam and the substate with respect to the other i) in a first direction from the first side to the second side of the substate and ii) in a second direction which crosses the first direction. | 09-15-2011 |
20110237087 | PATTERN INSPECTION METHOD AND SEMICONDUCTOR DEVICE MANUFACTURING METHOD - According to one embodiment, there is provided a pattern inspection method including processing design data for an inspection pattern based on information dependent on an illumination condition of illumination used to inspect the inspection pattern, generating reference data for the inspection pattern from the processed design data, and comparing data for an actually formed inspection pattern with the reference data. | 09-29-2011 |
20110237088 | SUBSTRATE PROCESSING METHOD, SUBSTRATE PROCESSING SYSTEM, AND COMPUTER-READABLE RECORDING MEDIUM RECORDING PROGRAM THEREON - In the present invention, the position of a substrate on a thermal plate is detected when baking after exposure is performed in a first round of patterning. In a second round of patterning, the setting position of the substrate is adjusted based on a detection result of the position before the substrate is mounted on the thermal plate in the baking after exposure. In the baking after exposure in the second round of patterning, the substrate is mounted at the same position with respect to the thermal plate as that in the baking after exposure in the first round of patterning. In performing a plurality of rounds of patterning on a film to be processed, a pattern with a desired dimension is finally formed above the substrate, and the uniformity of the pattern dimension within the substrate is ensured. | 09-29-2011 |
20110256736 | METHOD FOR PROCESSING A SUBSTRATE USING A LASER BEAM - A method for processing a substrate includes generating a first laser beam, splitting the first laser beam into a plurality of second laser beams, focusing the split second laser beams on a plane in the substrate parallel to a main surface of the substrate, and performing surface separation of the substrate along the plane. | 10-20-2011 |
20110263138 | SUBSTRATE PROCESSING CHAMBER WITH DIELECTRIC BARRIER DISCHARGE LAMP ASSEMBLY - A thermal processing chamber with a dielectric barrier discharge (DBD) lamp assembly and a method for using the same are provided. In one embodiment, a thermal processing chamber includes a chamber body and a dielectric barrier discharge lamp assembly. The dielectric barrier discharge lamp assembly further comprises a first electrode, a second electrode and a dielectric barrier. The dielectric barrier discharge lamp assembly is positioned between the first electrode and the second electrode. The dielectric barrier defines a discharge space between the dielectric barrier and the second electrode. A circuit arrangement is coupled to the first and second electrodes, and is adapted to operate the dielectric barrier discharge lamp assembly. | 10-27-2011 |
20110269316 | Wafer Support Ring - A wafer support ring and a method of using the same are disclosed herein. The support ring supports a wafer during a first processing operation. A top surface of the support ring is in contact with a first plurality of locations on a surface of the wafer during the first processing operation. A second wafer support structure is used to support the wafer during a second processing operation. A top surface of the second wafer support structure is in contact with a second, different plurality of locations on the surface of the wafer during the second processing operation. The wafer support ring may also have an outer lip disposed about an outer periphery of the support ring that has a depth such that it does not form part of the top surface of the support ring. | 11-03-2011 |
20110312193 | LASER PROCESSING METHOD | 12-22-2011 |
20120034794 | ENHANCING THE WIDTH OF POLYCRYSTALLINE GRAINS WITH MASK - A system, method and masking arrangement are provided of enhancing the width of polycrystalline grains produced using sequential lateral solidification using a modified mask pattern is disclosed. One exemplary mask pattern employs rows of diamond or circular shaped areas in order to control the width of the grain perpendicular to the direction of primary crystallization. | 02-09-2012 |
20120058648 | THERMAL REACTOR WITH IMPROVED GAS FLOW DISTRIBUTION - Embodiments of the present invention provide apparatus and method for improving gas distribution during thermal processing. One embodiment of the present invention provides an apparatus for processing a substrate comprising a chamber body defining a processing volume, a substrate support disposed in the processing volume, wherein the substrate support is configured to support and rotate the substrate, a gas inlet assembly coupled to an inlet of the chamber body and configured to provide a first gas flow to the processing volume, and an exhaust assembly coupled to an outlet of the chamber body, wherein the gas inlet assembly and the exhaust assembly are disposed on opposite sides of the chamber body, and the exhaust assembly defines an exhaust volume configured to extend the processing volume. | 03-08-2012 |
20120064734 | SUBSTRATE CARRYING MECHANISM, SUBSTRATE PROCESSING APPARATUS, AND SEMICONDUCTOR DEVICE MANUFACTURING METHOD - The present invention provides a substrate carrying mechanism, a substrate processing apparatus, and a semiconductor device manufacturing method that, when carrying a substrate, suppress the generation of scratches and particles caused by substrate deformation, suppress a decrease in substrate holding force due to substrate deformation, and realizes stable high-speed carriage. Namely, the substrate carrying mechanism, the substrate processing apparatus, and the semiconductor device manufacturing method of the present invention include: a plate-like body that becomes a support base body of a substrate that is a carrying subject; and substrate support portions in which plural convex portions disposed on a surface of the plate-like body are placed on a circumference of a circle that is smaller than the diameter of the substrate. | 03-15-2012 |
20120064735 | SOLID-STATE LASER LIFT-OFF APPARATUS AND LIFT-OFF METHOD - A solid-state laser lift-off apparatus comprises: a solid-state laser ( | 03-15-2012 |
20120071007 | SUBSTRATE PROCESSING WITH REDUCED WARPAGE AND/OR CONTROLLED STRAIN - Provided are systems and methods for processing the surface of substrates that scan a laser beam at one or more selected orientation angles. The orientation angle or angles may be selected to reduce substrate warpage. When the substrates are semiconductor wafers having microelectronic devices, the orientation angles may be selected to produce controlled strain and to improve electronic performance of the devices. | 03-22-2012 |
20120077351 | LASER ANNEALING METHOD AND LASER ANNEALING APPARATUS - In the present invention, At least one row of lens arrays, in which a plurality of lenses are arranged in a direction intersecting with the conveying direction of a substrate to correspond to the plurality of TFT forming areas set in a matrix on the substrate, is shifted in the direction intersecting with the conveying direction of the substrate, to thereby align the lenses in the lens array with the TFT forming areas on the substrate based on the alignment reference position. The laser beams are irradiated onto the lens array when the substrate moves and the TFT forming areas reach the underneath of the corresponding lenses of the lens array, and the laser beams are focused by the plurality of lenses to anneal the amorphous silicon film in each TFT forming area. | 03-29-2012 |
20120083135 | ASYMMETRIC RAPID THERMAL ANNEALING TO REDUCE PATTERN EFFECT - Rapid thermal annealing methods and systems for annealing patterned substrates with minimal pattern effect on substrate temperature non-uniformity are provided. The rapid thermal annealing system includes a front-side heating source and a backside heating source. The backside heating source of the rapid thermal annealing system supplies a dominant amount of heat to bring the substrate temperature to the peak annealing temperature. The front-side heating source contributes to heat up the environment near the front-side of the substrate to a temperature lower than about 100° C. to about 200° C. less than the peak annealing temperature. The asymmetric front-side and backside heating for rapid thermal annealing reduce or eliminate pattern effect and improve WIW and WID device performance uniformity. | 04-05-2012 |
20120100728 | Systems and methods for forming a time-averaged line image - Systems and methods for forming a time-averaged line image having a relatively high amount of intensity uniformity along its length is disclosed. The method includes forming at an image plane a line image having a first amount of intensity non-uniformity in a long-axis direction and forming a secondary image that at least partially overlaps the primary image. The method also includes scanning the secondary image over at least a portion of the primary image and in the long-axis direction according to a scan profile to form a time-average modified line image having a second amount of intensity non-uniformity in the long-axis direction that is less than the first amount. For laser annealing a semiconductor wafer, the amount of line-image overlap for adjacent scans of a wafer scan path is substantially reduced, thereby increasing wafer throughput. | 04-26-2012 |
20120108080 | SUBSTRATE PROCESSING APPARATUS AND METHOD OF MANUFACTURING A SEMICONDUCTOR DEVICE - A substrate processing apparatus includes a processing chamber configured to process a substrate, a substrate support member provided within the processing chamber to support the substrate, a microwave generator provided outside the processing chamber, a waveguide launch port configured to supply a microwave generated by the microwave generator into the processing chamber, wherein the central position of the waveguide launch port is deviated from the central position of the substrate supported on the substrate support member and the waveguide launch port faces a portion of a front surface of the substrate supported on the substrate support member, and a control unit configured to change a relative position of the substrate support member in a horizontal direction with respect to the waveguide launch port. | 05-03-2012 |
20120108081 | APPARATUS HAVING IMPROVED SUBSTRATE TEMPERATURE UNIFORMITY USING DIRECT HEATING METHODS - Embodiments of the present invention generally relate to an apparatus and methods for uniformly heating substrates in a processing chamber. In one embodiment, an apparatus generally includes a substrate supporting structure that is able to help minimize the temperature variation across each of the substrates during thermal processing. In one configuration, a substrate supporting structure is adapted to selectively support a substrate carrier to control the heat lost from regions of each of the substrates disposed on the substrate carrier. The substrate supporting structure is thus configured to provide a uniform temperature profile across each of the plurality of substrates during processing. | 05-03-2012 |
20120129358 | SUBSTRATE PROCESSING APPARATUS AND METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE - Provided are a substrate processing apparatus and a method of manufacturing a semiconductor device that are capable of uniformly heating a substrate while reducing an increase in substrate temperature to reduce a thermal budget. The substrate processing apparatus includes a process chamber configured to process a substrate; a substrate support unit installed in the process chamber to support the substrate; a microwave supply unit configured to supply a microwave toward a process surface of the substrate supported by the substrate support unit, the microwave supply unit including a microwave radiating unit radiating the microwave supplied from a microwave source to the process chamber while rotating; a partition installed between the microwave supply unit and the substrate support unit; a cooling unit installed at the substrate support unit; and a control unit configured to control at least the substrate support unit, the microwave supply unit and the cooling unit. | 05-24-2012 |
20120129359 | LASER PROCESSING METHOD - A laser processing method comprises a laser light converging step of converging a laser light at a sheet-like object to be processed made of silicon so as to form a modified region within the object, and an etching step of anisotropically etching the object so as to thin the object to a target thickness and advancing the etching selectively along the modified region so as to form the object with a through hole tilted with respect to a thickness direction of the object after the laser light converging step, wherein the laser light converging step forms a first modified region as the modified region in a part corresponding to the through hole in the object and a second modified region as the modified region extending parallel to the thickness direction and joining with the first modified region in a part to be removed upon thinning by the anisotropic etching in the object, and wherein the etching step advances the etching selectively along the second modified region and then along the first modified region while thinning the object and completes forming the through hole when the object is at the target thickness. | 05-24-2012 |
20120171875 | RECONSTITUTED WAFER WARPAGE ADJUSTMENT - A system and method for reducing warpage of a semiconductor wafer. The system includes a device for securing the semiconductor wafer in a heating area. The device includes a holding mechanism for securing an edge of the semiconductor wafer. The device further includes a pressure reducing device that reduces the pressure underneath the semiconductor device, which further secures the semiconductor device in the heating area. The heating area includes a plurality of heating and cooling zones in which the semiconductor wafer is subjected to various temperatures. | 07-05-2012 |
20120171876 | METHOD AND APPARATUS FOR IRRADIATING A SEMICONDUCTOR MATERIAL SURFACE BY LASER ENERGY - A method for irradiating semiconductor material is provided which includes selecting a region of a semiconductor layer surface, irradiating the region with an excimer laser which has a beam spot size, and adjusting the beam spot size to match the selected region size. Further, an apparatus for irradiating semiconductor material is provided. The apparatus includes an excimer laser for irradiating a selected region of a semiconductor layer surface, the laser has a laser beam spot size, and a system for adjusting the laser beam spot size to match the selected region size. | 07-05-2012 |
20120184112 | MASK FOR CRYSTALLIZING A SEMICONDUCTOR LAYER AND METHOD OF CRYSTALLIZING A SEMICONDUCTOR LAYER USING THE SAME - A mask for crystallizing a semiconductor layer includes a plurality of first main-slit portions, a plurality of second main-slit portions, upper slit portion and lower slit portion. The first main-slit portions extend along an inclined direction with respect to a first direction. The second main-slit portions are spaced apart from the first main-slit portions. The upper slit portion is disposed on the first main-slit portions along a second direction to be parallel to the first main-slit portions, and extends partway over the second main-slit portions to be longer than the first main-slit portions. The lower slit portion is disposed under the second main-slit portions along the second direction to be parallel to the second main-slit portions, and extends partway under the first main-slit portions to be longer than the second main-slit portions. | 07-19-2012 |
20120196453 | Systems and Methods for Susceptor Assisted Microwave Annealing - Systems and methods for microwave annealing are disclosed. In some embodiments, the system may comprise a microwave emitter configured to emit a microwave at a single frequency during an anneal time. In some embodiments, the system may further comprise an anneal unit to be annealed, the anneal unit having a top side, a bottom side, and one or more edge sides. In some embodiments, the system may further comprise a susceptor configured to absorb microwave energy, where the susceptor is adjacent to the edge side and at the bottom side of the anneal unit. | 08-02-2012 |
20120196454 | LASER-BASED MATERIAL PROCESSING METHODS AND SYSTEMS - Various embodiments may be used for laser-based modification of target material of a workpiece while advantageously achieving improvements in processing throughput and/or quality. Embodiments of a method of processing may include focusing and directing laser pulses to a region of the workpiece at a pulse repetition rate sufficiently high so that material is efficiently removed from the region and a quantity of unwanted material within the region, proximate to the region, or both is reduced relative to a quantity obtainable at a lower repetition rate. Embodiments of an ultrashort pulse laser system may include a fiber amplifier or fiber laser. Various embodiments are suitable for at least one of dicing, cutting, scribing, and forming features on or within a semiconductor substrate. Workpiece materials may include metals, inorganic or organic dielectrics, or any material to be micromachined with femtosecond, picosecond, and/or nanosecond pulses. | 08-02-2012 |
20120220140 | DEVICE AND METHOD FOR FORMING LOW-TEMPERATURE POLYSILICON FILM - Provided is a forming device and method making it possible to obtain a low-temperature polysilicon film in which the size of crystal grains fluctuates minimally, and is uniform. A mask has laser-light-blocking areas and laser-light-transmission areas arranged in the form of a grid such that the light-blocking areas and transmission areas are not adjacent to one another. Laser light is directed by the microlenses through the masks to planned channel-area-formation areas. The laser light transmitted by the transmission areas is directed onto an a-Si:H film, annealing and polycrystallzing the irradiated parts thereof. The mask is then removed, and when the entire planned channel-area-formation area is irradiated with laser light, the already-polycrystallized area, having a higher melting point, does not melt, while the area in an amorphous state melts and solidifies, leading to polycrystallization. The grain size of the polysilicon film obtained is regulated by the light-blocking areas and transmission areas and is thus controlled to a predetermined range. | 08-30-2012 |
20120225568 | ANNEALING METHOD AND ANNEALING APPARATUS - An annealing method irradiates a target object, having a film formed on its surface, with a laser beam to perform an annealing process to the target object. The surface of the target object is irradiated with the laser beam obliquely at an incident angle that is determined to achieve an improved laser absorptance of the film. | 09-06-2012 |
20120231636 | PROCESS FOR TREATING A SEMICONDUCTOR-ON-INSULATOR STRUCTURE - A process for treating a semiconductor-on-insulator structure that has, in succession, a support substrate, a layer of an oxide or oxynitride of a semiconductor material, and a thin semiconductor layer of the semiconductor material. The process includes providing, on the surface of the thin layer, a mask defining exposed regions of the thin layer; providing a layer of nitride or oxynitride of the semiconductor material on the exposed regions of the thin layer; and applying a heat treatment causing at least some of the oxygen in the oxide or oxynitride layer to diffuse through the exposed regions. The nitride or oxynitride layer is provided at a thickness sufficient to provide a ratio of the rate of oxygen diffusion though the exposed regions to that through the regions covered with the mask that is greater than 2. | 09-13-2012 |
20120238110 | HEAT TREATMENT METHOD AND HEAT TREATMENT APPARATUS FOR HEATING SUBSTRATE BY IRRADIATING SUBSTRATE WITH FLASH OF LIGHT - The first flash irradiation is performed on a semiconductor wafer preheated to 500° C. to heat a front surface of the semiconductor wafer. Thereafter, the second flash irradiation is performed to reheat the front surface of the semiconductor wafer before the temperature of the front surface of the semiconductor wafer becomes equal to the temperature of a back surface of the semiconductor wafer. Thus, the second flash irradiation is performed before the temperature of the front surface of the semiconductor wafer falls. Even if less energy is consumable by the second flash irradiation, the efficiency of heating of the front surface of the semiconductor wafer resulting from each iteration of the flash irradiation is improved. | 09-20-2012 |
20120238111 | ANNEALING APPARATUS USING TWO WAVELENGTHS OF CONTINUOUS WAVE LASER RADIATION - A thermal processing apparatus and method in which a first laser source, for example, a CO | 09-20-2012 |
20120244722 | SELECTIVE CRYSTALLIZATION METHOD AND LASER CRYSTALLIZATION APPARATUS USED IN THE SELECTIVE CRYSTALLIZATION METHOD - A selective crystallization method includes placing a first substrate including first crystallization regions on a second substrate including second crystallization regions such that the first crystallization regions and the second crystallization regions are arranged alternately, and crystallizing the alternately-arranged first crystallization regions and the second crystallization regions with a laser beam. A laser crystallization apparatus can be used in the selective crystallization method. | 09-27-2012 |
20120244723 | LASER DRILLING OF VIAS IN BACK CONTACT SOLAR CELLS - Embodiments of the invention relate to methods and apparatus for laser drilling holes in a silicon substrate during the fabrication of back contact solar cells, such as emitter-wrap-through (EWT) solar cells. In one embodiment, the method and apparatus use a short focal length flat field lens and a dynamic scanning technique to accomplish single pulse drilling in the silicon substrate. The method and apparatus result in increased speed and quality of holes in an EWT solar cell substrate as compared to conventional apparatus and processes. | 09-27-2012 |
20120252229 | System and Process For Heating Semiconductor Wafers by Optimizing Absorption of Electromagnetic Energy - An apparatus for heat treating semiconductor wafers is disclosed. The apparatus includes a heating device which contains an assembly linear lamps for emitting light energy onto a wafer. The linear lamps can be placed in various configurations. In accordance with the present invention, tuning devices which are used to adjust the overall irradiance distribution of the light energy sources are included in the heating device. The tuning devices can be, for instance, are lamps or lasers. | 10-04-2012 |
20120258605 | DEVICE FOR A LASER LIFT-OFF METHOD AND LASER LIFT-OFF METHOD - A device is intended for a laser lift-off method to sever at least one layer from a carrier. The device includes a laser that generates pulsed laser radiation and at least one beam splitter. The laser radiation is divided into at least two partial beams by the at least one beam splitter. The partial beams are superimposed in an irradiation plane, the irradiation plane being provided such that a major side of the carrier remote from the layer is arranged therein. At the irradiation plane, an angle (α) between the at least two partial beams is at least 1.0°. | 10-11-2012 |
20120276754 | METHODS AND SYSTEMS FOR THERMAL-BASED LASER PROCESSING A MULTI-MATERIAL DEVICE - A method and system for locally processing a predetermined microstructure formed on a substrate without causing undesirable changes in electrical or physical characteristics of the substrate or other structures formed on the substrate are provided. The method includes providing information based on a model of laser pulse interactions with the predetermined microstructure, the substrate and the other structures. At least one characteristic of at least one pulse is determined based on the information. A pulsed laser beam is generated including the at least one pulse. The method further includes irradiating the at least one pulse having the at least one determined characteristic into a spot on the predetermined microstructure. The at least one determined characteristic and other characteristics of the at least one pulse are sufficient to locally process the predetermined microstructure without causing the undesirable changes. | 11-01-2012 |
20120309208 | METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE - A method for manufacturing a semiconductor device includes irradiating light to an effective region of a semiconductor substrate. A wavelength of the light is a wavelength adapted so that light absorptance of the semiconductor substrate increases if an intensity of the light increases. The light is irradiated so that a focus point of the light is made within the semiconductor substrate in the irradiating. | 12-06-2012 |
20120329288 | Method and System for Pre-heating of Semiconductor Material for Laser Annealing and Gas Immersion Laser Doping - A fiber laser system enables a method for treating a semiconductor material by preheating a wafer for laser annealing and gas immersion laser doping by a laser source. A long wave length fiber laser having a Gaussian or similar profile is applied in a full-width ribbon beam across an incident wafer. Preferably the wavelength is greater than 1 μm (micron) and preferably a Yb doped fiber laser is used. The process is performed in a suitable environment which may include doping species. The process ensures the temperature gradient arising during processing does not exceed a value that results in fracture of the wafer while also reducing the amount of laser radiation required to achieve controlled surface melting, recrystallization and cooling. | 12-27-2012 |
20120329289 | Method and System for Forming Patterns with Charged Particle Beam Lithography - In a method for fracturing or mask data preparation or mask process correction for charged particle beam lithography, a plurality of shots are determined that will form a pattern on a surface, where shots are determined so as to reduce sensitivity of the resulting pattern to changes in beam blur (β | 12-27-2012 |
20120329290 | Substrate Placement Stage, Substrate Processing Apparatus and Method of Manufacturing Semiconductor Device - Provided is a substrate placement stage or substrate processing apparatus which can suppress thermal deformation of the substrate placement stage when the substrate placement stage on which a substrate is placed is heated in a process chamber. The substrate placement stage includes: a heating element; a first member surrounding the heating element; and a second member covering a surface of the first member and including a placing surface for placing a substrate thereon, wherein the first member is made of a first material containing ceramics and aluminum, and the second member is made of a second material containing ceramics and aluminum, a content of the ceramics in the second material being lower than that of the first material. | 12-27-2012 |
20120329291 | HEAT TREATMENT METHOD AND HEAT TREATMENT APPARATUS - A substrate holder has two holder constituting bodies, each having a plurality of columns arranged on an imaginary circle, and substrate holding sections that hold circumferential portions of respective substrates. The holder constituting bodies hold the substrates so that either their front surfaces or their back surfaces face upward with a substrate having an upward facing front and a substrate having an upward facing rear being alternately arranged in a vertical direction. At least one of the holder constituting bodies moves in the vertical direction to change the positions of the holder constituting bodies relative to each other. A distance between a first pair of vertically adjacent substrates with their respective front surfaces facing each other is set to ensure treatment uniformity, and to be larger than a distance between a second pair of vertically adjacent substrates with their respective back surfaces facing each other. | 12-27-2012 |
20130005156 | STRUCTURE AND METHOD TO OBTAIN EOT SCALED DIELECTRIC STACKS - Equivalent oxide thickness (EOT) scaled high k/metal gate stacks are provided in which the capacitance bottleneck of the interfacial layer is substantially eliminated, with minimal compromise on the mobility of carriers in the channel of the device. In one embodiment, the aforementioned EOT scaled high k/metal gate stacks are achieved by increasing the dielectric constant of the interfacial layer to a value that is greater than the originally formed interfacial layer, i.e., the interfacial layer prior to diffusion of a high k material dopant element therein. In another embodiment, the aforementioned scaled high k/metal gate stacks are achieved by eliminating the interfacial layer from the structure. In yet another embodiment, the aforementioned high k/metal gate stacks are achieved by both increasing the dielectric constant of the interfacial layer and reducing/eliminating the interfacial layer. | 01-03-2013 |
20130005157 | SEMICONDUCTOR-ON-INSULATOR SUBSTRATE AND STRUCTURE INCLUDING MULTIPLE ORDER RADIO FREQUENCY HARMONIC SUPRESSING REGION - A semiconductor-on-insulator substrate and a related semiconductor structure, as well as a method for fabricating the semiconductor-on-insulator substrate and the related semiconductor structure, provide for a multiple order radio frequency harmonic suppressing region located and formed within a base semiconductor substrate at a location beneath an interface of a buried dielectric layer with the base semiconductor substrate within the semiconductor-on-insulator substrate. The multiple order radio frequency harmonic suppressing region may comprise an ion implanted atom, such as but not limited to a noble gas atom, to provide a suppressed multiple order radio frequency harmonic when powering a radio frequency device, such as but not limited to a radio frequency complementary metal oxide semiconductor device (or alternatively a passive device), located and formed within and upon a surface semiconductor layer within the semiconductor structure. | 01-03-2013 |
20130012035 | Substrate Processing Apparatus and Method of Manufacturing Semiconductor Device - A substrate processing apparatus capable of increasing the life span of a lamp for heating a substrate is provided. The substrate processing apparatus includes: a light receiving chamber for processing a substrate; a substrate support unit inside the light receiving chamber; a lamp including an electrical wire, and a seal accommodating the electrical wire to hermetically seal the lamp with a gas therein, the lamp irradiating the substrate with a light; a lamp receiving unit outside the light receiving chamber to accommodate the lamp therein, the lamp receiving unit including a lamp connector connected to the lamp to supply an electric current through the electrical wire, a heat absorption member including a material having a thermal conductivity higher than that of the seal, and a base member fixing the heat absorption member; and an external electrical wire connected to the lamp connector to supply current to the lamp connector. | 01-10-2013 |
20130012036 | LINE SCAN SEQUENTIAL LATERAL SOLIDIFICATION OF THIN FILMS - A system for preparing a semiconductor film, the system including: a laser source; optics to form a line beam, a stage to support a sample capable of translation; memory for storing a set of instructions, the instructions including irradiating a first region of the film with a first laser pulse to form a first molten zone, said first molten zone having a maximum width (W | 01-10-2013 |
20130045609 | METHOD FOR MAKING A SEMICONDUCTOR DEVICE BY LASER IRRADIATION - A method for making a semiconductor device including the steps of exposing a semiconductor substrate to a process step or sequence of process steps of which at least one process performance parameter is determined in a region of the semiconductor substrate, and irradiating the region with a laser having laser irradiation parameters; wherein the irradiation parameters are determined based on the at least one process performance parameter. | 02-21-2013 |
20130052837 | Apparatus and Methods for Annealing Wafers - A method includes performing an anneal on a wafer. The wafer includes a wafer-edge region, and an inner region encircled by the wafer-edge region. During the anneal, a first power applied on a portion of the wafer-edge region is at least lower than a second power for annealing the inner region. | 02-28-2013 |
20130072034 | SUBSTRATE PROCESSING APPARATUS AND METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE - A substrate processing apparatus includes a process chamber which processes a substrate, a conductive substrate support table which is installed within the process chamber, a dielectric plate on which the substrate is mounted, the dielectric plate being placed on the substrate support table, a microwave generator which is installed outside the process chamber, and a microwave supplying unit which supplies a microwave generated by the microwave generator into the process chamber. | 03-21-2013 |
20130078822 | HEAT TREATMENT METHOD FOR HEATING SUBSTRATE BY IRRADIATING SUBSTRATE WITH FLASH OF LIGHT - First flash irradiation from flash lamps is performed on an upper surface of a semiconductor wafer supported on a temperature equalizing ring of a holder to cause the semiconductor wafer to jump up from the temperature equalizing ring into midair. While the semiconductor wafer is in midair above the temperature equalizing ring, second flash irradiation from the flash lamps is performed on the upper surface of the semiconductor wafer to increase the temperature of the upper surface of the semiconductor wafer to a treatment temperature. Cracking in the semiconductor wafer is prevented because the second flash irradiation is performed while the semiconductor wafer is in midair and subject to no restraints. | 03-28-2013 |
20130122723 | Ultraviolet Treatment of Metal Oxide Electrodes - An ultraviolet treatment method is provided for a metal oxide electrode. A metal oxide electrode is exposed to an ultraviolet (UV) light source in a humid environment. The metal oxide electrode is then treated with a moiety having at least one anchor group, where the anchor group is a chemical group capable of promoting communication between the moiety and the metal oxide electrode. As a result, the moiety is bound to the metal oxide electrode. In one aspect the metal oxide electrode is treated with a photoactive moiety. Exposing the metal oxide electrode to the UV light source in the humid environment induces surface defects in the metal oxide electrode in the form of oxygen vacancies. In response to the humidity, atmospheric water competes favorably with oxygen for dissociative adsorption on the metal oxide electrode surface, and hydroxylation of the metal oxide electrode surface is induced. | 05-16-2013 |
20130130514 | CRYSTALLIZATION METHOD OF AMORPHOUS SILICON LAYER - A crystallization method is disclosed. In one embodiment, the method includes providing a substrate having an amorphous silicon layer, wherein the substate has first and second sides opposing each other and irradiating a laser beam onto the substrate so as to have an inclined angle with respect to the first and second sides of the substrate. The method further includes relatively moving one of the laser beam and the substate with respect to the other i) in a first direction from the first side to the second side of the substate and ii) in a second direction which crosses the first direction. | 05-23-2013 |
20130143416 | LASER ABLATION WITH EXTRACTION OF THE ABLATED MATERIAL - A technique comprising: using a laser beam to ablate a target surface ( | 06-06-2013 |
20130164948 | METHODS FOR IMPROVING WAFER TEMPERATURE UNIFORMITY - A method of improving temperature uniformity across a wafer or substrate is provided. The inventors have discovered that thermal radiation reflected from the showerhead injector affects the temperature uniformity across the wafer. Temperature uniformity across the wafer, particularly from the center to edge of the wafer, is improved by controlling the reflected energy from the showerhead. Control of the reflected energy from the showerhead is achieved by a variety of means, including changing the emissivity of the showerhead, creating different zones of emissivity of the showerhead, selectively heating the showerhead, varying the distance between the showerhead and the wafer, and increasing reflectivity of the showerhead in selected regions by employing an ring configured to emit thermal radiation to the showerhead which is then reflected back to the wafer. | 06-27-2013 |
20130178072 | IN-SITU CHAMBER CLEANING FOR AN RTP CHAMBER - A method of cleaning a chamber used for annealing doped wafer substrates. In one embodiment the method provides removing dopants deposited in an annealing chamber after an annealing process of a doped substrate by flowing one or more volatilizing gases into the annealing chamber, applying heat to volatilize the deposited dopants in the annealing chamber, and exhausting the chamber to remove volatilized dopants from the annealing chamber. | 07-11-2013 |
20130183836 | METHOD OF FORMING THROUGH-SILICON VIA USING LASER ABLATION - Methods of forming through-silicon vias by using laser ablation. A method includes, laser drilling to form a plurality of grooves by irradiating a laser beam onto an upper surface of a silicon wafer, and grinding a lower surface of the silicon wafer to form a plurality of through-silicon vias by exposing the grooves on the lower surface of the silicon wafer. | 07-18-2013 |
20130183837 | METHODS AND SYSTEMS FOR LASER PROCESSING OF COATED SUBSTRATES - Examples of methods and systems for laser processing of materials are disclosed. Methods and systems for singulation of a wafer comprising a coated substrate can utilize a laser outputting light that has a wavelength that is transparent to the wafer substrate but which may not be transparent to the coating layer(s). Using techniques for managing fluence and focal condition of the laser beam, the coating layer(s) and the substrate material can be processed through ablation and internal modification, respectively. The internal modification can result in die separation. | 07-18-2013 |
20130196517 | DRAWING METHOD AND METHOD OF MANUFACTURING ARTICLE - A charged particle beam drawing apparatus draws a plurality of cut patterns on a plurality of first linear patterns arranged to extend in a first direction and align themselves at a predetermined pitch P in a second direction perpendicular to the first direction. The plurality of cut patterns are drawn so that an interval Ai in the second direction between the centers of each pair of cut patterns adjacent to each other in the second direction (i is a number which specifies the pair) satisfies a relation: | 08-01-2013 |
20130203269 | HEAT TREATMENT APPARATUS FOR HEATING SUBSTRATE BY IRRADIATION WITH FLASHES OF LIGHT, AND HEAT TREATMENT METHOD - A first flash heating is performed in which a lower flash lamp irradiates a back surface of a semiconductor wafer with flashes of light, so that heat conduction from the back surface to a surface of the semiconductor wafer raises the temperature of the surface from the room temperature to an intermediate temperature. Then, a second flash heating is performed in which an upper flash lamp irradiates the surface of the semiconductor wafer with flashes of light, to raise the temperature of the surface of the semiconductor wafer from the intermediate temperature to a target temperature. Since only the irradiation with flashes of light emitted from the lower flash lamp and the upper flash lamp is used to cause the semiconductor wafer having the room temperature to reach the target temperature, all heat treatments can be completed in an extremely short time of one second or less. | 08-08-2013 |
20130210242 | LASER ANNEALING TREATMENT APPARATUS AND LASER ANNEALING TREATMENT METHOD - Provided is a laser annealing treatment including a laser light source that outputs pulse laser light, an optical system that shapes the pulse laser light, and leads the shaped pulse laser light to a semiconductor film subject to treatment, and a stage that carries the semiconductor film to be irradiated by the pulse laser light, wherein the pulse laser light irradiating the semiconductor film presents a rising time equal to or less than 35 nanoseconds from 10% of the maximum height to the maximum height in the pulse energy density, and a falling time equal to or more than 80 nanoseconds from the maximum height to 10% of the maximum height, thereby increasing, while an energy density suitable for crystallization and the like is not particularly increased, a margin quantity thereof, and carrying out high quality annealing treatment without decreasing a throughput. | 08-15-2013 |
20130224967 | HEAT TREATMENT APPARATUS HEATING SUBSTRATE BY IRRADIATION WITH LIGHT - A capacitor, a coil, a flash lamp, and a switching element such as an IGBT are connected in series. A controller outputs a pulse signal to the gate of the switching element. A waveform setter sets the waveform of the pulse signal, based on the contents of input from an input unit. With electrical charge accumulated in the capacitor, a pulse signal is output to the gate of the switching element so that the flash lamp emits light intermittently. A change in the waveform of the pulse signal applied to the switching element will change the waveform of current flowing through the flash lamp and, accordingly, the form of light emission, thereby resulting in a change in the temperature profile for a semiconductor wafer. | 08-29-2013 |
20130244449 | Methods and Apparatus For Patterning Photovoltaic Devices and Materials For Use With Such Devices - A picosecond laser beam shaping assembly is disclosed for shaping a picosecond laser beam for use in patterning (e.g., scribing) semiconductor devices. The assembly comprises a pulsed fibre laser source of picosecond laser pulses, a harmonic conversion element for converting laser pulses at a first laser wavelength having a first spectral bandwidth to laser pulses at a second laser wavelength having a second spectral bandwidth, and a beam shaping apparatus for shaping the laser beam at the second laser wavelength, the beam shaping apparatus having a spectral bandwidth that substantially corresponds to the second spectral bandwidth so as to produce a laser beam having a substantially rectangular cross-sectional profile. | 09-19-2013 |
20130273749 | LASER ANNEALING APPARATUS AND LASER ANNEALING METHOD - A laser annealing apparatus carries out an annealing treatment an amorphous silicon film on a TFT substrate. The apparatus includes: a mask having a plurality of apertures; a microlens substrate having a plurality of microlenses arranged on a surface thereof and configured to focus the plurality of laser beams Lb, that have passed through the respective apertures of the mask, onto the TFT substrate to apply a predetermined energy to the amorphous silicon film; a pair of guides each having a semi-cylindrical shape and disposed along both sides across the microlens substrate so that the axes of the guides are parallel to each other and that the tips of the guides protrude from the positions of tips of the microlenses toward the TFT substrate; and a film that is provided in a tensioned state between the pair of guides so as to be movable and that transmits a laser beam. | 10-17-2013 |
20130273750 | Layer Alignment in FinFET Fabrication - Methods for aligning layers more accurately for FinFETs fabrication. An embodiment method includes forming a first pattern in a workpiece using a first photomask, forming a second pattern in the workpiece using a second photomask, the second photomask aligned to the first pattern, and aligning a third pattern to the first and the second patterns by aligning a first feature of the third pattern to a first feature of the first pattern in a first direction, and aligning a second feature of the third pattern to a first feature of the second pattern in a second direction orthogonal to the first direction. | 10-17-2013 |
20130273751 | SUBSTRATE PROCESSING WITH REDUCED WARPAGE AND/OR CONTROLLED STRAIN - Provided are systems and methods for processing the surface of substrates that scan a laser beam at one or more selected orientation angles. The orientation angle or angles may be selected to reduce substrate warpage. When the substrates are semiconductor wafers having microelectronic devices, the orientation angles may be selected to produce controlled strain and to improve electronic performance of the devices. | 10-17-2013 |
20130280922 | METHODS FOR FABRICATING AND ORIENTING SEMICONDUCTOR WAFERS - A method of orienting a semiconductor wafer. The method includes rotating a wafer about a central axis; exposing a plurality of edge portions of the rotating wafer to light having a predetermined wavelength from one or more light sources; detecting a subsurface mark in one of the plurality of edge portions of the rotating wafer; and orienting the wafer using the detected subsurface mark as a reference. | 10-24-2013 |
20130280923 | APPARATUS AND METHOD TO REDUCE PARTICLES IN ADVANCE ANNEAL PROCESS - Embodiments of the invention generally relate to apparatus and methods of thermal processing of semiconductor substrates using a pellicle to eliminate contamination of an aperture member. The aperture member is disposed between an energy source and a substrate to be processed. The pellicle may be a thin piece of membrane that is substantially transparent to selected forms of energy, such as pulses of electromagnetic energy from a laser that emits radiation at one or more appropriate wavelengths for a desired period of time. In one embodiment, the pellicle is mounted at a predetermined distance from the aperture member and covering pattern openings (i.e., apertures) formed on the aperture member such that any particle contaminants that may land on the aperture member will land on the pellicle. The pellicle keeps particle contaminants out of focus in the final energy field, thereby preventing particle contaminants from being imaged onto the processed substrate. | 10-24-2013 |
20130280924 | SYSTEMS AND METHODS FOR NON-PERIODIC PULSE SEQUENTIAL LATERAL SOLIDIFICATION - The disclosed systems and method for non-periodic pulse sequential lateral solidification relate to processing a thin film. The method for processing a thin film, while advancing a thin film in a selected direction, includes irradiating a first region of the thin film with a first laser pulse and a second laser pulse and irradiating a second region of the thin film with a third laser pulse and a fourth laser pulse, wherein the time interval between the first laser pulse and the second laser pulse is less than half the time interval between the first laser pulse and the third laser pulse. In some embodiments, each pulse provides a shaped beam and has a fluence that is sufficient to melt the thin film throughout its thickness to form molten zones that laterally crystallize upon cooling. In some embodiments, the first and second regions are adjacent to each other. In some embodiments, the first and second regions are spaced a distance apart. | 10-24-2013 |
20130288487 | METHOD AND SYSTEM FOR CONTROLLING A SPIKE ANNEAL PROCESS - Provided is a method and system for controlling a spike anneal process on a substrate, comprising selecting one or more objectives, one or more absorbance layers, a technique of modifying absorption of the selected one or more absorbance layers, one or more wavelengths used in a heating device. A substrate modified with the selected technique of modifying absorption is provided. The spike anneal process is performed on the substrate using the selected heating device and selected spike anneal process variables. One or more of the spike anneal process variables, the selected technique of the modifying absorption, the selected one or more wavelengths, and/or the selected heating device are adjusted in order to meet the one or more objectives of the spike anneal process. | 10-31-2013 |
20130288488 | OZONE PLENUM AS UV SHUTTER OR TUNABLE UV FILTER FOR CLEANING SEMICONDUCTOR SUBSTRATES - A quartz window with an interior plenum is operable as a shutter or UV filter in a degas chamber by supplying the plenum with an ozone-containing gas. Pressure in the plenum can be adjusted to block UV light transmission into the degas chamber or adjust transmittance of UV light through the window. When the plenum is evacuated, the plenum allows maximum transmission of UV light into the degas chamber. | 10-31-2013 |
20130295780 | METHOD FOR MANUFACTURING SEMICONDUCTOR WAFER - A method of manufacturing a silicon wafer, an oxygen concentration in a surface layer to be maintained more than a predetermined value while promoting a defect-free layer. Strength of the surface layer can be made higher than that of an ordinary annealed sample as a COP free zone is secured. A method of manufacturing a silicon wafer doped with nitrogen and oxygen, includes growing a single crystal silicon doped with the nitrogen by Czochralski method, slicing the grown single crystal silicon to obtain a single crystal silicon wafer; heat treating the sliced single crystal silicon wafer in an ambient gas including a hydrogen gas and/or an inert gas; polishing the heat treated single crystal silicon wafer, after the heat treatment, such that an obtained surface layer from which COP defects have been removed by the heat treatment is polished away until an outermost surface has a predetermined oxygen concentration. | 11-07-2013 |
20130316548 | SINGLE-SHOT SEMICONDUCTOR PROCESSING SYSTEM AND METHOD HAVING VARIOUS IRRADIATION PATTERNS - High throughput systems and processes for recrystallizing thin film semiconductors that have been deposited at low temperatures on a substrate are provided. A thin film semiconductor workpiece ( | 11-28-2013 |
20130323936 | APPARATUS AND METHODS FOR RAPID THERMAL PROCESSING - Embodiments of the present invention provide apparatus and methods for performing rapid thermal processing. One embodiment of the present invention provides an apparatus for processing a substrate. The apparatus includes a heating source disposed outside a chamber body and configured to provide thermal energy towards a processing volume. The substrate support defines a substrate supporting plane, and the substrate support is configured to support the substrate in the substrate supporting plane. The heating source includes a frame member having an inner wall surrounding an area large enough to encompass a surface area of the substrate, and a plurality of diode laser tiles mounted on the inner wall of the frame member. Each of the plurality of diode laser tiles is directed towards a corresponding area in the processing volume. | 12-05-2013 |
20130337661 | HEAT TREATMENT METHOD AND HEAT TREATMENT APPARATUS FOR HEATING SUBSTRATE BY IRRADIATING SUBSTRATE WITH LIGHT - A surface of a semiconductor wafer with a gate of a high dielectric constant film formed thereon is heated to a target temperature for a short time by irradiating the surface with a flash of light. This promotes the crystallization of the high dielectric constant film while suppressing the growth of an underlying silicon dioxide film. Subsequently, the temperature of the semiconductor wafer subjected to the flash heating is maintained at an annealing temperature by irradiating the semiconductor wafer with light from halogen lamps. An annealing process after the flash heating is performed in an atmosphere of a gas mixture of hydrogen gas and nitrogen gas. The annealing process is performed on the semiconductor wafer in the atmosphere of the hydrogen-nitrogen gas mixture, so that defects present near the interfaces of the high dielectric constant film are eliminated by hydrogen termination. | 12-19-2013 |
20140004716 | Apparatus and Method for Improved Control of Heating and Cooling of Substrates | 01-02-2014 |
20140038430 | METHOD FOR PROCESSING OBJECT - In a method for processing an object by heating the object, microwaves are irradiated to the object. In the microwave irradiation, the object is forcedly cooled. | 02-06-2014 |
20140038431 | APPARATUS AND METHODS FOR MICROWAVE PROCESSING OF SEMICONDUCTOR SUBSTRATES - Methods and apparatus for radiation processing of semiconductor substrates using microwave or millimeter wave energy are provided. The microwave or millimeter wave energy may have a frequency between about 600 MHz and about 1 THz. Alternating current from a magnetron is coupled to a leaky microwave emitter that has an inner conductor and an outer conductor, the outer conductor having openings with a dimension smaller than a wavelength of the emitted radiation. The inner and outer conductors are separated by an insulating material. Interference patterns produced by the microwave emissions may be uniformized by phase modulating the power to the emitter and/or by frequency modulating the frequency of the power itself. Power from a single generator may be divided to two or more emitters by a power divider. | 02-06-2014 |
20140045346 | SYSTEMS AND METHODS FOR PREPARATION OF EPITAXIALLY TEXTURED THICK FILMS - The disclosed subject matter relates to the use of laser crystallization of thin films to create epitaxially textured crystalline thick films. In one or more embodiments, a method for preparing a thick crystalline film includes providing a film for crystallization on a substrate, wherein at least a portion of the substrate is substantially transparent to laser irradiation, said film including a seed layer having a predominant surface crystallographic orientation; and a top layer disposed above the seed layer; irradiating the film from the back side of the substrate using a pulsed laser to melt a first portion of the top layer at an interface with the seed layer while a second portion of the top layer remains solid; and re-solidifying the first portion of the top layer to form a crystalline laser epitaxial with the seed layer thereby releasing heat to melt an adjacent portion of the top layer. | 02-13-2014 |
20140045347 | SYSTEMS AND METHODS FOR PROCESSING A FILM, AND THIN FILMS - In some embodiments, a method of processing a film is provided, the method comprising defining a plurality of spaced-apart regions to be pre-crystallized within the film, the film being disposed on a substrate and capable of laser-induced melting; generating a laser beam having a fluence that is selected to form a mixture of solid and liquid in the film and where a fraction of the film is molten throughout its thickness in an irradiated region; positioning the film relative to the laser beam in preparation for at least partially pre-crystallizing a first region of said plurality of spaced-apart regions; directing the laser beam onto a moving at least partially reflective optical element in the path of the laser beam, the moving optical element redirecting the beam so as to scan a first portion of the first region with the beam in a first direction at a first velocity, wherein the first velocity is selected such that the beam irradiates and forms the mixture of solid and liquid in the first portion of the first region, wherein said first portion of the first region upon cooling forms crystalline grains having predominantly the same crystallographic orientation in at least a single direction; and crystallizing at least the first portion of the first region using laser induced melting. | 02-13-2014 |
20140051265 | APPARATUS AND METHOD FOR THE THERMAL TREATMENT OF SUBSTRATES - The application describes an apparatus and a method for the thermal treatment of substrates, in particular thin film substrates for photovoltaic applications. The apparatus comprises at least one substrate carrier for supporting a substrate, a heating unit having at least one heating element for heating a substrate located on the substrate carrier and at least one heating element carrier for supporting the at least one heating element. The heating element carrier is designed to allow a local change in distance between the substrate carrier and the heating element, so as to be able to provide locally different heating intensities. In the method such a change in distance is carried out during the thermal treatment. | 02-20-2014 |
20140057460 | METHODS OF THERMALLY PROCESSING A SUBSTRATE - The present invention generally relates to methods for thermally processing substrates. In one embodiment, a substrate having an amorphous thin film thereon is subjected to a first pulse of electromagnetic energy. The first pulse of electromagnetic energy has a first fluence insufficient to complete the thermal processing. After a predetermined amount of time, the substrate is then subjected to a second pulse of electromagnetic energy having a second fluence greater than the first fluence. The second fluence is generally sufficient to complete the thermal processing. Exposing the substrate to the lower fluence first pulse before the second pulse reduces damage to a thin film disposed on the substrate. In another embodiment, a substrate is exposed to a plurality of electromagnetic energy pulses. The plurality of electromagnetic energy pulses are spaced at increasing intervals to reduce the rate of recrystallization of a film on the substrate, thus increasing the size of the crystals formed during the recrystallization. | 02-27-2014 |
20140073145 | PULSE TRAIN ANNEALING METHOD AND APPARATUS - The present invention generally describes apparatuses and methods used to perform an annealing process on desired regions of a substrate. In one embodiment, pulses of electromagnetic energy are delivered to a substrate using a flash lamp or laser apparatus. The pulses may be from about 1 nsec to about 10 msec long, and each pulse has less energy than that required to melt the substrate material. The interval between pulses is generally long enough to allow the energy imparted by each pulse to dissipate completely. Thus, each pulse completes a micro-anneal cycle. The pulses may be delivered to the entire substrate at once, or to portions of the substrate at a time. Further embodiments provide an apparatus for powering a radiation assembly, and apparatuses for detecting the effect of pulses on a substrate. | 03-13-2014 |
20140080322 | Emissivity Profile Control for Thermal Uniformity - A substrate for processing in a heating system is disclosed. The substrate includes a bottom portion for absorbing heat from a radiating heat source, the bottom portion having a first region having a first emissivity and a second region having a second emissivity less than the first emissivity. The first region and the second region promote thermal uniformity of the substrate by compensating for thermal non-uniformity of the radiating heat source. | 03-20-2014 |
20140080323 | METHOD AND APPARATUS FOR FORMING A STRAIGHT LINE PROJECTION ON A SEMICONDUCTOR SUBSTRATE - An apparatus for irradiating a semiconductor is disclosed. The apparatus has a curved mirror with a reflective surface of revolution, and a point source generating an irradiation beam being incident on the curved mirror along an incident direction. The curved mirror and the point source form a system having an axis of revolution wherein the point source is provided on or near said axis of revolution. The axis of revolution substantially coincides with a straight line projection to be generated on a semiconductor substrate. Additionally, the use of such an apparatus for manufacturing a selective emitter grid, or for irradiating a large area semiconductor surface in a scanning movement, is disclosed. | 03-20-2014 |
20140080324 | MULTI-STATION SEQUENTIAL CURING OF DIELECTRIC FILMS - The present invention addresses provides improved methods of preparing a low-k dielectric material on a substrate. The methods involve multiple operation ultraviolet curing processes in which UV intensity, wafer substrate temperature and other conditions may be independently modulated in each operation. In certain embodiments, a film containing a structure former and a porogen is exposed to UV radiation in a first operation to facilitate removal of the porogen and create a porous dielectric film. In a second operation, the film is exposed to UV radiation to increase cross-linking within the porous film. In certain embodiments, the curing takes place in a multi-station UV chamber wherein UV intensity and substrate temperature may be independently controlled at each station. | 03-20-2014 |
20140094039 | EDGE RING LIP - Embodiments of the invention generally relate to a support ring to support a substrate in a process chamber. In one embodiment, the support ring comprises an inner ring, an outer ring connecting to an outer perimeter of the inner ring through a flat portion, an edge lip extending radially inwardly from an inner perimeter of the inner ring to form a supporting ledge to support the substrate, and a substrate support formed on a top surface of the edge lip. The substrate support may include multiple projections extending upwardly and perpendicularly from a top surface of the edge lip, or multiple U-shaped clips securable to an edge portion of the edge lip. The substrate support thermally disconnects the substrate from the edge lip to prevent heat loss through the edge lip, resulting in an improved temperature profile across the substrate with a minimum edge temperature gradient. | 04-03-2014 |
20140099798 | UV-Curing Apparatus Provided With Wavelength-Tuned Excimer Lamp and Method of Processing Semiconductor Substrate Using Same - A UV irradiation apparatus for processing a semiconductor substrate includes: a UV lamp unit having at least one dielectric barrier discharge excimer lamp which is constituted by a luminous tube containing a rare gas wherein an inner surface of the luminous tube is coated with a fluorescent substance having a peak emission spectrum in a wavelength range of 190 nm to 350 nm; and a reaction chamber disposed under the UV lamp unit and connected thereto via a transmission window. | 04-10-2014 |
20140099799 | Lithography Masks, Systems, and Manufacturing Methods - Lithography masks, lithography systems, methods of manufacturing lithography masks, methods of altering material layers of semiconductor devices, and methods of manufacturing semiconductor devices are disclosed. In one embodiment, a lithography mask includes a first pattern for at least one material layer of at least one die, the first pattern being oriented in a first position. The lithography mask includes a second pattern for at least one material layer of the at least one die, the second pattern being oriented in a second position. The second position is different than the first position. | 04-10-2014 |
20140113458 | MINIMAL CONTACT EDGE RING FOR RAPID THERMAL PROCESSING - Embodiments of the disclosure generally relate to a support ring that supports a substrate in a process chamber. In one embodiment, the support ring comprises an inner ring, an outer ring connecting to an outer perimeter of the inner ring through a flat portion, an edge lip extending radially inwardly from an inner perimeter of the inner ring to form a supporting ledge, and a substrate support extending upwardly from a top surface of the edge lip. The substrate support may be a continuous ring-shaped body disposed around a circumference of the edge lip. The substrate support supports a substrate about its entire periphery from the back side with minimized contact surface to thermally disconnect the substrate from the edge lip. Particularly, the substrate support provides a substantial line contact with the back surface of the substrate. | 04-24-2014 |
20140127914 | CHARGED PARTICLE BEAM WRITING APPARATUS AND CHARGED PARTICLE BEAM WRITING METHOD - A charged particle beam writing apparatus includes a map generation unit to generate a map where a parameter concerning a chip is defined for each mesh region obtained by virtually dividing a region including the chip including a plurality of figure patterns into a plurality of mesh regions, an exchange unit to, when performing at least one data processing of reversal and rotation for data of the chip, centering on the center of the chip or the center of the region including the chip, exchange parameters each being the parameter defined for each mesh region in the map, to be corresponding to the position of a figure pattern for which the data processing was performed, and a writing unit to write the figure pattern in the chip for which at least one data processing of reversal and rotation was performed on a target object with a charged particle beam. | 05-08-2014 |
20140148017 | THERMAL TREATMENT METHODS AND APPARATUS - Embodiments described herein provide methods and apparatus for thermally treating a substrate. A first radiant energy source that delivers a first radiation at a first fluence and a second radiant energy source that delivers a second radiation at a second fluence are disposed to direct energy toward a substrate support positioned to receive the first radiation at a first location and the second radiation at a second location, wherein the first fluence is 10 to 100 times the second fluence and the first radiation cannot reach the second location. The first radiant energy source may be a laser, and the second radiant energy source may be a plurality of lasers, for example a pulsed laser assembly with a plurality of pulsed lasers. The second radiant energy source may also be a flash lamp. The first and second radiant energy sources may be in the same chamber or different chambers. | 05-29-2014 |
20140154891 | Beam Delivery Systems for Laser Processing Materials and Associated Methods - Devices, systems, and methods for laser processing semiconductor materials are provided. In one aspect, a system for uniformly laser irradiating at least one wafer can include a wafer platter operable to receive and support a one or more wafers, a rotational movement system coupled to the wafer platter, the rotational movement system being operable to rotate the wafer platter in at least one of a clockwise or a counter clockwise direction, and a linear movement system coupled to the wafer platter and operable to move the wafer platter along one or more linear axes. The system can also include a laser source oriented to deliver laser radiation onto a wafer supported by the wafer platter at a fixed angle relative to the surface of the wafer, where the rotational movement system and the linear movement system are operable to maintain the fixed angle across the entirety of the wafer surface. | 06-05-2014 |
20140170862 | SUBSTRATE PROCESSING APPARATUS, SUBSTRATE PROCESSING METHOD AND NON-TRANSITORY STORAGE MEDIUM - A substrate processing apparatus includes a substrate holding part configured to hold and support a substrate, a heating module configured to heat a substrate, and a cooling module configured to cool the substrate heated in the heating module. The substrate processing apparatus further includes a substrate transfer mechanism configured to take out the substrate from the substrate holding part and to sequentially transfer the substrate to the heating module and the cooling module, and a control unit configured to set a cooling time of the substrate in the cooling module based on a transfer history of the substrate while the substrate heated in the heating module is loaded into the cooling module. | 06-19-2014 |
20140179123 | Site-Isolated Rapid Thermal Processing Methods and Apparatus - Methods and apparatus are described that allow the investigation of process variables used in RTP systems to be varied in a combinatorial manner across a plurality of site-isolated regions designated in the surface of a substrate. The methods and apparatus allow process variables such as power, dwell time, light source, cooling gas composition, cooling gas flow rate, reactive gas composition, reactive gas flow rate, and substrate support temperature and the like to be investigated. | 06-26-2014 |
20140179124 | Three-Dimensional Writable Printed Memory - The present invention discloses a three-dimensional writable printed memory (3D-wP). It comprises at least a printed memory array and a writable memory array. The printed memory array stores contents data, which are recorded with a printing means; the writable memory array stores custom data, which are recorded with a writing means. The writing means is preferably direct-write lithography. To maintain manufacturing throughput, the total amount of custom data should be less than 1% of the total amount of content data. | 06-26-2014 |
20140187055 | SHORT PULSE FIBER LASER FOR LTPS CRYSTALLIZATION - Laser pulses from pulsed fiber lasers are directed to an amorphous silicon layer to produce a polysilicon layer comprising a disordered arrangement of crystalline regions by repeated melting and recrystallization. Laser pulse durations of about 0.5 to 5 ns at wavelength range between about 500 nm and 1000 nm, at repetition rates of 10 kHz to 10 MHz can be used. Line beam intensity uniformity can be improved by spectrally broadening the laser pulses by Raman scattering in a multimode fiber or by applying varying phase delays to different portions of a beam formed with the laser pulses to reduce beam coherence. | 07-03-2014 |
20140193984 | APPARATUS AND METHOD FOR REDUCING RESIDUAL STRESS OF SEMICONDUCTOR - An apparatus for reducing residual stress of a semiconductor includes a stage configured to support a semiconductor wafer having the residual stress generated by a semiconductor manufacturing process. The apparatus includes an intense pulsed light (IPL) irradiation unit configured to irradiate IPL to the semiconductor wafer to reduce the residual stress of the semiconductor wafer, the IPL radiation unit being separated from the stage. The apparatus further includes at least one alignment unit configured to adjust relative positions of the stage and the IPL irradiation unit. | 07-10-2014 |
20140199858 | THERMAL PROCESSING BY TRANSMISSION OF MID INFRA-RED LASER LIGHT THROUGH SEMICONDUCTOR SUBSTRATE - Thermal processing is performed by transmission of mid infra-red laser light through a substrate such as a semiconductor substrate with a limited mid infra-red transmission range. The laser light is generated by a rare-earth-doped fiber laser and is directed through the substrate such that the transmitted power is capable of altering a target material at a back side region of the substrate, for example, on or spaced from the substrate. The substrate may be sufficiently transparent to allow the transmitted mid infra-red laser light to alter the target material without altering the material of the substrate. In one example, the rare-earth-doped fiber laser is a high average power thulium fiber laser operating in a continuous wave (CW) mode and in a 2 μm spectral region. | 07-17-2014 |
20140206204 | SUBSTRATE PROCESSING APPARATUS, METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE, AND NON-TRANSITORY COMPUTER-READABLE RECORDING MEDIUM - Provided is a substrate processing apparatus including a reaction chamber configured to heat a substrate; a transfer chamber configured to transfer the heated substrate; a refrigerant flow path installed in the reaction chamber; a refrigerant flow path installed in the reaction chamber; a refrigerant supply unit installed in the refrigerant flow path; a refrigerant exhaust unit installed in the refrigerant flow path; a transfer chamber refrigerant supply unit installed in the transfer chamber; a transfer chamber refrigerant exhaust unit installed in the transfer chamber; a heat exchanger connected to the refrigerant exhaust pipe and the transfer chamber refrigerant exhaust unit; a turbine connected to the heat exchanger; a generator connected to the turbine; and a control unit configured to control the refrigerant supply unit and the transfer chamber refrigerant supply unit. | 07-24-2014 |
20140235071 | SUBSTRATE RAPID THERMAL HEATING SYSTEM AND METHODS - A method and apparatus for rapid thermal heat treatment of semiconductor and other substrates is provided. A number of heat lamps arranged in an array or other configuration produce light and heat radiation. The light and heat radiation is directed through a heat slot that forms a radiation beam of high intensity light and heat. The radiation beam is directed to a platen that includes multiple substrates. The apparatus and method include a controller that controls rotational and translational motion of the platen relative to the heat slot and also controls the power individually and collectively supplied to the heat lamps. A program is executed which maneuvers the platen such that all portions of all substrates receive the desired thermal treatment, i.e. attain a desired temperature for a desired time period. | 08-21-2014 |
20140235072 | THERMAL PROCESSING METHOD AND THERMAL PROCESSING APPARATUS FOR HEATING SUBSTRATE, AND SUSCEPTOR - A semiconductor wafer with (100) plane orientation has two orthogonal cleavage directions. A notch is provided so as to indicate one of these directions. During irradiation with a flash, the semiconductor wafer warps about one of two radii at an angle of 45 degrees with respect to the cleavage directions such that the upper surface thereof becomes convex, and the opposite ends of the other radii become the lowest position. Eight support pins in total are provided in upright position on the upper surface of a holding plate of a susceptor while being spaced at intervals of 45 degrees along the same circumference. The semiconductor wafer is placed on the susceptor such that any of the support pins supports a radius at an angle of 45 degrees with respect to a cleavage direction. | 08-21-2014 |
20140242815 | METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE - A method of manufacturing a semiconductor device according to the present invention includes the steps of (b) forming, on a back face of a dummy substrate and back faces of a plurality of semiconductor substrates, inorganic films having such thicknesses as to be resistant to a temperature of a thermal oxidizing treatment or a heat treatment and to sufficiently decrease an amount of oxidation or reducing gaseous species to reach the back faces of the dummy substrate and the plurality of semiconductor substrates, (c) disposing the dummy substrate and the plurality of semiconductor substrates in a lamination with surfaces turned in the same direction at an interval from each other, and (d) carrying out a thermal oxidizing treatment or post annealing over the surfaces of the semiconductor substrates in an oxidation gas atmosphere or a reducing gas atmosphere after the steps (b) and (c). | 08-28-2014 |
20140248784 | MICROWAVE PROCESSING APPARATUS AND MICROWAVE PROCESSING METHOD - A microwave processing apparatus includes a processing chamber configured to accommodate an object to be processed, a support member configured to support the object by contact with the object in the processing chamber, and a microwave introducing unit configured to generate a microwave for processing the object and introduce the microwave into the processing chamber. The microwave processing apparatus further includes a heat absorbing layer provided on a wall surface of a member facing the object supported by the supporting member in the processing chamber. The heat absorbing layer is made of a material that transmits the microwave and has an emissivity higher than an emissivity of the member facing the object. | 09-04-2014 |
20140256161 | PROCESS SHEET RESISTANCE UNIFORMITY IMPROVEMENT USING MULTIPLE MELT LASER EXPOSURES - Embodiments described herein relate to apparatus and methods of thermal processing. More specifically, apparatus and methods described herein relate to laser thermal treatment of semiconductor substrates by increasing the uniformity of energy distribution in an image at a surface of a substrate. | 09-11-2014 |
20140273532 | PROCESSING SYSTEM FOR ELECTROMAGNETIC WAVE TREATMENT OF A SUBSTRATE AT MICROWAVE FREQUENCIES - A processing system is disclosed, having a process chamber that houses a substrate for exposure of a surface of the substrate to a travelling electromagnetic (EM) wave. The processing system also includes an EM wave transmission antenna configured to launch the travelling EM wave into the process chamber for the travelling EM wave to propagate in a direction substantially parallel to the surface of the substrate. The processing system also includes a power coupling system configured to supply EM energy into the EM wave transmission antenna to generate the travelling EM wave at a prescribed output power and in a prescribed EM wave mode during treatment of the substrate. The processing system also includes an EM wave receiving antenna configured to absorb the travelling EM wave after propagation through the process chamber. | 09-18-2014 |
20140273533 | Semiconductor Annealing Method Utilizing a Vacuum Environment - A semiconductor annealing method and system uses a vacuum pump to produce a vacuum environment in the annealing chamber to thereby remove undesired gas element influences. A control system obtains pressure and temperature measurements from the annealing chamber to control operation of the heating elements and vacuum pump to thereby maintain process integrity. | 09-18-2014 |
20140273534 | INTEGRATION OF ABSORPTION BASED HEATING BAKE METHODS INTO A PHOTOLITHOGRAPHY TRACK SYSTEM - A method of patterning a layered substrate is provided that includes forming a layer of a block copolymer on a substrate; and annealing the layer of the block copolymer to affect microphase segregation such that self-assembled domains are formed by application of an absorption based heating method. Exemplary absorption based heating methods include electromagnetic radiation sources such as broadband flash lamps, light emitting diodes, lasers, or DUV flash lamps. The method may also include a metrology review and an application of the absorption based heating to at least a portion of the layered substrate to refine or modify the microphase segregation. | 09-18-2014 |
20140273535 | Systems and Methods of Laser Texturing and Crystallization of Material Surfaces - The surface of a material is textured and crystallized in a single step by exposing the surface to pulses from an ultrafast laser. The laser treatment causes pillars to form on the treated surface. These pillars provide for greater light absorption. The crystallization of the material provides for higher electric conductivity and changes in optical properties of the material. The method may be performed in a gaseous environment, so that laser assisted chemical etching will aid in the texturing of the surface. This method may be used on various material surfaces, such as semiconductors, metals, ceramics, polymers, and glasses. | 09-18-2014 |
20140287599 | SUBSTRATE PROCESSING APPARATUS, PROCESS CONTAINER, AND METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE - Provided are a substrate processing apparatus, a process container and a method of manufacturing a semiconductor device capable of improving the quality of a thin film by stabilizing conditions of heating a substrate when the thin film is formed on the substrate heated using a heating unit installed outside the process container. The substrate processing apparatus includes a process container in which processing to a substrate is performed; a heating unit disposed outside the process container and configured to emit a radiant heat so as to heat the substrate in the process container; and a source gas supply system configured to supply a source gas into the process container, wherein the process container includes a heat absorbing layer disposed on at least a portion of an outer wall of the process container and configured to absorb the radiant heat and cause a saturation of absorption of the radiant heat. | 09-25-2014 |
20140322925 | METHOD OF LASER ANNEALING PROCESS - The present disclosure discloses a method of laser annealing process, wherein the surface of the semiconductor structure on a substrate is scanned by a laser annealing device, and the said laser annealing device comprises a laser source and the optical instruments. The invention comprises the following steps: generating a laser beam by the laser source, and the laser beam is irradiating on a mirror, the route thereof changed by 90 degrees and converging the laser beam by the optical instrument thereafter. By this method, an improved annealing process which saved the chamber, reduced the likelihood of the oxidation of silicon film in the annealing process, improved the electrical property of silicon substrate, reduced the weight of machine and further simplified the maintenance machine. | 10-30-2014 |
20140322926 | METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE - Provided is a method of manufacturing a semiconductor device using a heating device capable of suppressing shearing of a holder due to thermal deformation of the heating element included in the heating device. The method includes: loading a substrate into a process chamber surrounded by a heating device including a heating element; and increasing temperature of the heating element including a mountain part and a valley part alternately connected in plurality to form a meander shape with both ends thereof being fixed to an insulating body installed at an outer circumference of the heating element wherein the heating element is fixed to the insulating body by a holding body disposed in a holding body receiving part installed at end of the valley part having a cutout part having a width larger than that of the valley part to heat the substrate in the process chamber. | 10-30-2014 |
20140370719 | METHOD OF FOCUS MEASUREMENT, EXPOSURE APPARATUS, AND METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE - A method of focus measurement of the embodiment irradiates exposure light from a first direction and projects first and second line-and-space patterns on a substrate. Further, exposure light is irradiated from a second direction and third and fourth line-and-space patterns are projected on the substrate. By measuring a distance between the first and third line-and-space patterns on the substrate, a sum of a dislocated amount caused by dislocation of focus and an overlap dislocation amount between the first and third line-and-space patterns is calculated as a first dislocated amount. Further, by measuring a distance between the second and fourth line-and-space patterns on the substrate, an overlap dislocation amount between the second and fourth line-and-space patterns is calculated as a second dislocation amount. Further, based on the first and second dislocation amounts, the focus dislocation amount is calculated. | 12-18-2014 |
20140377966 | MICROWAVE PLASMA DEVICE - A processing system is disclosed, having a power transmission element with an interior cavity that propagates electromagnetic energy proximate to a continuous slit in the interior cavity. The continuous slit forms an opening between the interior cavity and a substrate processing chamber. The electromagnetic energy may generate an alternating charge in the continuous slit that enables the generation of an electric field that may propagate into the processing chamber. The electromagnetic energy may be conditioned prior to entering the interior cavity to improve uniformity or stability of the electric field. The conditioning may include, but is not limited to, phase angle, field angle, and number of feeds into the interior cavity. | 12-25-2014 |
20150017816 | METHOD FOR PERFORMING LASER CRYSTALLIZATION - A method for performing a laser crystallization is provided. The method includes generating a laser beam, refracting the laser beam to uniformize an intensity of the laser beam at a focal plane of the laser beam. The laser beam whose intensity is uniformized is applied into an object substrate mounted with a stage. | 01-15-2015 |
20150017817 | LASER PROCESSING APPARATUS AND LASER PROCESSING METHOD - A laser processing apparatus includes a laser beam generating device that generates a first pulse laser beam for temporarily increasing a light absorptance in a predetermined region of a processing object, and a second pulse laser beam to be absorbed in the predetermined region in which the light absorptance has temporarily increased, and a support portion that is provided on a downstream of the first pulse laser beam and the second laser beam generated by the laser beam generating device and has a placement surface for placing the processing object. The laser beam generating device emits the second pulse laser beam with a delay with respect to the first pulse laser beam by a delay time within a predetermined period of time before the light absorptance that has temporarily increased in the predetermined region returns to an original value. | 01-15-2015 |
20150037983 | OPTICAL HEAT SOURCE WITH RESTRICTED WAVELENGTHS FOR PROCESS HEATING - A semiconductor manufacturing system or process, such as an ion implantation system, apparatus and method, including a component or step for heating a semiconductor workpiece are provided. An optical heat source emits light energy to heat the workpiece. The optical heat source is configured to provide minimal or reduced emission of non-visible wavelengths of light energy and emit light energy at a wavelength in a maximum energy light absorption range of the workpiece. | 02-05-2015 |
20150050819 | SUPPORT CYLINDER FOR THERMAL PROCESSING CHAMBER - Embodiments of the disclosure generally relate to a support cylinder used in a thermal process chamber. In one embodiment, the support cylinder comprises a ring body having an inner peripheral surface and an outer peripheral surface, wherein the ring body comprises an opaque quartz glass material and wherein the ring body is coated with an optical transparent layer. The optical transparent layer has a coefficient of thermal expansion that is substantially matched or similar to the opaque quartz glass material to reduce thermal expansion mismatch that may cause thermal stress under high thermal loads. In one example, the opaque quartz glass material is synthetic black quartz and the optical transparent layer comprises a clear fused quartz material. | 02-19-2015 |
20150064933 | CRYSTALLIZATION OF AMORPHOUS FILMS AND GRAIN GROWTH USING COMBINATION OF LASER AND RAPID THERMAL ANNEALING - A method is disclosed for crystallizing semiconductor material so that it has large grains of uniform size comprising delivering a first energy exposure of high intensity and short duration, and then delivering at least one second energy exposures of low intensity and long duration. The first energy exposure heats the substrate to a high temperature for a duration less than about 0.1 sec. The second energy exposure heats the substrate to a lower temperature for a duration greater than about 0.1 sec. | 03-05-2015 |
20150104956 | ADJUSTABLE SPATIAL FILTER FOR LASER SCRIBING APPARATUS - An apparatus for radiatively scribing a substantially planar semiconductor substrate along a scribelane that extends between opposing rows of semiconductor devices on a target surface of the substrate, said scribelane having a length extending parallel to a first direction and a width extending parallel to a second direction, these first and second directions lying respectively parallel to X and Y axes of a Cartesian coordinate system, comprising:
| 04-16-2015 |
20150118866 | SYSTEMS AND METHODS FOR ANNEALING SEMICONDUCTOR STRUCTURES - Systems and methods are provided for annealing a semiconductor structure. For example, a semiconductor structure is provided. An energy-converting material capable of increasing the semiconductor structure's absorption of microwave radiation is provided. A heat reflector is provided between the energy-converting material and the semiconductor structure, the heat reflector being capable of reflecting thermal radiation from the semiconductor structure. Microwave radiation is applied to the energy-converting material and the semiconductor structure to anneal the semiconductor structure for fabricating semiconductor devices. | 04-30-2015 |
20150132973 | Ultraviolet Curing Apparatus And Ultraviolet Curing Method Thereof - An ultraviolet curing apparatus includes a chamber, a gas flow generator, and an ultraviolet lamp. The gas flow generator includes a top liner and a bottom liner coupled to each other. The top liner and the bottom liner are disposed in the chamber, and are made of low-coefficient of thermal expansion material. The ultraviolet lamp is disposed on the chamber and is configured for providing ultraviolet light. | 05-14-2015 |
20150147894 | Substrate Processing Apparatus, Method of Manufacturing Semiconductor Device and Non-Transitory Computer-Readable Recording Medium - Heating within a plane of a substrate may be uniform while a thermal budget is decreased. A substrate processing apparatus includes a process chamber configured to accommodate a substrate; a substrate mounting unit installed in the process chamber and configured to have the substrate placed thereon; an electromagnetic wave supply unit configured to supply an electromagnetic wave to the substrate placed on the substrate mounting unit; and a choke groove formed on a side surface of the substrate mounting unit. | 05-28-2015 |
20150311079 | SEMICONDUCTOR INTEGRATED CIRCUIT MANUFACTURING METHOD - According to embodiments, there is provided a semiconductor device, including: a first area including plural transistors formed therein; and a second area including plural dummy transistors formed therein, the second area surrounding the first area, wherein a pitch of the dummy transistors is equal to or less than a central wavelength of a light used to form the transistors. | 10-29-2015 |
20150311080 | HEAT TREATMENT METHOD AND HEAT TREATMENT APPARATUS FOR HEATING SUBSTRATE BY EMITTING FLASHING LIGHT - A first flash heating is performed in which a flash lamp emits a first flashing light to a semiconductor wafer having been heated to a first preheating temperature equal to or lower than 650 degrees C. by a light emission from a halogen lamp so that the temperature of a surface of the semiconductor wafer reaches 1000 degrees C. or higher. Then, a second flash heating is performed in which a second flashing light is emitted to the semiconductor wafer having been further heated by a light emission of the halogen lamp. Performing the first flash heating can suppress diffusion of impurity in the subsequent second flash heating. In the second flash heating, the impurity is activated and introduced crystal defects are recovered. | 10-29-2015 |
20150311110 | PROCESS FOR FABRICATING A SEMICONDUCTOR-ON-INSULATOR SUBSTRATE - The present disclosure relates to a process for fabricating a plurality of semiconductor-on-insulator structures, the insulator being a layer of silicon dioxide having a thickness smaller than 50 nm, each structure comprising a semiconductor layer placed on the silicon dioxide layer, the fabrication process comprising a step of heat treating the plurality of structures, which heat treatment step is designed to partially dissolve the silicon dioxide layer, the heat treatment step being carried out in a non-oxidizing atmosphere and the pressure of the non-oxidizing atmosphere being lower than 0.1 bar. | 10-29-2015 |
20150325456 | METHOD FOR CLEANING BASE, HEAT PROCESS METHOD FOR SEMICONDUCTOR WAFER, AND METHOD FOR MANUFACTURING SOLID-STATE IMAGE CAPTURING APPARATUS - A method for cleaning a base for supporting an object to process in an apparatus configured to perform a heat process, the method comprising a first step of forming an oxide film on the base including silicon carbide, by subjecting the base to a heat process in a gas atmosphere including oxygen, and a second step of, after the first step, subjecting the base to a heat process in a gas atmosphere including steam, wherein the first step is performed for 10 hours at a temperature of 1000° C. or more. | 11-12-2015 |
20150340244 | SYSTEMS AND METHODS FOR ANNEALING SEMICONDUCTOR STRUCTURES - Systems and methods are provided for annealing a semiconductor structure. In one embodiment, the method includes providing an energy-converting structure proximate a semiconductor structure, the energy-converting structure comprising a material having a loss tangent larger than that of the semiconductor structure; providing a heat reflecting structure between the semiconductor structure and the energy-converting structure; and providing microwave radiation to the energy-converting structure and the semiconductor structure. The semiconductor structure may include at least one material selected from the group consisting of boron-doped silicon germanium, silicon phosphide, titanium, nickel, silicon nitride, silicon dioxide, silicon carbide, n-type doped silicon, and aluminum capped silicon carbide. The heat reflecting structure may include a material substantially transparent to microwave radiation and having substantial reflectivity with respect to infrared radiation. | 11-26-2015 |
20150348781 | LASER ANNEALING METHOD AND DEVICE - A laser annealing method for executing laser annealing by irradiating a semiconductor film formed on a surface of a substrate with a laser beam, the method including the steps of, generating a linearly polarized rectangular laser beam whose cross section perpendicular to an advancing direction is a rectangle with an electric field directed toward a long-side direction of the rectangle or an elliptically polarized rectangular laser beam having a major axis directed toward a long-side direction, causing the rectangular laser beam to be introduced to the surface of the substrate, and setting a wavelength of the rectangular laser beam to a length which is about a desired size of a crystal grain in a standing wave direction. | 12-03-2015 |
20160013088 | TEMPORARY BONDING LAMINATES FOR USED IN MANUFACTURE OF SEMICONDUCTOR DEVICES AND METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICES | 01-14-2016 |
20160020097 | LASER ANNEALING APPARATUS AND LASER ANNEALING METHOD USING THE SAME - A laser annealing apparatus includes a beam splitter that splits a laser beam emitted from a laser source into a reflection light beam and a transmission light beam, a beam vibrator that makes an irradiation point of the reflection light beam or the transmission light beam vibrate in a predetermined direction, a beam inverter that inverts the reflection light beam or the transmission light beam, and a light collector that collects the reflection light and the transmission light. | 01-21-2016 |
20160027661 | HEAT TREATMENT APPARATUS AND HEAT TREATMENT METHOD - There is provided a heat treatment apparatus, including: a processing container configured to perform a heat treatment on substrates accommodated in the processing container; a heating unit configured to cover an outer circumference of the processing container with a predetermined space defined the heating unit and the processing container; a discharge pipe installed outside of the processing container and within the predetermined space, and configured to communicate with an interior of the processing container to discharge an exhaust gas from the interior of the processing container; and a heat insulating member configured to cover a circumference of the discharge pipe. | 01-28-2016 |
20160069613 | HIGH CAPACITY MAGNETIC ANNEALING SYSTEM AND METHOD OF OPERATING - An annealing system and method of operating is described. The annealing system includes a vertical furnace having an inner cylindrical insulating tube and at least one heating element surrounding an outer surface thereof, wherein the inner cylindrical insulating tube defines a processing space into which a plurality of workpieces may be vertically translated and subjected to thermal and/or magnetic processing. The annealing system further includes a workpiece boat for carrying at least one hundred workpieces, a boat loader arranged beneath the vertical furnace, and configured to vertically translate the workpiece boat and position the workpieces within the processing space, and a magnet system arranged outside the vertical furnace and configured to generate a magnetic field within the processing space. | 03-10-2016 |
20160074772 | DEVICE AND METHOD FOR APPLYING A MATERIAL TO A SUBSTRATE - Device for heating a substrate includes a process chamber having a first and a second sealable opening for a substrate to pass through, a first inlet for receiving a process gas and a first outlet for discharging an off-gas; an evaporator for evaporating the material, which evaporator device is connected to the chamber for supplying the process gas; a first condensation device connected to the chamber for receiving the off-gas and condensing the material in the vapour phase in the off-gas to form a solid phase and a second condensation device for condensing part of the material in vapour phase in the off-gas to form a liquid phase, which second condensation device connects the first condensation device to the discharge duct and a connecting duct between the evaporator device and the second condensation device for transporting material in the liquid phase between the second condensation device and the evaporator. | 03-17-2016 |
20160086818 | SUBSTRATE PROCESSING APPARATUS AND A METHOD OF MANUFACTURING A SEMICONDUCTOR DEVICE - The temperature of a substrate is elevated rapidly while improving the temperature uniformity of the substrate. The substrate is loaded into a process chamber, the loaded substrate is supported on a first substrate support unit, a gas is supplied to the process chamber, the temperature of the substrate supported on the first substrate support unit is elevated in a state of increasing the pressure in the process chamber to higher than the pressure during loading of the substrate or in a state of increasing the pressure in the process chamber to higher than the pressure during processing for the surface of the substrate, the substrate supported on the first substrate support unit is transferred to the second substrate support unit and supported thereon after lapse of a predetermined time, and the surface of substrate is processed while heating the substrate supported on the second substrate support unit. | 03-24-2016 |
20160093521 | DUAL TEMPERATURE HEATER - A method and apparatus for heating a substrate in a chamber are provided. an apparatus for positioning a substrate in a processing chamber. In one embodiment, the apparatus comprises a substrate support assembly having a support surface adapted to receive the substrate and a plurality of centering members for supporting the substrate at a distance parallel to the support surface and for centering the substrate relative to a reference axis substantially perpendicular to the support surface. The plurality of the centering members are movably disposed along a periphery of the support surface, and each of the plurality of centering members comprises a first end portion for either contacting or supporting a peripheral edge of the substrate. | 03-31-2016 |
20160104635 | TEMPORARY BONDING LAMINATES FOR USED IN MANUFACTURE OF SEMICONDUCTOR DEVICES AND METHODS FOR MANUFACTURING SEMICONDUCTOR DEVICES - Provided is temporary bonding laminates for used in a manufacture of semiconductor devices, by which a member to be processed can be temporarily supported securely and readily during a mechanical or chemical process of the member to be processed and then the processed member can be readily released from the temporary support without damaging the processed member even after a high temperature process, and processes for manufacturing semiconductor devices. The temporary bonding laminate includes comprising (A) a release layer and (B) an adhesive layer wherein the release layer (A) comprises (a1) a resin | 04-14-2016 |
20160111288 | LOW-K DAMAGE REPAIR AND PORE SEALING AGENTS WITH PHOTOSENSITIVE END GROUPS - Methods of repairing damaged low-k dielectric films using UV-activated photosensitive organic compounds are described herein. Methods of sealing pores by exposing porous dielectric films to UV-activated large photosensitive organic compounds are also described. Methods also include mechanically reinforcing dielectric films using photosensitive organic compounds activated by UV radiation. Compounds include at least one photosensitive end group, such as an unsaturated bond or group with high ring strain. | 04-21-2016 |
20160126323 | METHOD OF PREPARING MONOATOMIC LAYER BLACK PHOSPHOROUS BY IRRADIATING ULTRASOUND - A method of preparing monoatomic layer black phosphorous by irradiating an ultrasound includes: putting black phosphorus into a solvent and irradiating the ultrasound; recovering a solution from a solution to which the ultrasound is irradiated; and collecting black phosphorus remaining after the solution has been recovered, putting the black phosphorus into a solvent, irradiating the ultrasound, and recovering a solution. | 05-05-2016 |
20160133458 | Generalization Of Shot Definitions For Mask And Wafer Writing Tools - Techniques for reducing the number of shots required by a radiation beam writing tool to write a pattern, such as fractured layout design, onto a substrate. One or more apertures are employed by a radiation beam writing tool to write a desired pattern onto a substrate using L-shaped images, T-shaped images, or some combination of both. By reducing the number of shots required to write a pattern onto a substrate, various implementations of the invention may reduce the write time and/or write complexity of the write process. | 05-12-2016 |
20160133468 | DAMAGE-FREE SELF-LIMITING THROUGH-SUBSTRATE LASER ABLATION - A first substrate, bonded to a second substrate by a material, is provided. The first substrate is transparent to at least some wavelengths of electromagnetic radiation. The first substrate is irradiated with the electromagnetic radiation to which the first substrate is transparent, such that the electromagnetic radiation impinges on the material causing a decomposition thereof at a location at an interface between the first substrate and the material. The decomposition results in, at the location, an interface of the first substrate and an atmosphere of the decomposition. The atmosphere of the decomposition has an optical property resulting in ceasing the decomposition of the material. | 05-12-2016 |
20160172218 | HEAT TREATMENT APPARATUS, HEAT TREATMENT METHOD, AND STORAGE MEDIUM | 06-16-2016 |
20160179006 | CROSS TECHNOLOGY RETICLE (CTR) OR MULTI-LAYER RETICLE (MLR) CDU, REGISTRATION, AND OVERLAY TECHNIQUES | 06-23-2016 |