Entries |
Document | Title | Date |
20080199783 | Double-Decker Pellicle-Mask Assembly - A pellicle-mask assembly includes a mask substrate having an absorber pattern, and a hard pellicle held against movement with respect to the mask substrate by gas pressure. | 08-21-2008 |
20080199784 | Small Feature Integrated Circuit Fabrication - A method for controlling etching during photolithography in the fabrication of an integrated circuit in connection with first and second features that are formed on the integrated circuit having a gap there between comprising depositing a layer of photoresist on the integrated circuit, selectively exposing portions of the photoresist through at least one photolithography mask having a pattern including means for alleviating line end shortening of the first and second lines adjacent the gap, and developing the photoresist after the selective exposing step. | 08-21-2008 |
20080199785 | Substrate fluorescence mask utilizing a multiple color overlay for embedding information in printed documents - A method is provided for creation of a substrate fluorescence mask having background color(s), UV mark color(s), and distraction color(s), to be printed as an image on a substrate containing optical brightening agents. The method includes selecting one or more UV mark colors for the mask such that the UV mark colors exhibit low contrast against the background color(s) under normal illumination and high contrast against the background color(s) under UV illumination. One or more distraction colors are also selected, such that the distraction color(s) exhibit low contrast against the background color(s) under UV illumination and exhibit high contrast against the background color(s) under normal illumination. A distraction pattern, formed from one or more distraction colors, is also selected. | 08-21-2008 |
20080199786 | METHOD FOR FABRICATING PHOTOMASK IN SEMICONDUCTOR DEVICE - In a method for fabricating a photomask in a semiconductor device, a phase shift layer, a first light blocking layer, an insulating (or intermediate) layer, and a second light blocking layer are deposited on a transparent substrate. A photoresist pattern selectively exposing a surface of the second light blocking layer is formed. A second light blocking pattern exposing a portion of the insulating layer is formed by etching the second light blocking layer using the photoresist pattern as a mask. A critical dimension (CD) of the second light blocking pattern is measured and the CD of the second light blocking pattern is adjusted. A first light blocking pattern and a phase shift pattern are formed by etching the insulating layer, the first light blocking layer, and the phase shift layer using the second light blocking pattern with the adjusted CD as a mask. A photomask pattern having a light blocking region and a transmitting region is formed by removing the second light blocking region and the insulating layer. | 08-21-2008 |
20080199787 | REFLECTIVE MASK BLANK FOR EUV LITHOGRAPHY - To provide a reflective mask blank for EUV lithography having an absorber layer which has a low reflectance in a wavelength region of EUV light or light for inspection of a pattern and which is easy to control to have a desired layer composition and thickness. | 08-21-2008 |
20080199788 | MANUFACTURING METHOD OF THIN FILM TRANSISTOR ARRAY PANEL USING AN OPTICAL MASK - A photo mask is provided. The mask includes: a transmitting area and a translucent area, wherein the translucent area includes a plurality of light blocking portions blocking light, and wherein the light blocking portions have a plurality of areas blocking different amounts of light. By using this type of photo mask, a substantially flat layer of photoresist film can be deposited even on top of an uneven surface. The flat photoresist film reduces processing cost and enhances the reliability of the panel manufacturing process. | 08-21-2008 |
20080206653 | EXPOSURE MASK - Disclosed herein is an exposure mask for use in manufacturing a semiconductor device through exposure conducted by use of extreme ultraviolet rays, including, an absorbing film configured to absorb the extreme ultraviolet rays, and a mask blank having the function of reflecting the extreme ultraviolet rays, wherein the thickness of the absorbing film is so determined that the contrast of an optical image transferred onto a wafer by use of the exposure mask will have a maximal value. | 08-28-2008 |
20080206654 | METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICES, AND METHOD FOR FORMING A PATTERN ONTO AN EXPOSURE MASK - A method for manufacturing a semiconductor device includes calculating a correction amount for correcting a dimension error generated in a pattern, by using an area and a total length of sides of a perimeter of the pattern included in each grid region of a plurality of mesh-like grid regions made by virtually dividing a pattern creation region of an exposure mask, exposing the pattern whose dimension has been corrected by the correction amount onto a substrate on which a resist film is coated, developing the resist film after the exposing, and processing the substrate by using a resist pattern after the developing. | 08-28-2008 |
20080206655 | MASK BLANK, METHOD OF MANUFACTURING AN EXPOSURE MASK, AND METHOD OF MANUFACTURING AN IMPRINT TEMPLATE - A mask blank includes a substrate and a thin film formed thereon and used to form a pattern. The mask blank is adapted to be subjected to dry etching corresponding to a method of producing an exposure mask by patterning the thin film by dry etching using an etching gas substantially free from oxygen with a resist pattern formed on the thin film used as a mask. The thin film has a protective layer formed at least at its upper layer and containing 60 atomic % or more oxygen. For example, the dry etching is performed by the use of a chlorine-based gas substantially free from oxygen. | 08-28-2008 |
20080206656 | Scattering bar OPC application method for sub-half wavelength lithography patterning - A method of forming a mask having optical proximity correction features, which includes the steps of obtaining a target pattern of features to be imaged, expanding the width of the features to be imaged, modifying the mask to include assist features which are placed adjacent the edges of the features to be imaged, where the assist features have a length corresponding to the expanded width of the features to be imaged, and returning the features to be imaged from the expanded width to a width corresponding to the target pattern. | 08-28-2008 |
20080206657 | EXPOSURE MASK SUBSTRATE MANUFACTURING METHOD, EXPOSURE MASK MANUFACTURING METHOD, AND SEMICONDUCTOR DEVICE MANUFACTURING METHOD - A method of manufacturing an exposure mask substrate including a substrate and a light-shielding film formed on the substrate, comprising measuring a flatness of at least one substrate before formation of a light-shielding film, predicting, on the basis of a measurement result, the flatness of the substrate when the substrate is chucked on an exposure apparatus, selecting the substrate having a predetermined flatness on the basis of a prediction result, predicting, for the selected substrate, a desired flatness of the substrate after light-shielding film formation after a light-shielding film is formed on the substrate, forming a light-shielding film on the selected substrate, measuring the flatness of the substrate having the formed light-shielding film, and determining whether the substrate having the light-shielding film has the desired flatness after light-shielding film formation by comparing a measurement result with a prediction result of the flatness after light-shielding film formation. | 08-28-2008 |
20080213676 | PHASE SHIFT MASK FOR AVOIDING PHASE CONFLICT - A phase shift mask comprises a glass substrate with a surface and a metal layer. The glass substrate comprises a first phase section, a second phase section and a border section. The metal layer is covered on the glass substrate and defining a pattern comprising a plurality of parallel lines, the first phase section and the second phase section. The terminal of at least one of the lines is not rectangular and a distance between the tips of the lines in the first phase section are defined to be not less than the width of the first phase section. | 09-04-2008 |
20080213677 | PHOTOMASK MANUFACTURING METHOD USING CHARGED BEAM WRITING APPARATUS - A first relationship between the charge dose of a charged beam writing apparatus and the dimensional accuracy of a photomask pattern is obtained, and a charge dose is determined from given dimensional accuracy on the basis of the first relationship. On the basis of the determined charge dose, a resist by which a resist pattern having desired dimensions is formed with the charge dose is selected. A second relationship between the write condition of the charged beam writing apparatus and the write time necessary to write the selected resist with the charge dose is obtained for each write pattern. The write condition is determined for each write pattern on the basis of a condition given to the write time and the second relationship. | 09-04-2008 |
20080213678 | Method for Fabricating Electrical Circuitry on Ultra-Thin Plastic Films - In accordance with the teachings of one embodiment of the present disclosure, a method of forming high-density metal interconnects on flexible, thin-film plastic includes laminating a dry photoresist layer to a substrate. The photoresist-laminated substrate is baked. An assembly is formed by laminating a plastic film to the baked, photoresist-laminated substrate. One or more electrically conductive interconnect layers are processed on a first surface of the laminated plastic film. The processing of the one or more electrically conductive interconnects includes photolithography. The assembly is baked and soaked in a liquid. The processed plastic film is then separated from the substrate. | 09-04-2008 |
20080213679 | Pellicle frame apparatus, mask, exposing method, exposure apparatus, and device fabricating method - A pellicle is provided to one end surface of end surfaces of a frame. Another end surface of the end surfaces of the frame has an area that opposes a substrate. A configuration is adopted that prevents the deformation of the one end surface of the frame and the shape of the opposing area on the other end surface from affecting one another. | 09-04-2008 |
20080220341 | MASK PATTERN AND METHOD FOR FORMING THE SAME - The invention provides a mask pattern. The mask pattern comprises at least a continuous pattern. Each of the continuous patterns has a first pattern, a second pattern and a set of assistance patterns. The assistant patterns are located between the first pattern to the second pattern. The first pattern, the assistant patterns and the second pattern together form a closed opening. | 09-11-2008 |
20080220342 | METHODS OF FABRICATING OPTICAL PACKAGES, SYSTEMS COMPRISING THE SAME, AND THEIR USES - Methods and apparatuses for forming optical packages, and intermediate structures resulting from the same are disclosed, which provide an optical element over a device. The optical element is formed by applying a force to lateral portions of a liquid material layer formed below an elastomeric material layer such that the liquid material layer has a radius of curvature sufficient to direct light to a light sensitive portion of the device, after which the liquid material layer is exposed to conditions which maintain the radius of curvature after the lateral force is removed. | 09-11-2008 |
20080226990 | Apertured Window for Enabling Flexible Illumination Overfill of Patterning Devices - A dark border region may be integrated with a window covering a patterning device, such that light from an active area of the patterning device passes through the dark border region, while excess light is removed from the system by the dark border region. The dark border region may be, for example and without limitation, a light-absorbing material, a wedged light reflective coating that reflects light out of the lithography system, or an interference grating that causes destructive interference in unwanted light to remove the unwanted light from the system. The dark border region may overlap a similar dark border region located on the surface of on the patterning device to optimize a width of the total dark border region without sacrificing excessive valuable real-estate area on the surface of the patterning device or compromising alignment tolerance levels of the lithography system. | 09-18-2008 |
20080226991 | Fitting Methodology of Etching Times Determination for a Mask to Provide Critical Dimension and Phase Control - The present disclosure provides a mask and a method of determining etching times for etching the mask. In one embodiment, values for a main etching time and an over-etching time are determined simultaneously based on a desired critical dimension (CD) parameter and a desired phase parameter for the mask. | 09-18-2008 |
20080226992 | STRUCTURE AND METHOD FOR SUB-RESOLUTION DUMMY CLEAR SHAPES FOR IMPROVED GATE DIMENSIONAL CONTROL - A mask system for use by a lithographic system to project an image of a circuit design. The design includes at least one large feature and at least one nearby small feature. The mask comprises one or more shapes on a mask to project an image of the nearby small feature and, on the same mask or on a different mask, an opaque shape to project an image of the large feature. The opaque shape includes in a field thereof at least one dummy clear shape of size and configuration insufficient to be resolved. Light from the lithographic projection system may be projected through the opaque shape and the dummy clear shape to resolve an image of the large circuit feature on a resist layer of a wafer without resolving the clear shape on the resist layer, while simultaneously increasing optical flare on the resolved large circuit feature image. | 09-18-2008 |
20080233486 | System and Method for Providing Phase Shift Mask Passivation Layer - System and method for providing a passivation layer for a phase shift mask (“PSM”) are described. In one embodiment, a PSM comprises a transparent substrate; a phase shift pattern disposed on the transparent substrate; and a passivation layer disposed to substantially cover exposed surfaces of at least a portion of the phase shift pattern. | 09-25-2008 |
20080233487 | Method and System for Optimizing Lithography Focus and/or Energy Using a Specially-Designed Optical Critical Dimension Pattern - Disclosed is a method and a system for optimizing lithography focus and/or energy using a specially-designed optical critical dimension pattern. A wafer comprising a plurality of photomasks is received. Critical dimension, line-end shortening, and side wall angle of the plurality of photomasks are measured using an integrated metrology equipment. A spectrum analysis is performed in a simulated spectra library to form analysis data. The analysis data is stored into a plurality of lookup tables of an optical critical dimension library. A lookup of the plurality of lookup tables is performed to determine a focus or energy of the wafer. | 09-25-2008 |
20080233488 | Mask with hydrophobic surface - A mask with hydrophobic surface. The mask includes a substrate, a plurality of patterns formed on the substrate, and a self-assembled monolayer (SAM) formed on the substrate exposed by the patterns. The self-assembled monolayer includes an alkyltrichlorosilane-based layer such as octadecyltrichlorosilane (OTS) or perfluorodecyltrichlorosilane (FDTS) and formed by vapor process or solution process. | 09-25-2008 |
20080233489 | Method to form a pattern of functional material on a substrate using a stamp having a surface modifying material - The invention provides a method to form a pattern of functional material on a substrate. The method uses an elastomeric stamp having a relief structure with a raised surface and having a modulus of elasticity of at least 10 MegaPascal. A surface modifying material is applied to the relief structure and forms a layer at least on the raised surface. A composition of the functional material and a liquid is applied to the layer of the surface modifying material on the relief structure and the liquid is removed to form a film. The elastomeric stamp transfers the functional material from the raised surface to the substrate to form a pattern of the functional material on the substrate. The method is suitable for the fabrication of microcircuitry for electronic devices and components. | 09-25-2008 |
20080233490 | Mask rework method - A mask rework method includes forming a first carbon-containing hard mask layer and a first silicon-containing hard mask layer over an etch target layer, forming a first photoresist pattern over the first-silicon-containing hard mask layer, removing the first photoresist pattern, the first silicon-containing hard mask layer, and the first carbon-containing hard mask layer to generate a resulting structure, stacking a second carbon-containing hard mask layer and a second silicon-containing hard mask layer on the resulting structure, and forming a second photoresist pattern over the second silicon-containing hard mask layer. | 09-25-2008 |
20080233491 | BARRIER FILM MATERIAL AND PATTERN FORMATION METHOD USING THE SAME - A barrier film material includes, in addition to an alkali-soluble polymer, a multivalent carboxylic acid compound having a plurality of carboxyl groups or a multivalent alcohol compound. Thus, the multivalent carboxylic acid compound or the multivalent alcohol compound is adhered onto the surface of a resist film, and hence, particles having been adhered to the surface of the resist film are removed in removing the barrier film. Also, in the case where the barrier film is removed simultaneously with development, the resist film can be prevented from remaining partly undissolved. | 09-25-2008 |
20080241707 | METHOD AND SYSTEM FOR EXPOSURE OF A PHASE SHIFT MASK - The present disclosure provides a method of making a mask. The method includes providing a substrate having a first attenuating layer on the substrate and a first imaging layer on the first attenuating layer; performing a first exposure to the first imaging layer using a first radiation energy in writing mode; performing a first etching to the first attenuating layer; performing a second etching to the substrate; forming a second imaging layer on the first attenuating layer and the substrate; performing a second exposure to the second imaging layer using a light energy and another mask; and performing a third etching to the first attenuating layer after the second exposure. | 10-02-2008 |
20080241708 | SUB-RESOLUTION ASSIST FEATURE OF A PHOTOMASK - The present disclosure provides a mask. The mask includes a transparent substrate, a main feature, and an assistant feature. The main feature includes attenuating material and is disposed on the substrate. The assistant feature includes a sub-resolution feature providing a phase shift. The assistant feature is spaced a distance from the main feature. The assistant feature includes a trench defined by the substrate. The present disclosure further provides a method of fabricating the mask. | 10-02-2008 |
20080241709 | System And Method For analyzing photomask Geometries - In one embodiment, a method for analyzing photomask geometries is provided. An original geometry to be formed in an absorber layer of a photomask blank is received. The original geometry may be modified to generate a modified geometry that is offset from the original geometry. A simulation may be performed based on the modified geometry to determine a simulated geometry, wherein the simulated geometry is a simulated prediction of a geometry that would be written into a resist layer of the photomask blank if the modified geometry was used as input for imaging the resist layer. The simulated geometry may then be modified to determine a predicted original geometry, wherein the predicted original geometry is a prediction of a geometry that would be formed in the absorber layer of the photomask blank if an etch process was performed on an area of the absorber layer defined by the simulated geometry. | 10-02-2008 |
20080241710 | Photomask including contrast enhancement layer and method of making same - A photomask and a method of making same. The photomask includes a plate defining transparent regions in a predetermined pattern and opaque regions, the transparent regions adapted to transmit light therethrough; and a contrast enhancement layer disposed over an entire surface of at least one of the transparent regions and the opaque regions. | 10-02-2008 |
20080241711 | Removal and prevention of photo-induced defects on photomasks used in photolithography - Photoinduced defects that occur on photomasks used in photolithography may be removed or prevented. In one example a photomask is installed into a vacuum chamber, the contaminants on the photomask are broken down with heat, illumination or both and the broken-down contaminants are removed with a vacuum. | 10-02-2008 |
20080241712 | Method and system for patterning a mask layer - The presently described embodiments use a printing process, e.g. a wax printing technique, to pattern a mask layer (such as a soldermask layer) of, for example, a printed circuit. Substantially all other conventional processes in developing soldermask and exposure processes can be maintained. According to the presently described embodiments, each printed circuit will have a unique pattern that matches uniform and non-uniform runout. In one form, the pattern is comprised of wax single drops having a specified gap to make the process transparent to the current industry practice. Furthermore, the single drops can be used for both large and small areas without any development time differences. In at least one form, the wax pattern and the soldermask in the gap are removed during development. | 10-02-2008 |
20080248403 | METHOD AND SYSTEM FOR IMPROVING CRITICAL DIMENSION UNIFORMITY - A method for improving critical dimension uniformity of a wafer includes exposing a plurality of mask patterns on a first plurality of substrates at predetermined locations with common splits conditions of focus and exposure dose for each of the first plurality of substrates to form a plurality of perturbed wafers; measuring a critical dimension of the plurality of mask patterns at each of the predetermined locations for each of the plurality of perturbed wafers; averaging the critical dimension measured at each of the predetermined locations over the plurality of perturbed wafers to form a perturbed critical dimension map; measuring a sidewall angle of the plurality of mask patterns; averaging the sidewall angle measured to form a perturbed sidewall angle map; and providing the perturbed critical dimension map and the perturbed sidewall angle map to an exposure tool. | 10-09-2008 |
20080248404 | Method for Controlling Phase Angle of a Mask by Post-Treatment - A method for controlling phase angle of a mask is provided. A mask comprising a substrate and an absorber is formed. A nitrogen-containing plasma treatment is performed on the mask to reduce the phase angle. Alternatively, a nitrogen-containing plasma treatment is performed on the mask, followed by a vacuum ultraviolet treatment to form a passivated layer on the mask. | 10-09-2008 |
20080248405 | LIQUID TONER-BASED PATTERN MASK METHOD AND SYSTEM - A liquid toner-based pattern mask system and methods of masking and patterning a substrate employ a polymer-based liquid toner as a pattern mask. The liquid toner is deposited on the substrate in a masking pattern. The pattern mask is lifted off of the substrate after patterning the substrate using a lift-off technique that breaks a bond between the surface of the substrate and the pattern mask. | 10-09-2008 |
20080248406 | PELLICLE AND METHOD FOR PREPARING THE SAME - The present invention aims at reducing the thickness of a pellicle membrane while providing a strong pellicle membrane. The method for manufacturing a pellicle of the present invention comprises the steps of forming, on a base plate, a pellicle membrane comprising a fluorocarbon resin; peeling the membrane off the base plate; and heating the pellicle membrane. The heating temperature is preferably lower than the glass transition temperature of the pellicle membrane. The pellicle membrane has a tensile strength not smaller than 38.25 MPa (390 kgf/cm | 10-09-2008 |
20080248407 | PELLICLE - The invention aims at providing a pellicle that does not impair photomask flatness when the pellicle is bonded to the photomask. In the pellicle of the present invention, the surface at which the pellicle frame is mounted on a photomask has a flatness not exceeding 30 μm, while the surface of the pellicle frame on the pellicle membrane side has a flatness not exceeding 15 μm. | 10-09-2008 |
20080248408 | Photomask and Method for Forming a Non-Orthogonal Feature on the Same - A photomask and method for forming a non-orthogonal feature on the photomask are provided. A method for forming a non-orthogonal feature on a photomask blank includes providing a mask layout file including a primitive shape and fracturing the primitive shape to create a plurality of writeable shapes in a mask pattern file. A non-orthogonal feature formed by the writeable shapes is formed on a photomask blank by using a lithography system to image the writeable shapes from the mask pattern file onto a resist layer of the photomask blank. | 10-09-2008 |
20080248409 | Reflective Mask Blank, Reflective Mask and Methods of Producing the Mask Blank and the Mask - A reflective mask blank has a substrate ( | 10-09-2008 |
20080254376 | PHASE-SHIFTING MASK AND METHOD OF FABRICATING SAME - A phase-shifting mask is fabricated using two separate exposure processes. The mask includes a substrate and a device pattern area above the substrate. The mask has a mask pattern defining boundaries of the device pattern area and an administrative pattern area defining boundaries of the mask pattern. | 10-16-2008 |
20080254377 | METAL PHOTOMASK POD AND FILTER DEVICE THEREOF - A metal photomask pod with a filter device and with atmoseal function is provided. The metal photomask pod includes a metal upper cover and a metal lower cover coupled to form an inner space for receiving a photomask. A side portion of the metal upper cover or the metal lower cover of the photomask pod includes at least one through hole for communicating the inner space with an outer space of the photomask pod. A filter device covers the through hole. | 10-16-2008 |
20080261119 | Large-Size Glass Substrate For Photomask and Making Method, Computer-Readable Recording Medium, and Mother Glass Exposure Method - A large-size glass substrate, from which a photomask substrate is formed, is prepared by processing a large-size glass substrate stock by (1) a flattening removal quantity based on height data of the substrate stock in the vertical attitude plus a deformation-corrective removal quantity. The deformation-corrective removal quantity is calculated from (2) a deflection of the substrate stock by its own weight in the horizontal attitude, (3) a deformation of the photomask substrate caused by chucking in an exposure apparatus, and (4) an accuracy distortion of a platen for supporting a mother glass. | 10-23-2008 |
20080261120 | PHOTOLITHOGRAPHY MASK WITH INTEGRALLY FORMED PROTECTIVE CAPPING LAYER - A photomask and a method of fabricating the photomask. The photomask including: a substrate transparent to a selected wavelength or wavelengths of radiation, the substrate having a top surface and an opposite bottom surface, the substrate having a printable region and a non-printable region; the printable region having first opaque regions raised above the top surface of the substrate adjacent to clear regions, each opaque region of the first opaque regions having sidewalls and opposite top and bottom surfaces, the first opaque regions including a metal; the non-printable region including metal second opaque region raised above the top surface of the substrate, the second opaque region having sidewalls and opposite top and bottom surface, the second opaque regions including the metal; and a conformal protective metal oxide capping layer on top surfaces and sidewalls of the first and second opaque regions. The conformal layer is formed by oxidation. | 10-23-2008 |
20080261121 | PHOTOLITHOGRAPHY MASK WITH PROTECTIVE SILICIDE CAPPING LAYER - A photomask and a method of fabricating the photomask. The photomask including: a substrate transparent to a selected wavelength or wavelengths of radiation, the substrate having a top surface and an opposite bottom surface, the substrate having a printable region and a non-printable region; the printable region having first opaque regions raised above the top surface of the substrate adjacent to clear regions, each opaque region of the first opaque regions having sidewalls and opposite top and bottom surfaces, the first opaque regions including a metal; the non-printable region including metal second opaque region raised above the top surface of the substrate, the second opaque region having sidewalls and opposite top and bottom surface, the second opaque regions including the metal; and a conformal protective metal oxide capping layer on top surfaces and sidewalls of the first and second opaque regions. The conformal layer is formed by oxidation. | 10-23-2008 |
20080261122 | PHOTOLITHOGRAPHY MASK WITH PROTECTIVE CAPPING LAYER - A photomask and a method of fabricating the photomask. The photomask including: a substrate transparent to a selected wavelength or wavelengths of radiation, the substrate having a top surface and an opposite bottom surface, the substrate having a printable region and a non-printable region; the printable region having first opaque regions raised above the top surface of the substrate adjacent to clear regions, each opaque region of the first opaque regions having sidewalls and a top surface; the non-printable region comprising a second opaque region raised above the top surface of the substrate, the second opaque region having sidewalls and a top surface; and a capping layer on the sidewalls of the first opaque regions and the sidewalls of the second opaque region. | 10-23-2008 |
20080261123 | Photomask with detector for optimizing an integrated circuit production process and method of manufacturing an integrated circuit using the same - A photomask for integrated circuit production comprising a substrate, one or more layers and a detector for monitoring a process parameter of the integrated circuit production in combination with one or more of the following: communication circuitry for communicating with external equipment for the integrated circuit production, a computational processor for analyzing the monitored process parameter, and a data storage component. In addition, a method of integrated circuit production comprising the steps of providing a photomask in the integrated circuit production process and monitoring a process parameter of the integrated circuit production using the photomask, in combination with one or more following steps: analyzing the monitored process parameter using the photomask; communicating the monitored process parameter from the photomask to external equipment involved in the integrated circuit production; and storing the monitored process parameter in the photomask. For example, the photomask embodying aspects of the present invention may monitor and track the process parameter of a stepper during the integrated circuit production. The monitored data may be analyzed against the production information to determine possible adjustment or alteration to the integrated circuit production steps. | 10-23-2008 |
20080261124 | Photomask reticle for use in projection exposure, and manufacturing methods therefor and for semiconductor device - A photomask reticle is provided for use in projection exposure which forms a resist pattern on a workable film formed over a semiconductor substrate, comprising area | 10-23-2008 |
20080261125 | Resist pattern and reflow technology - A reflow stabilizing solution for treating photoresist patterns and a reflow technology are disclosed. The reflow stabilizing solution comprises a polymer and is applied after the photoresist material has been developed and patterned. By treating the photoresist with the reflow stabilizing solution after resist patterning and further subjecting the reflow stabilizing solution to a heat treatment, the non-volatile polymer remains in between adjacent resist patterns and acts as a stopper to the reflowed photoresist. In this manner, the non-volatile polymer provides structural and mechanical support for the reflowed resist, preventing resist collapse at high temperatures and allowing the formation of reflowed resist structures having line width dimensions in the submicron range. | 10-23-2008 |
20080261126 | SECURE PHOTOMASK WITH BLOCKING APERTURE - A secure photomask including a substrate having one or more pattern layers formed thereon and a blocking aperture disposed below the one or more pattern layers that prevents at least one of unauthorized use and copying of the photomask. | 10-23-2008 |
20080261127 | PHOTOMASK WITH DETECTOR FOR OPTIMIZING AN INTEGRATED CIRCUIT PRODUCTION PROCESS AND METHOD OF MANUFACTURING AN INTEGRATED CIRCUIT USING THE SAME - A photomask for integrated circuit production for development of integrated circuit components, where the integrated circuit production uses a radiation source that generates a source image, includes a substrate with one or more layers disposed thereon; a source separator element that separates the source image into one or more duplicate source images; one or more polarizing elements each corresponding to one of the one or more duplicate source images; and one or more sensors each corresponding to one of the one or more polarizing elements, the one or more sensors sensing one or more radiation characteristics of the radiation source. | 10-23-2008 |
20080261128 | Methods and structures for protecting one area while processing another area on a chip - Increased protection of areas of a chip are provided by both a mask structure of increased robustness in regard to semiconductor manufacturing processes or which can be removed with increased selectivity and controllability in regard to underlying materials, or both. Mask structures are provided which exhibit an interface of a chemical reaction, grain or material type which can be exploited to enhance either or both types of protection. Structures of such masks include TERA material which can be converted or hydrated and selectively etched using a mixture of hydrogen fluoride and a hygroscopic acid or organic solvent, and two layer structures of similar or dissimilar materials. | 10-23-2008 |
20080268350 | SEMICONDUCTOR STRUCTURE - A photomask is provided. The photomask includes a device pattern region, a die sealing pattern region and at least two alignment mark patterns. The device pattern region has a first side and a second side and the first side is opposite to the second side. The die sealing pattern region surrounds the device pattern region. The alignment mark patterns includes a first overlay mark pattern and a second overlay mark pattern and the first overlay mark pattern and the second overlay mark pattern are located outside the device pattern region and at the first side and second side respectively. An arrangement relationship between the first overlay mark pattern and the first side is a mirror of an arrangement relationship between the second overlay mark pattern and the second side. | 10-30-2008 |
20080268351 | Method of Forming Supports Bearing Features, Such as Lithography Masks - To manufacture a support made of at least one predetermined material and bearing features: a plurality of superposed layers is produced on a substrate that it is known how to remove, each of the layers being formed from zones of at least two different materials, the geometry of the zones and the constituent materials of these superposed layers being defined so as to form said features, on the reverse side of the substrate, these features being of 3D type, and some of these features differing in height among themselves and/or with other features; a layer of the predetermined material is produced on this multilayer stack; and at least the substrate is eliminated whereby, after inversion, said support with said features is obtained. | 10-30-2008 |
20080268352 | LIGHT REFLECTION MASK, METHOD OF MANUFACTURING THE SAME AND SEMICONDUCTOR DEVICE - Provided is a method of producing a light reflection mask in which flatness of a front surface thereof is less deteriorated by light reflection pattern formation and during electrostatic chucking. Thereby, the light reflection mask contributes to improvement of exposure accuracy in EUV exposure or the like. The method includes the steps of: measuring flatness of the front surface of a substrate that has the front surface on which a reflection mask pattern is formed, and a back surface on which a conductive film for the electrostatic chucking is formed; and selectively removing, on the basis of the measured flatness, the conductive film to form an opening therein, thereby causing the conductive film in the mask to have an open-area-ratio variation that allows the front surface of the substrate to have a desired flatness. | 10-30-2008 |
20080268353 | STENCIL MASK HAVING MAIN AND AUXILIARY STRUT AND METHOD OF FORMING THE SAME - A stencil mask includes a membrane forming thin layer having membrane areas and a border area that limits the membrane areas. The membrane areas have a plurality of pattern areas which include an aperture through which particle beams can permeate and non-pattern areas interposed between the pattern areas. A main strut supports the membrane areas and is formed on the border area of the membrane forming thin layer. An auxiliary strut is formed in the non-pattern areas inside the membrane pattern area such that the auxiliary strut divides the membrane areas into plural divided membrane areas. The auxiliary strut supports the divided membrane areas. | 10-30-2008 |
20080274413 | Sub-10 nm line features via rapid graphoepitaxial self-assembly of amphiphilic monolayers - Methods for fabricating sublithographic, nanoscale microchannels utilizing an aqueous emulsion of an amphiphilic agent and a water-soluble, hydrogel-forming polymer, and films and devices formed from these methods are provided. | 11-06-2008 |
20080274414 | HIGH-TRANSMISSION ATTENUATING PSM - An attenuating PSM includes a quartz substrate, a first dummy pad pattern disposed on the quartz substrate, wherein the first dummy pad pattern is composed of a first phase shifter material layer with a transmission rate of greater than or equal to 15%, and a first opaque pattern disposed at a center area of the first dummy pad pattern. The first opaque pattern has a shape that is analogous to the first dummy pad pattern and surface area of the first opaque pattern is smaller than that of the first dummy pad pattern. | 11-06-2008 |
20080274415 | Layout Method for Mask - A layout method for a mask can include creating dummy pattern inhibiting regions and a single dummy pattern type for a layer. A cell can be formed in which a first main pattern is formed. A second main pattern can be formed in a main chip layout, and the cell can be inserted into the main chip. A dummy pattern inhibiting region can be created on the basis of the first main pattern and the second main pattern. Then, a single dummy pattern type can be formed over the entire main chip layout. Dummy patterns that interact with the dummy pattern inhibiting region can be removed. | 11-06-2008 |
20080274416 | Layout Method for Mask - A layout method for a mask can include creating dummy pattern inhibiting regions and a single dummy pattern type for a layer. A cell can be formed in which a first main pattern is formed. A second main pattern can be formed in a main chip layout, and the cell can be inserted into the main chip. A dummy pattern inhibiting region can be created on the basis of the first main pattern and the second main pattern. Then, a single dummy pattern type can be formed over the entire main chip layout. Dummy patterns that interact with the dummy pattern inhibiting region can be removed. | 11-06-2008 |
20080274417 | Variable Mask Field Exposure - A method of fabricating integrated circuits according to a first design. One first pattern is common with a second design, and one second pattern is unique to the first design. The first pattern is imaged using a first mask having first patterns formed in a block thereon. No other patterns of the first and second designs are formed on the first mask. The second patterns are imaged on the substrate using a second mask having second patterns formed in a block thereon. At least one third layer pattern is formed on the second mask. | 11-06-2008 |
20080280212 | METHOD FOR PHOTOMASK FABRICATION UTILIZING A CARBON HARD MASK - Methods for forming a photomask using a carbon hard mask are provided. In one embodiment, a method of forming a photomask includes etching a chromium layer through a patterned carbon hard mask layer in the presence of a plasma formed from a process gas containing chlorine and carbon monoxide. | 11-13-2008 |
20080280213 | METHOD OF FABRICATING A MASK FOR A SEMICONDUCTOR DEVICE - In a method of fabricating a mask for a semiconductor device, a phase shift layer and a light blocking layer are formed on a transparent substrate. The light blocking layer is patterned to form light blocking patterns which partially expose a surface of the phase shift layer. An extension defect or a bridge defect is detected. A photoresist layer, which does not react to light, is formed on a resulting structure including the detected defect. The extension defect is removed by performing a repair process on the light blocking patterns. The bridge defect is removed by etching using the light blocking patterns as a mask. | 11-13-2008 |
20080280214 | Method for Fabricating Photo Mask - In a method for fabricating a photo mask, first resist patterns are formed on a transparent substrate where a light blocking layer and a phase shift layer are formed. Line widths of the first resist patterns are measured to define a region requiring a line width correction. Second resist patterns exposing the defined region are formed on the first resist patterns. The line width of the light blocking layer is corrected by over-etching the exposed light blocking layer to a predetermined thickness. The second resist patterns are removed. Phase shift patterns and light blocking patterns are formed using the first resist patterns as an etch mask. Then, the first resist patterns are removed. | 11-13-2008 |
20080280215 | METHOD OF FORMING PHOTOMASK OF SEMICONDUCTOR DEVICE - A method of forming a photomask of a semiconductor device includes depositing a first phase shift layer, a light blocking layer, and a second phase shift layer on a transparent substrate, and then a first photoresist pattern is formed to expose a region on an upper surface of the second phase shift layer. Then, the exposed region is etched by using the first photoresist pattern as a mask to form a second phase shift pattern, and the light blocking layer is etched by using the second phase shift pattern as a mask to form a light blocking pattern. Thereafter, a second photoresist pattern is formed on the transparent substrate to define a phase shift region and a light transmitting region. The first phase shift layer is etched by using the second photoresist pattern as a mask to form a first phase shift pattern. Then, the light blocking pattern of the phase shift region is etched to form a phase shift mask pattern. | 11-13-2008 |
20080280216 | METHOD OF FORMING A HARD MASK PATTERN IN A SEMICONDUCTOR DEVICE - In a method of forming hard mask patterns in a semiconductor device, an etch mask has a pitch less than a resolution limitation of exposure equipment. The method includes forming first hard mask patterns through an exposure process utilizing photoresist patterns, forming a separation layer on a resulting structure including the first hard mask patterns, forming a second hard mask pattern in a space between the first hard mask patterns, and removing the exposed separation layer. | 11-13-2008 |
20080280217 | Patterning A Single Integrated Circuit Layer Using Multiple Masks And Multiple Masking Layers - A multiple mask and a multiple masking layer technique can be used to pattern a single IC layer. A resolution enhancement technique can be used to define one or more fine-line patterns in a first masking layer, wherein each fine-line feature is sub-wavelength. Moreover, the pitch of each fine-line pattern is less than or equal to that wavelength. The portions of the fine-line features not needed to implement the circuit design are then removed or designated for removal using a mask. After patterning of the first masking layer, another mask can then be used to define coarse features in a second masking layer formed over the patterned first masking layer. At least one coarse feature is defined to connect two fine-line features, wherein the coarse feature(s) can be derived from a desired layout using a shrink/grow operation. The IC layer can be patterned using the composite mask formed by the patterned first and second masking layers. | 11-13-2008 |
20080286659 | Extensions of Self-Assembled Structures to Increased Dimensions via a "Bootstrap" Self-Templating Method - Methods for fabricating sublithographic, nanoscale arrays of openings and linear microchannels utilizing self-assembling block copolymers, and films and devices formed from these methods are provided. Embodiments of the invention use a self-templating or multilayer approach to induce ordering of a self-assembling block copolymer film to an underlying base film to produce a multilayered film having an ordered array of nanostructures that can be removed to provide openings in the film which, in some embodiments, can be used as a template or mask to etch openings in an underlying material layer. | 11-20-2008 |
20080286660 | DAMASCENE RETICLE AND METHOD OF MANUFACTURE THEREOF - A method for manufacturing an optical projection reticle employs a damascene process. First feature recesses are etched into a projection reticle mask plate which is transmissive or transparent. Then feature recesses are tilled with a radiation transmissivity modifying material comprising a partially transmissive material and/or a radiation absorber for absorbing actinic radiation. Sacrificial materials may be added to the recess temporarily prior to filling the recess to provide gaps juxtaposed with the material filling the recess. Thereafter, the sacrificial materials are removed. Then the projection mask is planarized leaving feature recesses filled with transmissivity modifying material, and any gaps desired. The projection mask is planarized while retained in a fixture holding it in place during polishing with a polishing tool and a slurry. | 11-20-2008 |
20080286661 | Photomask, Photomask Fabrication Method, Pattern Formation Method Using the Photomask and Mask Data Creation Method - A mask pattern including a light-shielding portion | 11-20-2008 |
20080286662 | PHOTOMASK PRODUCING METHOD AND PHOTOMASK BLANK - In a photomask blank serving as a base member for producing a halftone-type phase shift mask in which a light-transmissive substrate is formed thereon with a light-semitransmissive phase shift pattern having a desired opening, a light-semitransmissive phase shift film, a chromium film, and an etching mask film are stacked in order on the light-transmissive substrate. The etching mask film is made of an inorganic-based material having a resistance against dry etching of the chromium film. The photomask blank further may has a resist film formed on the etching mask film. | 11-20-2008 |
20080286663 | Mask and Manufacturing Method Thereof - A blank mask is provided. The blank mask includes a mask layer disposed on a transparent quartz substrate, and a nano inorganic material-polymer complex layer. The nano inorganic material-polymer complex layer has nano-scale components and is formed on a surface of the mask layer to adsorb a residual contamination source remaining on the surface of the mask layer and to protect the surface of the mask layer from external contamination sources. The nano inorganic material-polymer complex layer can include a nano clay-polymer composite where PVDF is interposed between the nano clay plate-shaped layers. The contamination sources can be removed from the mask layer surface by removing the composite layer. | 11-20-2008 |
20080286664 | Full Phase Shifting Mask In Damascene Process - A full phase shifting mask (FPSM) can be advantageously used in a damascene process for hard-to-etch metal layers. Because the FPSM can be used with a positive photoresist, features on an original layout can be replaced with shifters on a FPSM layout. Adjacent shifters should be of opposite phase, e.g. 0 and 180 degrees. In one embodiment, a dark field trim mask can be used with the FPSM. The trim mask can include cuts that correspond to cuts on the FPSM. Cuts on the FPSM can be made to resolve phase conflicts between proximate shifters. In one case, exposing two proximate shifters on the FPSM and a corresponding cut on the trim mask can form a feature in the metal layer. The FPSM and/or the trim mask can include proximity corrections to further improve printing resolution. | 11-20-2008 |
20080292973 | Method for etching using a multi-layer mask - A method of dry developing a multi-layer mask on a substrate is described. The method comprises forming the multi-layer mask on the substrate, wherein the multi-layer mask comprises a lithographic layer overlying a second mask layer. A feature pattern is then formed in the lithographic layer using a lithographic process, wherein the feature pattern comprises a first critical dimension (CD). Thereafter, the feature pattern is transferred from the lithographic layer to the second mask layer using a dry plasma etching process, wherein the dry plasma etching process comprises introducing a process gas, forming plasma from the process gas, and exposing the substrate to the plasma. During the pattern transfer, the first CD in the lithographic layer is reduced to a second CD in the silicon-containing layer. | 11-27-2008 |
20080292974 | EXPOSURE PROCESS AND PHOTOMASK SET USED THEREIN - An exposure process is described, for defining in a photoresist layer a plurality of first patterns having a first pitch and a second pattern between them that is wider than one first pattern. A first exposure step is conducted to the photoresist layer with a first photomask that has a plurality of the first patterns without a second pattern between them, wherein the first patterns on the first photomask have the first pitch only. A second exposure step is conducted to the photoresist layer with a second photomask that has a third pattern narrower than the second pattern at a position corresponding to the second pattern. The exposure dose of the first or second exposure step alone is not sufficient to define any pattern in the photoresist layer. | 11-27-2008 |
20080292975 | Device manufacturing method, method of making a mask, and mask - In a lithographic device manufacturing method, sub-resolution assist features are provided to equalize the intensities of the diffraction orders that form the image of the pattern on the substrate. In the case of bright lines against a dark field used with a positive tone resist for forming trenches at or near resolution, the assist features may comprise narrow lines equidistantly between the feature lines. In this way an improvement of exposure latitude may be obtained without reduction of DOF. | 11-27-2008 |
20080292976 | PATTERN FORMING METHOD, PATTERN FORMED THEREBY, MOLD, PROCESSING APPARATUS, AND PROCESSING METHOD - A pattern forming method includes a step of forming a pattern of a resist on a surface of a thin film formed on the base material; a step of forming a reverse layer on the pattern of the resist; a step of forming a reverse pattern, of the reverse layer complementary to the pattern of the resist by removing the resist after removing the reverse layer to expose a surface of the resist; a step of forming a hard mask layer including the thin film, on which the reverse layer is formed, by etching the thin film through the reverse pattern of the reverse layer as a mask; and a step of etching the base material through, as a mask, the hard mask layer on which the reverse layer remains or the hard mask layer on which the reverse layer has been removed. | 11-27-2008 |
20080299465 | FREQUENCY TRIPLING USING SPACER MASK HAVING INTERPOSED REGIONS - A method for fabricating a semiconductor mask is described. A semiconductor stack having a sacrificial mask comprised of a series of lines is first provided. A spacer mask having spacer lines adjacent to the sidewalls of the series of lines of the sacrificial mask is then formed. The spacer mask also has interposed lines between the spacer lines. Finally, the sacrificial mask is removed to provide only the spacer mask. The spacer mask having interposed lines triples the frequency of the series of lines of the sacrificial mask. | 12-04-2008 |
20080299466 | ALTERNATIVE PHASE-SHIFTING MASK AND MANUFACTURING METHOD THEREOF - A method of manufacturing an alternative phase-shifting mask, includes forming first and second patterns on a transparent substrate to be adjacent to each other, the first and second patterns are transmittable and the second pattern having a recessed portion of the substrate for phase-shifting. A laser light is irradiated to sidewall portions of the recessed portion to modify the sidewall portions such that a transmittance of the sidewall portions to exposure light is lower than that of a bottom portion of the recessed portion. | 12-04-2008 |
20080299467 | Mask mold, manufacturing method thereof, and method for forming large-sized micro pattern using mask mold - Disclosed are a mask mold, a manufacturing method thereof, and a method for forming a large-sized micro pattern using the manufactured mask mold, in which the size of a nano-level micro pattern can be enlarged using a simple method with low cost and interference and stitching errors between cells forming a large area can be minimized. The method for manufacturing the mask mold includes the operations of coating resist on a mask or a plurality of small molds having an engraved micro pattern, pressing the small molds to imprint the micro pattern on the resist, curing the resist, and releasing the small molds from the resist. | 12-04-2008 |
20080299468 | SHADOW MASK AND METHOD OF FABRICATING VERTICALLY TAPERED STRUCTURE USING THE SHADOW MASK - A method of fabricating a vertically tapered structure. The method includes placing a spacer layer at a predetermined area on a wafer, placing a mask layer at a predetermined area on the spacer layer, and over-etching the spacer layer, by etching a certain area below the mask layer, fabricating a cantilever type shadow mask having the spacer layer and the mask layer. Thus, it is possible to fabricate the vertically tapered structure of several tens of microns. The vertically tapered structure can be used as the optical waveguide in the optical device to minimize junction loss that may occur between the optical waveguide and the optical fiber. | 12-04-2008 |
20080305406 | Photomask Blank, Photomask Manufacturing Method and Semiconductor Device Manufacturing Method - By increasing the dry etching rate of a light shielding film, the dry etching time can be shortened so that loss of a resist film is reduced. As a result, a reduction in thickness (to 300 nm or less) of the resist film becomes possible so that pattern resolution and pattern accuracy (CD accuracy) can be improved. Further, by shortening the dry etching time, a photomask blank and a photomask manufacturing method are provided, which can form a pattern of the light shielding film having an excellent sectional shape. In a photomask blank having a light shielding film on an optically transparent substrate, the photomask blank being a mask blank for a dry etching process adapted for a photomask producing method of patterning the light shielding film by the dry etching process using as a mask a pattern of a resist formed on the light shielding film, the light shielding film is made of a material having a selectivity exceeding 1 with respect to the resist in the dry etching process. | 12-11-2008 |
20080305407 | MASK FILM TO FORM RELIEF IMAGES AND METHOD OF USE - A mask-forming film has a transparent layer between the imageable layer and the carrier sheet, which transparent layer has a refractive index that is lower (by at least 0.04) than that of the carrier sheet or any immediately adjacent layer between it and the carrier sheet. This lower refractive index layer modifies the path of incident radiation during mask image transfer so as to provide steeper shoulder angles in the relief image solid areas. | 12-11-2008 |
20080305408 | APERTURE MASK, MANUFACTURING METHOD THEREOF, CHARGE BEAM LITHOGRAPHY APPARATUS, AND CHARGE BEAM LITHOGRAPHY METHOD - An aperture mask according to an embodiment of the present invention is an aperture mask for charged beam lithography, and includes: a mask substrate having a first semiconductor layer, an insulating film formed on the first semiconductor layer, and a second semiconductor layer formed on the insulating film, and provided with an aperture which penetrates the first semiconductor layer, the insulating film, and the second semiconductor layer; and a conductive layer which coats a surface of the mask substrate and a side wall surface of the aperture formed in the mask substrate, and which coats an exposed surface of the insulating film exposed to the side wall surface of the aperture. | 12-11-2008 |
20080305409 | LITHOGRAPHIC MASK AND METHOD FOR PRINTING FEATURES USING THE MASK - A lithographic mask enables printing wafer features at very small to large pitch values with an increase in the depth of focus. The mask may include square or rectangular patterns for printing square or rectangular features, such as contacts or vias. The square or rectangular features include wings that aid in the transfer of the square or rectangular features. The mask may be used to print water features by exposing the mask to radiation with selective polarization. | 12-11-2008 |
20080305410 | IMPRINTING DEVICE, METHOD OF FABRICATING THE SAME. AND METHOD OF PATTERNING THIN FILM USING THE SAME - An imprinting device includes a first substrate, a light blocking layer formed on the first substrate corresponding to a light blocking area, and a patterned layer formed on the first substrate. The patterned layer includes an etch pattern and a flow control pattern formed on the first substrate corresponding to a transmittance area and the light blocking area, respectively. When the patterned layer presses a resin layer, the resin layer pressed by the etch pattern moves towards the flow control pattern or a photosensitive resin layer pressed by the flow control pattern moves towards the etch pattern according to a shape of the flow control pattern. Thus, when the shape of the flow control pattern is controlled, the resin layer pressed by the patterned layer may be formed with a uniform thickness. | 12-11-2008 |
20080305411 | PHOTOMASK BLANK, RESIST PATTERN FORMING PROCESS, AND PHOTOMASK PREPARATION PROCESS - A photomask blank has a resist film comprising (A) a base resin, (B) an acid generator, and (C) a basic compound. The resist film further comprises (D) a polymer comprising recurring units having a side chain having a fluorinated hydrocarbon group which contains a carbon atom to which a hydroxyl group is bonded and vicinal carbon atoms bonded thereto, the vicinal carbon atoms having in total at least two fluorine atoms bonded thereto. Addition of polymer (D) ensures uniform development throughout the resist film, enabling to form a resist pattern having high CD uniformity. | 12-11-2008 |
20080305412 | NEAR-FIELD EXPOSURE MASK AND NEAR-FIELD EXPOSURE METHOD - A near-field exposure mask and a near-field exposure method, the exposure mask including a light blocking film having an opening and configured to expose an object to be exposed by use of near-field light generated at the opening, wherein the opening of the exposure mask has a plurality of processing pitches and an opening width, and wherein, when the opening width of the opening is denoted by s (nm), the processing pitch is denoted by p (nm), a dimensionless parameter is denoted by E and coefficients are denoted by | 12-11-2008 |
20080305413 | Methods of Forming Reticles - The invention includes reticle constructions and methods of forming reticle constructions. In a particular aspect, a method of forming a reticle includes provision of a reticle substrate having a defined main-field region and a defined boundary region. The substrate has a relatively transparent base and a relatively opaque material over the base. A thickness of the relatively opaque material of the main-field region is reduced relative to a thickness of the relatively opaque material of the boundary region. A reticle construction of the present invention can comprise a relatively transparent base, and a relatively opaque material over the base. The construction can have a defined main-field region and a defined boundary region, and the relatively opaque material of the main-field region can have a reduced thickness relative to the relatively opaque material of the boundary region. | 12-11-2008 |
20080311485 | Photomasks Used to Fabricate Integrated Circuitry, Finished-Construction Binary Photomasks Used to Fabricate Integrated Circuitry, Methods of Forming Photomasks, and Methods of Photolithographically Patterning Substrates - A finished-construction binary photomask used to fabricated integrated circuitry includes a substrate having a device region and a non-device region. The device region has a transparent substrate having a pair of spaced adjacent binary features formed thereover. The spaced adjacent binary features have an opaque material and a phase-shifting material. The phase-shifting material is received between the transparent substrate and the opaque material. Sidewalls of the spaced adjacent binary features may include a coating layer. Other embodiments, including methods, are contemplated. | 12-18-2008 |
20080311486 | PHOTOMASK MANUFACTURING METHOD AND SEMICONDUCTOR DEVICE MANUFACTURING METHOD - A photomask manufacturing method. A pattern dimensional map is generated by preparing a photomask in which a reflective layer formed on a substrate and an absorber pattern is formed on the layer. A reflection correction coefficient map is generated by dividing a mask region, where the absorber pattern is formed, into a plurality of subregions, and determining a reflection correction coefficient for each subregion. The reflection correction value of each subregion is calculated based on the dimensional difference indicated in the pattern dimensional map and the reflection correction coefficient of each subregion. A reflection coefficient of each reflective layer region corresponding to each subregion is changed based on the reflection correction value. | 12-18-2008 |
20080311487 | GLASS SUBSTRATE FOR MASK BLANK AND METHOD OF POLISHING FOR PRODUCING THE SAME - The present invention aims at providing a glass substrate required to have a surface polished with extremely high accuracy as in glass substrates for reflective masks for use in EUVL; and a polishing method for producing the glass substrate. The present invention provides a glass substrate for mask blank, which is a glass substrate comprising SiO | 12-18-2008 |
20080318137 | Lithography masks for improved line-end patterning - In one embodiment, a mask for use in semiconductor processing comprises a first region formed from a first material that is primarily opaque, a second region formed from a second material that is primarily transmissive, and a third region in which at least a portion of the second material is removed to generate a phase shift in radiation applied to the mask. | 12-25-2008 |
20080318138 | EUV Mask and Method for Repairing an EUV Mask - An EUV mask comprises a substrate, a reflective multilayer on the substrate, a phase-shifting material disposed above the multilayer in at least one first portion of the substrate, and a masking material disposed above the multilayer in second portions of the substrate and corresponding to mask patterns of an EUV mask. There is also provided a method for repairing an EUV mask including a substrate, a reflective multilayer on the substrate and at least one defect beneath or within the multilayer. The method includes the steps of determining the position of a defect area of the substrate, in which a phase-shift difference of an exposure radiation is caused by the defect, and depositing a phase-shifting material above the multilayer in at least one first portion of the substrate, the first portion at least partially comprising the defect area. | 12-25-2008 |
20080318139 | Mask Blank, Photomask and Method of Manufacturing a Photomask - Mask blanks of the invention include an absorber layer, an anti-reflective layer disposed over the absorber layer, and a hard mask layer disposed over the anti-reflective layer. The absorber layer is absorbent at an exposure wavelength and is reflective at an inspection wavelength. The inspection wavelength is greater than or equal to the exposure wavelength. The anti-reflective layer is not reflective at the inspection wavelength. None of the main constituents of the hard mask layer has an atomic number greater than 41. The mask blank may be a reflective EUVL mask blank or a transparent mask blank. | 12-25-2008 |
20080318140 | REFLECTIVE MASK BLANK FOR EUV LITHOGRAPHY AND SUBSTRATE WITH FUNCTIONAL FILM FOR THE SAME - To provide an EUV mask blank of which the decrease in the reflectance during EUV exposure is suppressed, and a substrate with a functional film to be used for production of such an EUV mask blank. | 12-25-2008 |
20090004572 | METHOD OF MONITORING FOCUS IN LITHOGRAPHIC PROCESSES - The present disclosure is directed to a method for monitoring focus of a photolithography system. The method comprises providing a substrate and depositing a photoresist layer on the substrate. At least one photomask is provided comprising one or more forbidden pitch photomask patterns formed thereon. The forbidden pitch patterns are imaged in the photoresist layer by exposing the photoresist layer to radiation through the at least one photomask. The imaged forbidden pitch patterns are developed in the photoresist. Focus error information regarding the imaging process can be determined using the developed forbidden pitch patterns. | 01-01-2009 |
20090004573 | SYSTEM AND METHOD FOR MAKING PHOTOMASKS - The present application is directed a method for determining the position of photomask patterns in a mask making process. The method comprises providing one or more mask rules defining the minimum spacing between photomask patterns. The method further comprises determining the position of a first photomask pattern relative to an adjacent second photomask pattern, the first photomask pattern having a critical edge for defining a critical dimension of a first device structure and a non-critical edge for defining a non-critical dimension. The non-critical edge is attached to the critical edge so that the positioning of the non-critical edge will affect the length of the critical edge. The non-critical edge of the first photomask pattern is positioned a distance X from an edge of the second photomask pattern, wherein the distance X is chosen to be substantially the minimum spacing allowed by the mask rules. Embodiments directed to software modules for implementing the method and patterning processes employing the method are also disclosed. | 01-01-2009 |
20090004574 | METHOD FOR FABRICATING PHOTOMASK - Provided is a method for fabricating a photomask. The method includes following processes. Light blocking patterns are formed on a mask substrate, and surface properties of the mask substrate on which the light blocking patterns are formed are changed into hydrophobicity. When the surface properties of the mask substrate are changed into the hydrophobicity, the mask substrate is treated using plasma gas. The plasma gas may be a gas mixture of trifluoromethane (CHF | 01-01-2009 |
20090004575 | Exposure mask with double patterning technology and method for fabricating semiconductor device using the same - An exposure mask for forming a G-type active region with a double patterning technology includes a bar shaped first light-blocking pattern to define an I-type active region, and an island shaped second light-blocking pattern to define a bit line contact region. The first light-blocking pattern and the second light-blocking pattern are arranged alternately. | 01-01-2009 |
20090004576 | PHOTOMASK AND METHOD FOR FORMING A RESIST PATTERN - A resist pattern forming method capable of obtaining a smooth resist pattern. An exemplary method may utilize a photomask including a plurality of mask cells arranged in the form of a matrix. The length of one side of each of the mask cells may be smaller than the length corresponding to the resolution limit of the optical system of the exposure device. Each mask cell may have one or both of a light transmission region and a light shielding region, and the intensity of light passing through each mask cell may be determined by the ratio of the area of the light transmission region to the area of the mask cell. The photomask may be positioned at a vertical focus position other than the optimal focus position. The resist film may be exposed with light and may then be developed to produce the resist pattern. | 01-01-2009 |
20090004577 | MASK FOR SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD THEREOF - Embodiments relate to a mask used for manufacturing a semiconductor device and a method for manufacturing the same. The mask includes a first and second region formed on a semiconductor mask. First and second mask patterns may be formed in the first and second regions and aligned at first and second intervals. One or more auxiliary patterns, which may have a width of about 10 nm to 70 nm, may be aligned adjacent to the second mask patterns. The auxiliary patterns are added to the mask so that desired patterns are achieved regardless of the type of patterns, including high-density patterns, intermediate-density patterns, isolation patterns, and asymmetrical patterns. | 01-01-2009 |
20090011341 | REFLECTIVE MASK BLANK FOR EUV LITHOGRAPHY - To provide a reflective mask blank for EUV lithography having an absorber layer, which presents a low reflectance to a light in the wavelength ranges of EUV light and pattern inspection light, and which is easily controlled to have desired film composition and film thickness. | 01-08-2009 |
20090011342 | METHOD TO OPTIMIZE GRATING TEST PATTERN FOR LITHOGRAPHY MONITORING AND CONTROL - A method of making a process monitor grating pattern for use in a lithographic imaging system comprises determining minimum resolvable pitch of a plurality of spaced, adjacent line elements, and selecting a process monitor grating period that is an integer multiple M, greater than 1, of the minimum resolvable pitch. The method then includes designing a process monitor grating pattern having a plurality of adjacent sets of grouped line elements spaced from each other. Each set of grouped line elements is spaced from and parallel to an adjacent set of grouped line elements by the process monitor grating period, such that when the process monitor grating pattern is projected by the lithographic imaging system the line elements in each set are unresolvable from each other and Fourier coefficients of diffracted orders m created by the line elements in the range of 1<|m|≦M are zero. | 01-08-2009 |
20090011343 | MICROSTRUCTURE AND MANUFACTURING METHOD THEREOF - A microstructure includes a substrate and a photoresist layer. The substrate has a surface, and the photoresist layer is disposed on the substrate. The photoresist layer has at least one recess, which has a sidewall, a depth and a width. An oblique angle of the sidewall is not less than 5 degrees, and the aspect ratio is not less than 2. Also, a manufacturing method of the microstructure is also disclosed. | 01-08-2009 |
20090017387 | REFLECTIVE MASK BLANK FOR EXPOSURE, REFLECTIVE MASK FOR EXPOSURE, METHOD OF PRODUCING A SEMICONDUCTOR DEVICE, AND SUBSTRATE PROVIDED WITH MULTILAYER REFLECTIVE FILM - To provide a reflective mask blank for exposure that can solve a problem of adsorption failure in fixing a reflective mask using an electrostatic chuck and thus can flatten the surface of the mask using the electrostatic chuck, thereby realizing high-accuracy pattern transfer. In a reflective mask blank for exposure having a multilayer reflective film formed on a board and adapted to reflect exposure light and an absorbent layer formed on the multilayer reflective film and adapted to absorb the exposure light, the shape of a surface of the mask blank on its side opposite to its transfer pattern forming surface is a shape having a convex surface. | 01-15-2009 |
20090023078 | Lithography Masks and Methods of Manufacture Thereof - Lithography masks and methods of manufacture thereof are disclosed. For example, a method of manufacturing a lithography mask includes forming a stack over a substrate. The stack includes bottom attenuated phase shift material layers, intermediate opaque material layers, and finally top resist layers. The method further includes patterning the stack and then trimming the resist layers to uncover a portion of the opaque material layers. The uncovered opaque material layers are subsequently etched followed by removal of any remaining resist layers. | 01-22-2009 |
20090023079 | Photomask and Method of Forming Overlay Vernier of Semiconductor Device Using the Same - This patent relates to a photomask and a method of forming an overlay vernier of a semiconductor device employing the same. The photomask includes a reticle formed of a first material through which light can transmit, a first pattern formed on the reticle and formed of a material through which light cannot transmit, a second pattern having a size smaller than the first pattern, and an auxiliary pattern formed to come in contact with the first pattern and formed of a second material different from the first material of the reticle. Thus, inclination is formed on side portions of the overlay vernier and a thin film may be easily formed on the overlay vernier. | 01-22-2009 |
20090023080 | MASK AND MANUFACTURING METHOD THEREOF - A mask according to embodiments includes a substrate and a phase delay material layer formed over the substrate. At least one mask pattern including a hole pattern may be formed on the phase delay material layer, the hole pattern allowing light to pass through the mask pattern. Assist patterns compensate for constructive interference of the light occurring between the mask patterns. Embodiments may prevent sidelobes from occurring by inserting an assist pattern into a mask, so that defects in semiconductor devices can be prevented. | 01-22-2009 |
20090023081 | PHASE SHIFT MASK - A phase shift mask includes a substrate including first and second transmissive regions alternately disposed, and absorbers disposed on a surface of the substrate such that each absorber is sandwiched between the first and second transmissive regions. A phase shifter is defined by a difference between a surface height of the first transmissive region and a surface height of the second transmissive region. At least the first transmissive region among the first and second transmissive regions has a trench. An aperture portion formed between opposite side walls of respective adjacent absorbers has a width that increases along a depth direction of the substrate. Each trench has a width that increases along the depth direction of the substrate. | 01-22-2009 |
20090023082 | PELLICLE FRAME - The present invention is directed to provide a pellicle frame that causes little harm to the flatness of a photomask, even in the case where a pellicle is affixed after completion of the photomask. | 01-22-2009 |
20090023083 | Method for fabricating dual damascene structures using photo-imprint lithography, methods for fabricating imprint lithography molds for dual damascene structures, Materials for imprintable dielectrics and equipment for photo-imprint lithography used in dual damascene patterning - The process of producing a dual damascene structure used for the interconnect architecture of semiconductor chips. More specifically the use of imprint lithography to fabricate dual damascene structures in a dielectric and the fabrication of dual damascene structured molds. | 01-22-2009 |
20090029266 | MULTI-LAYER ALTERNATING PHASE SHIFT MASK STRUCTURE - A multi-layer alternating phase shift mask and associated techniques are generally described. In one example, a photomask includes a glass substrate, a compensating layer of material coupled with the glass substrate, the material having optical properties to compensate for thick mask effects, an absorber layer coupled with the compensating layer, the absorber layer having a first opening patterned therein, and the absorber layer and the compensating layer having a second opening patterned therein, the second opening having a depth selected to provide a desired phase shift, the compensating material having an index of refraction that is greater than the index of refraction of the glass substrate to reduce the depth of the second opening to provide a desired phase shift. | 01-29-2009 |
20090029267 | PHOTOMASK LAYOUT PATTERN - A photomask layout pattern including an H-shaped pattern having a first opaque line pattern in parallel with a second opaque line pattern and a central zone connecting the first and second line patterns. A zebra-crossing-like dense line and space pattern is disposed in the central zone. The pitch of the zebra-crossing-like dense line and space pattern is beyond the resolution limit of an exposure tool such that light passing the central zone has an exposure energy that is not adequate to form corresponding line/space image on a photoresist. | 01-29-2009 |
20090029268 | PELLICLE STRESS RELIEF - The present disclosure provides a mask-pellicle system for lithography patterning. The mask-pellicle system includes a mask substrate; a predefined pattern formed on the transparent pattern; a pellicle configured approximate the transparent substrate; a pellicle frame designed to secure the pellicle; and a stress-absorbing feature configured between the pellicle frame and the mask substrate, to reduce stress of the mask substrate. | 01-29-2009 |
20090029269 | LITHOGRAPHIC PELLICLE - The present invention is directed to provide a pellicle that can control the deformation of the photomask to a minimum without particular consideration of the flatness of a pellicle frame even in the case where a pellicle is affixed to a photomask for lithography. In the pellicle of the present invention, the pellicle comprises a photomask adhesive for affixing the pellicle to a photomask, the photomask adhesive having a flat surface, wherein the flatness of the surface is no more than 15 μm. | 01-29-2009 |
20090029270 | Projection exposure device and method of separate exposure - A projection exposure device which can perform high accurate alignment and separate exposures wherein the mask marks | 01-29-2009 |
20090029271 | CONTAINER FOR HOUSING A MASK BLANK, METHOD OF HOUSING A MASK BLANK, AND MASK BLANK PACKAGE - A container is adapted to receive a mask blank having a resist film and includes a container body having an upper opening and a cap put on the container body. The container body has an opening edge provided with an annular elastic member made of polyolefin elastomer or the like and extending throughout entire circumference. When the cap is put on the container body, the elastic member is interposed at a joint portion between the cap and the container body to hermetically seal the container. | 01-29-2009 |
20090035665 | PROCESS OF SEMICONDUCTOR FABRICATION WITH MASK OVERLAY ON PITCH MULTIPLIED FEATURES AND ASSOCIATED STRUCTURES - Spacers are formed by pitch multiplication and a layer of negative photoresist is deposited on and over the spacers to form additional mask features. The deposited negative photoresist layer is patterned, thereby removing photoresist from between the spacers in some areas. During patterning, it is not necessary to direct light to the areas where negative photoresist removal is desired, and the clean removal of the negative photoresist from between the spacers is facilitated. The pattern defined by the spacers and the patterned negative photoresist is transferred to one or more underlying masking layers before being transferred to a substrate. | 02-05-2009 |
20090035666 | CONTAINER FOR HOUSING A MASK BLANK, METHOD OF HOUSING A MASK BLANK, AND MASK BLANK PACKAGE - A container is adapted to receive a mask blank having a resist film and includes a container body having an upper opening and a cap put on the container body. The container body has an opening edge provided with an annular elastic member made of polyolefin elastomer or the like and extending throughout entire circumference. When the cap is put on the container body, the elastic member is interposed at a joint portion between the cap and the container body to hermetically seal the container. | 02-05-2009 |
20090035667 | Method for correcting mask - There is provided a method for correcting a photo mask, which allows the difference between a test mask and a corrected mask with respect to an error of line width depending on coarse/dense pattern to be decreased when the photo masks are corrected by optical proximity effect correction. | 02-05-2009 |
20090042106 | Photomask, and method and apparatus for producing the same - A shading area having a transmissivity in the range of 0 to 2% is formed at the center of a clear defect in a wiring pattern of a half tone mask. Semitransparent areas having a transmissivity in the range of 10 to 25% are formed, adjacently to shading area, in areas extending from the inside of the edge of an imaginary pattern having no defect to the outside of the edge. In this way, in the correction of the defect in the half tone mask, the working accuracy tolerable margin of the correction portion of the defect can be made large. | 02-12-2009 |
20090042107 | PELLICLE FOR HIGH NUMERICAL APERTURE EXPOSURE DEVICE - A pellicle that is used in a semiconductor lithography process and that can be used in an exposure device with an optical system having a numerical aperture of 1.0 or above, is provided. The pellicle of the present invention uses a pellicle film that has had its film thickness adjusted so as to exhibit transmittance of 95% or above at angles of incidence of exposure light with respect to the pellicle film in the range of from 0° to 20°. By using the pellicle of the present invention, it is possible to produce a semiconductor having an unprecedented fine circuit pattern at good yield while preventing adherence of dust to a reticle. | 02-12-2009 |
20090042108 | PATTERN FORMING METHOD AND MASK - Hole patterns are repeatedly arranged on a mask at a constant pitch in each of predetermined directions. In the predetermined directions, a first direction with the smallest pitch and a second direction with the second smaller pitch are specified. A Levenson phase shifter is formed corresponding to the hole patterns to cause the phases of transmitted light through the hole patterns adjacent to each other in the first direction to be opposite to each other. An exposure process is performed by two-point illumination which is adapted to improve the resolution property in the second direction. | 02-12-2009 |
20090042109 | MASK BLANK SUBSTRATE MANUFACTURING METHOD, MASK BLANK MANUFACTURING METHOD, MASK MANUFACTURING METHOD, AND MASK BLANK SUBSTRATE - An object of this invention is to properly identify or manage mask blank substrates, mask blanks, and so on. A manufacturing method of a mask blank substrate ( | 02-12-2009 |
20090042110 | Reflection type photomask blank, manufacturing method thereof, reflection type photomask, and manufacturing method of semiconductor device - A reflection type photomask blank includes: a substrate; a multilayer reflection film formed on the substrate for reflecting exposure light; a protection film formed on the multilayer reflection film for protecting the multilayer reflection film; an absorber layer for absorbing the exposure light on the protection film; and a shock absorbing film formed between the absorber layer and the protection film, with a resistance to etching which is performed when an exposure transfer pattern of the absorber layer is formed, in which the protection film is: a compound including Zr and Si; a compound including Zr, Si, and at least either one of O and N; or a single element or a compound including at least any one of Ru, C, and Y. | 02-12-2009 |
20090042111 | IMAGING AND DEVICES IN LITHOGRAPHY - Systems and techniques for lithography. In one aspect, a method includes producing a microelectronic device by modulating an intensity and a phase of the zero diffraction order of a radiation with a device including subwavelength features having a pitch dimension smaller than one wavelength of the radiation. | 02-12-2009 |
20090042112 | Magnetic recording media - A magnetic recording media has a substrate and a magnetic recording layer containing ferromagnatic patterns on the substrate, the magnetic recording layer including a data zone to constitute a recording track and a servo zone to constitute a preamble region, an address region and a burst region, in which the address region and the burst region are separated by a part of the recording track. | 02-12-2009 |
20090047583 | MASKS FOR MICROLITHOGRAPHY AND METHODS OF MAKING AND USING SUCH MASKS - Masks for microlithography apparatus, methods for making such masks, and methods for exposing photosensitive materials to form arrays of microfeatures on semiconductor wafers using such masks. In one embodiment, a method of making a mask comprises forming a mask layer on a substrate and identifying a first opening in the mask layer corresponding to a first feature site at which an intensity of the radiation at a focal zone is less than the intensity of the radiation at the focal zone for a second feature site corresponding to a second opening in the mask. The second opening is adjacent or at least proximate the first opening. The method can further include forming a first surface at the first opening and a second surface at the second opening such that radiation passing through the second opening constructively interferes with radiation passing through the first opening at the focal zone. | 02-19-2009 |
20090047584 | MASK BLANK AND MASK - [Problems] To enhance the resolution of patterning of a light shielding film. | 02-19-2009 |
20090053618 | PROJECTION EXPOSURE METHOD AND PROJECTION EXPOSURE SYSTEM THEREFOR - In the case of a projection exposure method for exposing a radiation-sensitive substrate, arranged in the region of an image surface of a projection objective, with at least one image of a pattern of a mask arranged in the region of an object surface of the projection objective, a mask is arranged in the region of the object surface of the projection objective, the mask having a first pattern area with a first subpattern, and at least one second pattern area, arranged laterally offset from the first pattern area, with a second subpattern. The mask is scanned by relative movement between the mask and the illumination field of the illumination system in such a way that initially the first subpattern and thereafter the second subpattern is irradiated with the illumination radiation of the illumination field. The first subpattern is irradiated during a first illumination time interval with a first angular distribution, adapted to the first subpattern, of the illumination radiation. Thereafter, the second subpattern is irradiated during the second illumination time interval with a second angular distribution, adapted to the second subpattern, of the illumination radiation, said second angular distribution differing from the first angular distribution. | 02-26-2009 |
20090053619 | Pattern producing method, semiconductor device manufacturing method and program - A pattern producing method includes specifying a first pattern and a second pattern obtained by modifying the first pattern, specifying a correction area based on the second pattern, in a part of an area including the first pattern and the second pattern, producing at least a part of the first pattern, which is included in the correction area, as a correction target pattern, producing a part of the first or second pattern, which is not included in the correction area, as a correction reference pattern, correcting the correction target pattern on the basis of the correction target pattern and the correction reference pattern, and producing a pattern based on the corrected correction target pattern and the second pattern. | 02-26-2009 |
20090053620 | Blank Mask and Method for Fabricating Photomask Using the Same - A photomask is formed on an etch target layer of a transparent substrate using a blank mask that includes a carbon layer and an oxide layer. The carbon layer and the oxide layer are disposed on the etch target layer. The oxide layer is formed into an oxide layer pattern by photolithography for selectively exposing the etch target layer. A carbon layer pattern is formed by etching the carbon layer using the oxide layer pattern. An etch target layer pattern is formed by etching the etch target layer using the carbon layer pattern as a hard mask. Therefore, a sufficient thickness of the carbon layer can be etched using a thin oxide layer pattern employing the etch selectivity characteristics of the oxide layer and the carbon layer. Furthermore, the etch target layer pattern can have a predetermined vertical profile. The carbon layer pattern can be removed using oxygen plasma without damaging the underlying etch target layer pattern. | 02-26-2009 |
20090053621 | Source and Mask Optimization by Changing Intensity and Shape of the Illumination Source - An illumination source is optimized by changing the intensity and shape of the illumination source to form an image in the image plane that maximizes the minimum ILS at user selected fragmentation points while forcing the intensity at the fragmentation points to be within a small intensity range. An optimum mask may be determined by changing the magnitude and phase of the diffraction orders to form an image in the image plane that maximizes the minimum ILS at user selected fragmentation points while forcing the intensity at the fragmentation points to be within a small intensity range. Primitive rectangles having a size set to a minimum feature size of a mask maker are assigned to the located minimum and maximum transmission areas ad centered at a desired location. The edges of the primitive rectangle are varied to match optimal diffraction orders O(m,n). The optimal CPL mask O | 02-26-2009 |
20090053622 | FINE MASK AND METHOD OF FORMING MASK PATTERN USING THE SAME - In a semiconductor technology, a fine mask for a semiconductor and a method of forming a mask pattern using the same are disclosed. In order to improve accuracy of line width resolution and optical resolution in forming a pattern of a semiconductor wafer, the fine mask includes a first mask, including a first mask original plate, a first light-blocking pad pattern formed on the first mask original plate, a first main pattern including a plurality of first light-transmitting regions formed on the first light-blocking pad pattern, and a first sub-pattern including a plurality of phase shift regions between the first light-transmitting regions and at an outermost portion of the first mask original plate. A second mask includes a second mask original plate, a second light-blocking pad pattern formed on the second mask original plate, a second main pattern including a plurality of second light-transmitting regions formed on the second light-blocking pad pattern, and a second sub-pattern including a plurality of phase shift regions between the second light-transmitting regions. | 02-26-2009 |
20090053623 | MASK FOR SEMICONDUCTOR DEVICE AND PATTERNING METHOD USING THE SAME - A mask for a semiconductor device and a patterning method using the same are disclosed. The mask for a semiconductor device includes a first mask including main patterns constituted by a plurality of split patterns arranged at intervals, and a second mask including first auxiliary patterns disposed corresponding to regions among the plurality of split patterns, and second auxiliary patterns disposed corresponding to edge parts of the plurality of split patterns. | 02-26-2009 |
20090053624 | Modifying Merged Sub-Resolution Assist Features of a Photolithographic Mask - Modifying merged sub-resolution assist features includes receiving a mask pattern comprising the merged sub-resolution assist features, where a segmenting sub-resolution assist feature intersects a segmented sub-resolution assist feature at an intersection. Each sub-resolution assist feature is represented by an axis of the sub-resolution assist feature. The length of at least one axis is established, and an axis is modified in accordance with the length. Each axis is converted to a sub-resolution assist feature to yield the modified merged sub-resolution assist features. | 02-26-2009 |
20090061327 | REMOVAL OF IONIC RESIDUES OR OXIDES AND PREVENTION OF PHOTO-INDUCED DEFECTS, IONIC CRYSTAL OR OXIDE GROWTH ON PHOTOLITHOGRAPHIC SURFACES - Techniques associated with surface treatments for photomasks, semiconductor wafers, and/or optics are generally described. In one example, a method includes preparing a surface of a photomask or semiconductor wafer for cleaning, and removing ionic contamination from a surface of a photomask or semiconductor wafer using radical or atomic hydrogen, or suitable combinations thereof, to reduce the ionic contamination, wherein removing ionic contamination reduces the number of defects and increases semiconductor product yields accordingly. | 03-05-2009 |
20090061328 | PHOTOMASK AND PATTERN FORMATION METHOD USING THE SAME - A photomask includes a transparent substrate having a transparent property against exposing light and a halftone portion formed on the transparent substrate. In the halftone portion, a first opening having a first dimension and a second opening having a second dimension larger than the first dimension are formed. A light-shielding portion is formed on the transparent substrate to be disposed around the second opening. | 03-05-2009 |
20090061329 | SEMICONDUCTOR DEVICE MANUFACTURING METHOD AND HARD MASK - A semiconductor device manufacturing method includes forming a target film to be processed on a semiconductor substrate in which a semiconductor element has been formed; forming a hard mask on the target film; and patterning the target film. The hard mask is a multilayer film including a conductive carbon film and a transparent carbon film which are sequentially stacked on the target film. The formation of the hard mask may include sequentially stacking the conductive carbon film and the transparent carbon film on the target film on the semiconductor substrate; sequentially stacking an intermediate layer and a resist layer on the transparent carbon film; patterning the resist layer; patterning the intermediate layer by using the patterned resist layer as a mask; and patterning the conductive carbon film and the transparent carbon film by using the patterned intermediate layer as a mask. | 03-05-2009 |
20090061330 | PHOTOMASK AND PATTERN FORMATION METHOD USING THE SAME - A photomask includes a transparent substrate having a transparent property against exposing light, a semi-light-shielding portion formed on the transparent substrate, a first opening formed in the semi-light-shielding portion and having a first dimension and a second opening formed in the semi-light-shielding portion and having a second dimension lager than the first dimension. A phase-shifting portion which transmits the exposing light in an opposite phase with respect to the first opening is formed on the transparent substrate around the first opening. A light-shielding portion is formed on the transparent substrate around the second opening. | 03-05-2009 |
20090068570 | PHOTOLITHOGRAPHIC MASK EXHIBITING ENHANCED LIGHT TRANSMISSION DUE TO UTILIZING SUB-WAVELENGTH APERTURE ARRAYS FOR IMAGING PATTERNS IN NANO-LITHOGRAPHY - A nanophotolithography mask includes a layer of an electrically conductive optically opaque material deposited on a mask substrate in which regular arrays of sub-wavelength apertures are formed. The plasmonic excitation in the layer perforated with the sub-wavelength apertures arrays under the light incident on the mask produces high resolution far-field radiation patterns of sufficient intensity to expose a photoresist on a wafer when propagated to the same. The fill-factor of the mask, i.e., the ratio of the total apertures area to the total mask area, may lead to a significant increase in mask manufacturing throughput by FIB or electron beam “writing”. The mask demonstrates the defect resiliency and ability to imprint coherent clear features of nano dimensions and shapes on the wafers for integrated circuits design. | 03-12-2009 |
20090068571 | GRAY SCALE MASK - A gray scale mask for fabricating a thin film transistor, comprising: a source mask region; a drain mask region; and a channel mask region between the source mask region and the drain mask region, wherein a plurality of light-blocking bars are arranged regularly in the channel mask region, and the light-blocking bars are perpendicular to a center line of the channel mask region. | 03-12-2009 |
20090068572 | GRAY SCALE MASK - A scale mask comprises a U-shaped source mask region, a drain mask region with an end extending into the source mask region, and a U-shaped light-blocking bar arranged between the source mask region and the drain mask region. Slits are formed between the source mask region and the light-blocking bar and between the light-blocking bar and the drain mask region, respectively, and first compensating regions are provided at inner sides of ends of the source mask region. | 03-12-2009 |
20090068573 | PELLICLE FRAME - The present invention is directed to reduce pellicle frame distortions due to the tension of a pellicle film and caused during handling, thereby providing an excellent pellicle frame capable of reducing the distortion of a photomask due to a pellicle affixation. In the pellicle frame of the present invention, the frame consists of a plurality of layers of which at least one layer has a different elastic modulus. It is preferable to: make the pellicle frame compositely of a layer having an elastic modulus of 10 GPa or smaller and of a layer having an elastic modulus of 50 GPa or greater; join these layers of the pellicle frame in a direction perpendicular to the pellicle film face; laminate such that layers having a large elastic modulus form the outermost layer; or reverse this lamination structure. | 03-12-2009 |
20090075177 | POSITIVE RESIST COMPOSITION AND RESIST PATTERN FORMING METHOD - A positive resist composition having excellent size controllability, and a resist pattern forming method are provided. This positive resist composition contains a resin component (A) comprising an alkali soluble constituent unit (a1) which comprises a constituent unit (a11) derived from (α-methyl)hydroxystyrene, and a constituent unit (a2) which has an acid dissociable dissolution inhibiting group including an acid dissociable dissolution inhibiting group (II) represented by the following general formula (II) and/or a specific chain acid dissociable dissolution inhibiting group (III); an acid generator component (B) which generates an acid upon exposure; and preferably contains an aromatic amine (C). | 03-19-2009 |
20090075178 | Mask with Registration Marks and Method of Fabricating Integrated Circuits - A photomask for a lithography apparatus includes a chip pattern configured to be transferred into a resist layer on a workpiece and at least one registration mark that is configured not to be transferred into the resist layer. Mask qualification may be improved without impacting wafer level processes. | 03-19-2009 |
20090075179 | EXTREME ULTRAVIOLET (EUV) MASK PROTECTION AGAINST INSPECTION LASER DAMAGE - Extreme Ultraviolet (EUV) mask protection against laser inspection damage is generally described. In one example, a photomask includes a substrate, a bilayer stack coupled with the substrate, the bilayer stack including about 30-50 bilayers wherein the bilayers include alternating films of a first material and a second material, a protective film including polycrystalline carbon coupled with the bilayer stack to protect the bilayer stack against laser inspection damage, and a capping film coupled with the protective film. | 03-19-2009 |
20090075180 | METHOD OF CORRECTING PATTERN CRITICAL DIMENSION OF PHOTOMASK - Disclosed herein is a method of correcting a pattern critical dimension of a photomask. The method may include forming a phase shift layer and a light blocking pattern on a substrate, measuring a critical dimension (CD) of the light blocking pattern, and forming a negative resist pattern that has a relatively smaller CD than the CD of the light blocking pattern on the light blocking pattern, and correcting the CD of the light blocking pattern by etching the light blocking pattern exposed by the negative resist pattern. The method may further include forming a phase shift pattern by etching the phase shift layer exposed by the corrected light blocking pattern and the negative resist pattern as an etch mask, and removing the negative resist pattern and the corrected light blocking pattern. | 03-19-2009 |
20090075181 | Method for Fabricating Photomask - A method for fabricating a photomask includes forming a light blocking layer, a hard mask layer, and a resist layer on a transparent substrate, forming a resist pattern to selectively expose the hard mask layer by removing the resist layer selectively, forming a hard mask pattern by etching the exposed hard mask layer using the resist pattern as an etch mask, exposing the hard mask pattern by removing the resist pattern; measuring a critical dimension of the exposed hard mask pattern, correcting the measured critical dimension of the hard mask pattern to correspond to a critical dimension of a target pattern, forming a light blocking pattern by etching the exposed light blocking layer using the corrected hard mask pattern as an etch mask, and removing the hard mask pattern. | 03-19-2009 |
20090075182 | PHOTOMASK AND PATTERN FORMATION METHOD USING THE SAME - A photomask includes: a transparent substrate having a transparent property against exposing light; a first light-shielding pattern formed on the transparent substrate and having a first dimension; a second light-shielding pattern formed on the transparent substrate and having a second dimension larger than the first dimension; and an opening provided in part of the transparent substrate where the first light-shielding pattern and the second light-shielding pattern are not formed. The first light-shielding pattern includes a first semi-light-shielding portion and an auxiliary pattern which is arranged within the first semi-light-shielding portion and allows the exposing light to pass through in an opposite phase with respect to the first semi-light-shielding portion. The second light-shielding pattern includes a second semi-light-shielding portion and a light-shielding portion which does not substantially allow the exposing light to pass through. | 03-19-2009 |
20090075183 | Technique for Determining Mask Patterns and Write Patterns - During a method for generating a third mask pattern to be used on a photo-mask in a photolithographic process, first features are added to a first mask pattern to produce a second mask pattern. A majority of the first features may have a size characteristic larger than a pre-determined value, and that the first features are topologically disconnected from second features in the first mask pattern that overlap third features in a target pattern. Moreover, the first features may be added at positions which are determined based on the gradient of a first cost function depending, at least in part, on the first mask pattern and the target pattern. Then, the third mask pattern may be generated based on the second mask pattern, where the photo-mask corresponds to the third mask pattern. | 03-19-2009 |
20090075184 | MASK BLANK FOR EUV EXPOSURE AND MASK FOR EUV EXPOSURE - Provided are a mask for EUV exposure and a mask blank for EUV exposure for manufacturing the same, so as to improve the contrast of ultraviolet inspection light and improve the inspection performance for the mask. This mask blank for EUV exposure includes a substrate, a reflecting layer which is provided on the substrate and reflects EUV light, and an absorbent layer which is provided on the reflecting layer and absorbs EUV light. Reflectance of light at a wavelength between 150 nm and 300 nm is greater at the absorbent layer than that of the reflecting layer. The mask for EUV exposure can be manufactured by processing this mask blank for EUV exposure. | 03-19-2009 |
20090075185 | MASK BLANK AND METHOD OF MANUFACTURING MASK - A mask blank is formed on a transparent substrate with a light-shielding film of a material mainly containing chromium and is used for obtaining a photomask by forming the light-shielding film into a transfer pattern by lithography using an electron beam writing resist. The mask blank includes a mask layer formed on the light-shielding film for serving as an etching mask in etching that forms the light-shielding film into the transfer pattern. The mask layer is made of a material containing silicon. The mask blank further includes a chromium nitride-based film formed on the mask layer and containing at least chromium and nitrogen. | 03-19-2009 |
20090075186 | Method of manufacturing mask - There is provided a method of manufacturing a photomask for forming a semiconductor pattern. The method may include forming a plurality of dies including a main pattern, and forming a pseudo pattern to an area adjacent to the main pattern between the plurality of dies. A multi developing process of sequentially and repeatedly supplying a developer on the mask, supplying DI water on the mask, and drying the mask may be performed in manufacturing the mask. | 03-19-2009 |
20090075187 | PATTERN FORMING METHOD, SEMICONDUCTOR DEVICE MANUFACTURING METHOD AND EXPOSURE MASK SET - First, a first exposure process is performed using dipole illumination with only a grating-pattern forming region as a substantial object to be exposed. Next, a second exposure process is performed with only a standard-pattern forming region as a substantial object to be exposed. A development process is then performed to obtain a resist pattern. A mask for the first exposure process is such that a light blocking pattern is formed on the whole surface of a standard-pattern mask part corresponding to the standard-pattern forming region. A mask for the second exposure is such that a light blocking pattern is formed on the whole surface of a grating-pattern mask part corresponding to the grating-pattern forming region. | 03-19-2009 |
20090081562 | Photolithographic method and mask devices utilized for multiple exposures in the field of a feature - A photolithographic method for forming a plurality of characters on a device utilizes a mask set that includes a plurality of photolithographic masks, wherein each mask includes at least one non-opaque mask character field area that surrounds a non-opaque mask character area. Photoresist is exposed to radiation energy density through the set of masks using the masks sequentially to create at least one character field area of the photoresist, and a character area of the photoresist. Ultimately, because the character areas of the photoresist are exposed to some light energy density from the non-opaque mask character field areas during each mask exposure step, the total photoresist exposure time to create the series of characters is less than that of the prior art. | 03-26-2009 |
20090081563 | Integrated Circuits and Methods of Design and Manufacture Thereof - Integrated circuits and methods of manufacture and design thereof are disclosed. For example, a method of manufacturing includes depositing a gate material over a semiconductor substrate, and depositing a first resist layer over the gate material. A first mask is used to pattern the first resist layer to form first and second resist features. The first resist features include pattern for gate lines of the semiconductor device and the second resist features include printing assist features. A second mask is used to form a resist template; the second mask removes the second resist features. | 03-26-2009 |
20090081564 | EXPOSURE MASK, PATTERN FORMATION METHOD, AND EXPOSURE MASK FABRICATION METHOD - An exposure mask has a rectangular pattern, an auxiliary pattern, a translucent region, and a shielding region. The rectangular pattern includes a transparent region having a dimension equal to or greater than a critical resolution of exposure light. The auxiliary pattern is arranged around the rectangular pattern and includes a transparent region having a dimension smaller than the critical resolution. The translucent region is arranged between the rectangular pattern and the auxiliary pattern for shifting a phase of light transmitted through the rectangular pattern and the auxiliary pattern to an opposite phase. The shielding region is arranged around the auxiliary pattern. | 03-26-2009 |
20090081565 | METHOD FOR FORMING ETCHING MASK, CONTROL PROGRAM AND PROGRAM STORAGE MEDIUM - Disclosed is a method for forming an etching mask, capable of precisely and easily forming an etching mask having a microscopic pattern of a non-straight-line shape. An exposure pattern of a straight-line shape is transferred to a photoresist by using a first reticle and developed, and after a trimming process, a SiO | 03-26-2009 |
20090081566 | WAFER HAVING SCRIBE LANES SUITABLE FOR SAWING PROCESS, RETICLE USED IN MANUFACTURING THE SAME, AND METHOD OF MANUFACTURING THE SAME - A wafer that is less susceptible to chipping or peeling during a sawing process is disclosed. The wafer includes a plurality of chips, scribe lanes formed between the plurality of chips, and a passivation film, which is formed on the plurality of chips and the scribe lanes and has a plurality of perforations, e.g. slit patterns engraved on each scribe lane. A photolithography reticle and method of manufacturing the wafer are also provided. | 03-26-2009 |
20090081567 | SOFT PELLICLE AND METHOD OF MAKING SAME - The present invention relates generally to the fields of semiconductor lithography. More particularly, it concerns methods, compositions, and apparatuses relating to 157 nm, 167 nm, 193 nm, 248 nm, 365 nm, and 436 nm soft pellicles and the use of perfluorinated polymers in the creation of pellicles. | 03-26-2009 |
20090087754 | SYSTEM AND METHOD FOR MAKING PHOTOMASKS - The present disclosure is directed a method for preparing a system of photomask patterns for implementing a drawn pattern on a substrate with a multi-patterning lithography process. The method comprises receiving data describing a drawn pattern. A first photomask pattern is formed for implementing a region of the drawn pattern on the substrate. A second photomask pattern is formed comprising one or more pattern features having longitudinal edges for implementing the region of the drawn pattern on the substrate, wherein at least 90% of all the longitudinal edges of the second photomask pattern that are positioned within the region are oriented in substantially the same direction. Both a system for forming the photomask patterns and a process for patterning a device using the photomask patterns are also disclosed. | 04-02-2009 |
20090087755 | PHOTOMASK AND METHOD OF MAKING THEREOF - The disclosure is related to photomasks used in photolithography and methods of making photomasks. The method involves providing a transparent substrate with one or more reflective films disposed over a surface of the substrate, applying a photoresist to the solution-contacted reflective film and forming a pattern in the photoresist that is transferred to the substrate, and developing the pattern on the substrate by removing the remaining portions of the photoresist. The substrate carrying the patterned reflective film is then contacted with a solution comprising oxyanions. The disclosure is also related to photomasks made using the disclosed method. | 04-02-2009 |
20090087756 | STRUCTURE AND METHOD FOR DETERMINING AN OVERLAY ACCURACY - An enhanced technique for determination of an alignment accuracy involves an overlay target assembly which comprises at least two targets, each target having a first sub-structure of a first layer and a second sub-structure of a second layer, wherein, when the first layer and the second layer are correctly aligned, the first sub-structure and the second sub-structure of at least one of the targets are offset with respect to each other by a programmed offset and the overlay target assembly is invariant to at least one geometric transformation. If the offset vectors which describe the offset between the first sub-structure and the second sub-structure all have the same norm, the overlay error may be determined without calibration. Redundancy may be increased by providing each target with two or more programmed offsets between elements of the first sub-structure and elements of the second sub-structure. | 04-02-2009 |
20090087757 | METHOD FOR FEATURE PREDICTION, METHOD FOR MANUFACTURING PHOTOMASK, METHOD FOR MANUFACTURING ELECTRONIC COMPONENT, AND PROGRAM FOR FEATURE PREDICTION - A method for feature prediction, determining an incident angle at an incident amount prediction point on basis of a pattern data, determining an incident amount at the incident amount prediction point on basis of the incident angle and a process condition data, determining an incident amount distribution on basis of the incident amount at a plurality of the incident amount prediction points, selecting a feature prediction point on basis of the incident amount distribution and a predetermined threshold or a manufacturing history data, and performing prediction of a feature at the selected feature prediction point. | 04-02-2009 |
20090087758 | METHODS, APPARATUS AND COMPUTER PROGRAM PRODUCTS FOR FABRICATING MASKS AND SEMICONDUCTOR DEVICES USING MODEL-BASED OPTICAL PROXIMITY EFFECT CORRECTION AND LITHOGRAPHY-FRIENDLY LAYOUT - Design rules for circuit patterns of a semiconductor device are identified, and schematic layouts of the circuit patterns are generated according to the design rules. Lithography friendly layout (LFL) circuit patterns are generated from the schematic layouts. Target layout circuit patterns are generated from the LFL circuit patterns. Optical proximity effect correction (OPC) is performed on the target layout circuit patterns to generate OPC circuit patterns. A mask is fabricated from the OPC circuit patterns, and may be used fabricate a semiconductor device. | 04-02-2009 |
20090092905 | PHOTOMASK DEFECT CORRECTION DEVICE AND PHOTOMASK DEFECT CORRECTION METHOD - Provided is a photomask defect correction method of correcting a defect on a photomask including a substrate ( | 04-09-2009 |
20090092906 | Method of manufacturing phase shift photomask - In the case where the amount of variation in dimension of a made photomask exceeds an allowable range, a glass portion of the photomask is partially subjected to etching so that a dimension of a transcribed pattern obtained when a pattern formed on the photomask is transcribed on a wafer substrate falls into the allowable range in all drawing regions. | 04-09-2009 |
20090098468 | PHOTO-MASKS AND METHODS OF FABRICATING PHOTONIC CRYSTAL DEVICES - Improved photo-masks for use in fabricating photonic crystal devices are disclosed herein. Methods of making photonic crystal devices, as well as the photonic crystal devices fabricated therefrom, are also disclosed. The photo-mask can include a body element and one or more sets of diffractive elements and/or refractive elements disposed on the body element or within the body element. Each set of diffractive elements and/or refractive elements can be configured to produce four non-coplanar beams of light when a beam of light is passed through it. Each set of four non-coplanar beams of light can be used to interferometrically produce a specific photonic crystal structure at a specific location within a photosensitive recording material. | 04-16-2009 |
20090098469 | Process for fabrication of alternating phase shift masks - Design rules are described for a phase alternating shift mask for minimum chrome width and maximum segment length, where an embodiment employs during a cleaning process of the mask a megasonic power of 50 Watts at 1 MHz, and 30 Watts at 3 MHz. Some embodiments utilize an dry etch Carbon Tetrafluoride and Dioxygen based process. Other embodiments are described and claimed. | 04-16-2009 |
20090098470 | Method of Correcting Defect in Photomask - Disclosed herein is a method of correcting defects in photomasks. According to one embodiment, a light absorption layer is formed on a photomask where pin hole defects occur in a light blocking layer, and light absorption patterns are formed on the pin hole defect portions by selectively etching the light absorption layer. According to another embodiment, a light absorption layer is formed on a backside of a photomask having pin hole defects in a light blocking layer, and light absorption patterns are formed on the backside of the photomask substrate corresponding to a region having pin hole defects by etching the light absorption layer. | 04-16-2009 |
20090098471 | MASK FOR SEQUENTIAL LATERAL SOLIDIFICATION LASER CRYSTALLIZATION - A mask suitable for SLS laser crystallization includes a transparent substrate with a mask pattern thereon. The mask pattern includes a first region pattern and a second region pattern in mirror symmetry. When a laser beam irradiates on the mask to form a scanning region, the area of the scanning region is smaller than that of the mask pattern. The area of the mask pattern is larger than that of the scanning region of the laser beam. When the laser crystallization process is performed along a first direction, only a partial region on the mask is selected. When the laser crystallization process is performed along a second direction, the other region on the mask is then selected. | 04-16-2009 |
20090104540 | Graded lithographic mask - In one aspect there is provided a gray scale lithographic mask that comprises a transparent substrate and a metallic layer located over the substrate, wherein the metallic layer has tapered edges with a graded transparency. The lithographic mask, along with etching processes may be used to transfer a pattern | 04-23-2009 |
20090104541 | PLASMA SURFACE TREATMENT TO PREVENT PATTERN COLLAPSE IN IMMERSION LITHOGRAPHY - The present invention comprises a method of reducing photoresist mask collapse when the photoresist mask is dried after immersion development. As feature sizes continue to shrink, the capillary force of water used to rinse a photoresist mask approaches the point of being greater than adhesion force of the photoresist to the ARC. When the capillary force exceeds the adhesion force, the features of the mask may collapse because the water pulls adjacent features together as the water dries. By depositing a hermetic oxide layer over the ARC before depositing the photoresist, the adhesion force may exceed the capillary force and the features of the photoresist mask may not collapse. | 04-23-2009 |
20090104542 | USE OF CHROMELESS PHASE SHIFT MASKS TO PATTERN CONTACTS - Method for using chromeless phase shift lithography (CPL) masks to pattern contacts corresponding CPL masks. The method for patterning contacts includes illuminating a CPL mask comprising a reticle having plurality of phase-shifting features interspersed with non-phase-shifting areas with a short wavelength light source, wherein the phase-shifting features are configured in a pattern corresponding to a target pattern of the contacts on the semiconductor substrate. Phase-shifted and non-phase-shifted light passing through the reticle is the projected as an aerial image onto a layer of a negative tone resist applied over the semiconductor substrate to pattern the contacts in the resist. The phase-shifting features may comprise recesses or mesas, and cause light passing therethrough to be phase-shifted in phase approximately 180° from light passing through non-phase-shifting areas of the mask. | 04-23-2009 |
20090104543 | METHOD OF FABRICATING HALFTONE PHASE SHIFT MASK - A method of fabricating a halftone phase shift mask is disclosed, by which a process time and a failure ratio can be reduced by sequentially forming a phase shift layer a first photoresist, a metal layer and a second photoresist over a transparent substrate, performing a process to expose a portion of the metal layer, and then performing an etching process to expose a portion of the substrate using the second photoresist as a mask, and then performing an electron-beam exposure process on a portion of the first photoresist such that electrons contact the surface of the transparent substrate, and then simultaneously developing a portion of the first photoresist and removing a portion of the metal layer and a remaining portion of the first photoresist to expose a portion of the phase shift layer. | 04-23-2009 |
20090104544 | PELLICLE AND METHOD FOR MANUFACTURING THE SAME - A pellicle of the present invention is provided with a silicon crystal film, the absorption coefficient of which is 0.005/nm or lower with respect to light having a wavelength of 13.5 nm, as a pellicle film. The silicon crystal film is an indirect transition type semiconductor film and, therefore, the optical absorption coefficient thereof is relatively low. In particular, a single-crystal silicon film has a lower absorption coefficient than an amorphous silicon film and a polysilicon film. Thus, it is easy to obtain desired transmissivity required of a pellicle film for EUV from the single-crystal silicon film. Such a pellicle film as described above can be fabricated from an SOI film obtained by thin-filming an SOI substrate (including an SOQ substrate and an SOG substrate). | 04-23-2009 |
20090111032 | EUVL Mask, Method of Fabricating the EUVL Mask, and Wafer Exposure Method Using the EUVL Mask - A mask for extreme ultra violet lithography (EUVL) and a method of fabricating the same, and a wafer exposure method using the same. According to a method of fabricating the mask, a light reflective layer pattern is formed on a transparent substrate to reflect extreme ultraviolet light. The extreme ultraviolet light is incident to and transmitted by the transparent substrate. A light absorption layer on the transparent substrate is formed to fill between the light reflective layer patterns and absorb the extreme ultraviolet light. | 04-30-2009 |
20090111033 | METHOD OF FABRICATING PHOTOMASK - A method for fabricating a photomask includes forming a phase shift layer and a light blocking layer on a transparent substrate, forming a light blocking pattern including a space through which the phase shift layer is selectively exposed by etching light blocking layer, forming a resist pattern to fill the space, reducing a critical dimension (CD) of the resist pattern by irradiating ultraviolet (UV) rays onto the resist pattern, forming a phase shift pattern by etching the phase shift layer exposed during the reducing of the CD of the resist pattern using the reduced resist pattern and the light blocking pattern as an etch mask, and removing the resist pattern. | 04-30-2009 |
20090111034 | Method for Fabricating RIM Type Photomask - Provided is a method for fabricating a rim type photomask. The method includes forming a light blocking pattern and a phase shift pattern on a substrate, forming a groove in the substrate by etching an exposed portion of the substrate using the light blocking pattern and the phase shift pattern as an etch mask, forming a mask layer filling the groove formed in the substrate, forming a mask pattern selectively exposing the groove formed in the substrate by patterning the mask layer, reducing a critical dimension (CD) of the mask pattern by irradiating ultraviolet (UV) rays onto the substrate, etching the light blocking pattern using the mask pattern having the reduced CD as an etch mask; forming a height difference by etching the phase shift pattern by a predetermined thickness from a top surface of the phase shift pattern that is exposed by the etching of the light blocking pattern; and forming a photomask including a rim region defined by the phase shift pattern having the height difference by removing the mask pattern. | 04-30-2009 |
20090111035 | BINARY MASK, METHOD FOR FABRICATING THE BINARY MASK, AND METHOD FOR FABRICATING FINE PATTERN OF SEMICONDUCTOR DEVICE USING BINARY MASK - Provided are a binary mask, a method for fabricating the binary mask, and a method for fabricating a fine pattern of semiconductor device. In the method for fabricating the fine pattern, a binary mask including phase shift layer patterns is prepared on a transparent substrate. A semiconductor substrate including an etch objective layer and a resist layer is prepared. An exposure operation using the binary mask and a light source of a short wavelength is performed to transfer the phase shift layer patterns of the binary mask onto the resist layer of the semiconductor substrate. The resist layer to which the patterns have been transferred is developed to form resist layer patterns selectively exposing the etch objective layer. Exposed portions of the etch objective layer are etched using the resist layer patterns as an etch mask to form etch objective layer patterns. The resist layer patterns are removed. | 04-30-2009 |
20090111036 | PHOTOLITHOGRAPHY MASK REPAIR - Masks can be repaired by creating a structure that is different from the original design, but that produces the same aerial image. For example, missing opaque material can be replaced by implanting gallium atoms to reduce transmission and quartz can be etched to an appropriate depth to produce the proper phase. In another aspect, a laser or other means can be used to remove an area of a mask around a defect, and then mask structures, either the intended design structures or alternate structures that produce the same aerial image, can be constructed using charged particle beam deposition and etching. For example, an electron beam can be used to deposit quartz to alter the phase of transmitted light. An electron beam can also be used with a gas to etch quartz to remove a layer including implanted gallium atoms. Gallium staining can also be reduced or eliminated by providing a sacrificial layer that can be removed, along with the implanted gallium atoms, using, for example, a broad ion beam. In another aspect, a charged particle beam can be programmed to etch a defect using three-dimensional information derived from two charged particle beams images of the defect from different angle. | 04-30-2009 |
20090117474 | METHODS OF MANUFACTURING MASK BLANK AND TRANSFER MASK - In a method of manufacturing a mask blank adapted to be formed with a resist pattern by electron beam writing and having a light-shielding film and an etching mask film of an inorganic-based material resistant to etching of the light-shielding film which are formed in this order on a transparent substrate, when forming the etching mask film, shielding is performed using a shielding plate so as to prevent the etching mask film from being formed at least at a side surface of the substrate. | 05-07-2009 |
20090117475 | Multilayer active mask lithography - An active mask emits a patterned energy flux in response to an energy input. | 05-07-2009 |
20090123853 | ALIGNING APPARATUS, ALIGNING METHOD, EXPOSURE APPARATUS, EXPOSURE METHOD, AND DEVICE MANUFACTURING METHOD - An aligning apparatus and the like are provided which can improve stage alignment accuracy during stage acceleration and suppress the generation of internal vibration of the stage to improve throughput. An aligning apparatus including a table part ( | 05-14-2009 |
20090130569 | Adjustable Mask Blank Structure for an Euv Phase-Shift Mask - The invention concerns a process for forming an optical component comprising:
| 05-21-2009 |
20090130570 | Methods for Inspecting and Optionally Reworking Summed Photolithography Patterns Resulting from Plurally-Overlaid Patterning Steps During Mass Production of Semiconductor Devices - A batch of wafers is temporarily stalled during a Double Pattern Technology (DPT) process before a temporary representation of a second of to-be-overlaid patterns is permanently combined with a first of the patterns. Sampled ones of the stalled wafers are inspected to determine if sufficiently close alignment is present between the two patterns. If excessive misalignment is detected (e.g., by SEM microscopy), the second but still temporary pattern representation is erased from all wafers of the batch and the batch is routed for rework and corrected reestablishment of the temporary representation of the second of to-be-overlaid patterns. | 05-21-2009 |
20090130571 | MASKING PROCESS USING PHOTORESIST - The invention provides a masking process using photoresist, comprising: attaching a compress mask plate to a substrate; coating photoresist in a mask pattern of the compress mask plate; baking the photoresist from the substrate side; removing the compress mask plate from the substrate to form a desired photoresist pattern on the substrate. The inventive method simplifies the photolithography process, thereby the process time is shortened and the yield is increased. | 05-21-2009 |
20090130572 | RETICLE FOR FORMING MICROSCOPIC PATTERN - A reticle for forming a microscopic pattern is formed that prevents a ghost image generated in a photolithography process for patterning microscopic-sized holes. The reticle may include a quartz substrate; a first pattern formed by exposing a portion of the surface of the quartz substrate; a second pattern surrounding the first pattern and including a phase shift layer; and a third pattern including an opaque layer around the second pattern. | 05-21-2009 |
20090130573 | Multilayer active mask lithography - An active mask emits a patterned energy flux in response to an energy input. | 05-21-2009 |
20090130574 | SPUTTERING TARGET USED FOR PRODUCTION OF REFLECTIVE MASK BLANK FOR EUV LITHOGRAPHY - To provide a sputtering target to be used for production of an EUV mask blank, capable of preventing particles by film peeling even when formation of a reflective multilayer film as a reflective layer and a Ru layer as a protective layer is carried out at a production level using actual machines for a large number of cycles. | 05-21-2009 |
20090136856 | Photo-mask and thin-film transistor substrate - A photo-mask having a first exposure area, a second exposure area and a third exposure area is for manufacturing a thin-film transistor substrate. The photo-mask includes a first peripheral line pattern, a first dummy line pattern, a first overlapping pixel pattern and a second overlapping pixel pattern. The first peripheral line pattern is in the first exposure area. The first dummy line pattern is in the first exposure area and connected to the first peripheral line pattern. The first overlapping pixel pattern is in the first exposure area and connected to the first dummy line pattern. The first overlapping pixel pattern is complementary to the second overlapping pixel pattern in the second exposure area. After exposing through and overlapping the first and second overlapping pixel patterns, two patterns respectively formed from exposing through the first and second exposure area are unified. | 05-28-2009 |
20090136857 | Correcting 3D Effects In Phase Shifting Masks Using Sub-Resolution Features - Using phase shifting on a mask can advantageously improve printed feature resolution on a wafer, thereby allowing greater feature density on an integrated circuit. Phase shifting can create an intensity imbalance between 0 degree and 180 degree phase shifters on the mask. An improved method of designing an alternating PSM to minimize this intensity imbalance is provided. Sub-resolution features, called “blockers”, can be incorporated in the alternating PSM design. Specifically, blockers can be formed in the 0 degree phase shifters. In this configuration, the intensity associated with the 0 degree phase shifters approximates the intensity associated with the corresponding 180 degree phase shifters. Intensity balancing using blockers retains image contrast, thereby ensuring printed feature quality. | 05-28-2009 |
20090142673 | SEMI-TRANSPARENT FILM GRAYSCALE MASK - A grayscale mask made from semi-transparent film layers is provided, along with an associated fabrication method. The method provides a transparent substrate, such as quartz, with a surface. A first layer of a semi-transparent film having a surface with a first surface area, is formed overlying the substrate surface. At least a second layer of the semi-transparent film having a surface with a second surface area greater than the first surface area, is formed overlying the first layer. A first vertical region is formed having a light first attenuation parameter through the combination of substrate, first layer, and second layer. A second vertical region is formed having a light second attenuation parameter through the combination of the first layer and substrate, and a third vertical region is formed having a light third attenuation parameter through the substrate. | 06-04-2009 |
20090142674 | Photo Mask and Method for Manufacturing Semiconductor Device Using the Same - A photo mask includes a dot pattern formed between a line pattern and an island pattern. Methods of making a semiconductor device employing such a photo mask improves yield and productivity of the device. | 06-04-2009 |
20090142675 | RETICLE FOR OPTICAL PROXIMITY CORRECTION TEST PATTERN AND METHOD OF MANUFACTURING THE SAME - A reticle for an Optical Proximity Correction (OPC) test pattern and a method of manufacturing the same. In one example embodiment of the present invention, a reticle for an OPC test pattern includes test patterns formed apart from each other at regular intervals and dummy patterns for controlling a light transmission amount formed between the test patterns. The dummy patterns are formed apart from the test patterns at a predetermined interval. | 06-04-2009 |
20090148779 | Sub-wavelength diffractive elements to reduce corner rounding - The present invention discloses a mask including: a first region near a corner of a feature, the first region including a first element, the first element being transparent to a light, the first element having a side that is smaller than a wavelength of said light; a second region near the corner of the feature, the second region including a second element, the second element being transparent to the light, the second element having a side that is smaller than the wavelength of the light; and a third region near the corner of the feature, the third region including a third element, the third element being opaque to the light, the third element having a side that is smaller than the wavelength of the light. | 06-11-2009 |
20090148780 | METHOD FOR CORRECTING MASK PATTERN, AND EXPOSURE MASK - A method for correcting optical proximity effect of a mask pattern for exposure light, the mask pattern including a rectangular pattern formed by a transparent region having a dimension of limiting resolution of exposure light, includes (a) performing exposure by means of an evaluating mask on which an evaluation pattern including the rectangular pattern is arranged so as to form a pattern on a wafer. Further, the method includes (b) calculating an error between a simulation value obtained by a simulation of exposure, the simulation using the evaluation pattern and a dimension value of the pattern formed on the wafer, and (c) optimizing a simulation parameter so that the error becomes small. The simulation parameter includes at least a first bias value and a second value, the first bias value corrects a corner portion of the rectangular pattern, and the second bias value corrects a side portion of the rectangular pattern. | 06-11-2009 |
20090148781 | REFLECTIVE-TYPE MASK - A reflective-type mask having a main surface including a pattern region in the main surface, the pattern region including a multilayer reflective film which reflects the exposure light and a first absorber pattern on the multilayer reflective film, the first absorber pattern including a pattern which absorbs the exposure light and corresponds to a pattern to be formed on a wafer, a light shielding region in the main surface for preventing a region on the wafer excluding a predetermined region from being irradiated with the exposure light when the main surface is irradiated with the exposure light for transferring the first absorber pattern to the predetermined region, the light shielding region including a second absorber pattern having a lower reflectivity to the exposure light than the first absorber pattern and being provided in a position differing from a position in which the first absorber pattern is provided. | 06-11-2009 |
20090148782 | EXPOSURE METHOD, PHOTO MASK, AND RETICLE STAGE - An exposure method includes setting a photo mask into an exposure apparatus. The exposure apparatus includes an opening/closing unit configured to block a part of exposure light from a light source to the wafer. The photo mask having a product area in which a pattern to be used when a central part of a wafer is exposed is formed and peripheral exposure areas in each of which a pattern to be used when a peripheral area is exposed is formed. The peripheral exposure areas are formed to have a plurality of types of pattern densities. Then, a peripheral part of the wafer exposed. When exposing, the opening/closing unit is opened such that one or more of exposed photo mask areas selected from among the peripheral exposure areas has a pattern density corresponding to a shot position of the peripheral part. | 06-11-2009 |
20090148783 | METHOD, PROGRAM PRODUCT AND APPARATUS FOR MODEL BASED GEOMETRY DECOMPOSITION FOR USE IN A MULTIPLE EXPOSURE PROCESS - A method of decomposing a target pattern having features to be imaged on a substrate so as to allow said features to be imaged in a multi-exposure process. The method includes the steps of: (a) segmenting a plurality of the features into a plurality of polygons; (b) determining the image log slope (ILS) value for each of the plurality of polygons; (c) determining the polygon having the minimum ILS value, and defining a mask containing the polygon; (d) convolving the mask defined in step (c) with an eigen function of a transmission cross coefficient so as to generate an interference map, where the transmission cross coefficient defines the illumination system to be utilized to image the target pattern; and (e) assigning a phase to the polygon based on the value of the interference map at a location corresponding to the polygon, where the phase defines which exposure in said multiexposure process the polygon is assigned. | 06-11-2009 |
20090155698 | PHOTOMASK BLANK AND PRODUCTION METHOD THEREOF, AND PHOTOMASK PRODUCTION METHOD, AND SEMICONDUCTOR DEVICE PRODUCTION METHOD - There are provided a photomask blank which is capable of preventing static buildup caused by electron beam pattern drawing for forming a resist pattern, a photomask blank which provides a good pattern accuracy through optimization of the dry etching rate along the depth direction of the shielding film, and a photomask blank which is capable of reducing the dry etching time by increasing the dry etching rate of the shielding film. | 06-18-2009 |
20090155699 | PHASE-SHIFT MASK AND METHOD FOR FORMING A PATTERN - A phase-shift mask for forming a pattern includes a glass substrate and a pattern, a first phase-shift region, a second phase-shift region and a third phase-shift region on the glass substrate. The first phase-shift region and the second phase-shift region are alternately arranged and the third phase-shift regions are formed at the terminal ends of the first phase-shift region. | 06-18-2009 |
20090162757 | PHASE SHIFT MASK AND METHOD FOR MANUFACTURING THE SAME - Embodiments relate to a phase shift mask and a method for manufacturing the same. According to embodiments, a phase shift mask may include a substrate, a phase shift layer disposed on and/or over an area of the substrate corresponding to a pattern to be exposed, and a dummy phase shift layer disposed on and/or over an area of the substrate where a phase shift layer may not be formed. According to embodiments, a side lobe phenomenon may be minimized. | 06-25-2009 |
20090162758 | PHOTOMASK HAVING CODE PATTERN FORMED BY CODING DATA CONVERSION PROCESS INFORMATION, PHOTOMASK FORMATION METHOD, AND SEMICONDUCTOR DEVICE FABRICATION METHOD - Design data of a wafer pattern to be formed on a semiconductor wafer is converted into mask data corresponding to a mask pattern to be formed on a photomask for use in the formation of the wafer pattern, and the mask pattern is formed on the photomask on the basis of the mask data. A code pattern obtained by coding information of the data conversion process of converting the design data into the mask data is formed on the photomask. | 06-25-2009 |
20090170010 | High-resolution, patterned-media master mask - A high-resolution, patterned-media master mask is disclosed. The high-resolution, patterned-media master mask includes an electron-absorption substrate for absorbing electrons from an electron beam (e-beam) during an e-beam exposure by an e-beam lithography process and suppressing a backscattering of the electrons based on an electron-backscattering-suppressing atomic number associated with a constituent atomic species of the electron-absorption substrate, wherein the electron-absorption substrate comprises a material composed of greater than fifty atomic percent of the constituent atomic species, and wherein the electron backscattering-suppressing atomic number is less than an atomic number eight. The high-resolution, patterned-media master mask further includes a patterned portion coupled with the electron-absorption substrate, wherein the patterned portion is patterned by the e-beam lithography process, and wherein a resolution of the patterned portion is increased in response to the electron-absorption substrate suppressing the backscattering of the electrons. | 07-02-2009 |
20090170011 | Reflective photomask and method of determining layer thicknesses of the same - A reflective photomask and a method of determining or optimizing thicknesses of layers of the reflective photomask are provided. The reflective photomask may include a substrate, a reflective layer, an absorptive pattern, and a spacer. The substrate may include a reflective region and an absorptive region, the reflective layer may be formed between the reflective and absorptive regions, the absorptive pattern may be formed on the reflective layer corresponding to the reflective region, and the spacer may be formed at an upper portion, lower portion, or inside of the reflective layer so as to correspond to the reflective region. | 07-02-2009 |
20090170012 | Phase-shifting masks with sub-wavelength diffractive opical elements - The present invention discloses a method of designing a set of two tiled masks, as well as, a mask including: a first tile, the first tile being transparent to a light, the first tile having a first characteristic linear dimension that is 15% or less of a wavelength of the light; a second tile, the second tile being transparent to the light, the second tile having a second characteristic linear dimension that is 15% or less of the wavelength of the light; and a third tile, the third tile being opaque to the light, the third tile having a third characteristic linear dimension that is 15% or less of the wavelength of the light. | 07-02-2009 |
20090170013 | Mask and Method of Fabricating the Same - The invention relates to a mask and a method of fabricating the same. When a mask pattern is formed using E-Beam, the size of the divisional region obtained by a fracturing process can not be formed equally. Therefore, the uniformity of the mask pattern is degraded. In order to form the divisional region to be of equal sizes, the method includes performing a fracturing process by adding a subsidiary pattern to divide a region except for mask pattern, thereby obtaining a mask pattern having excellent uniformity and reducing defects of semiconductor devices. | 07-02-2009 |
20090170014 | MASK, EXPOSURE APPARATUS AND DEVICE MANUFACTURING METHOD - A circular cylinder-shaped mask is used to form an image of a pattern on a substrate via a projection optical system. The mask has a pattern formation surface on which the pattern is formed and that is placed around a predetermined axis, and the mask is able to rotate, with the predetermined axis taken as an axis of rotation, in synchronization with a movement of the substrate in at least a predetermined one-dimensional direction. When a diameter of the mask on the pattern formation surface is taken as D, and a maximum length of the substrate in the one-dimensional direction is taken as L, and a projection ratio of the projection optical system is taken as β, and circumference ratio is taken as π, then the conditions for D≧(β×L)/π are satisfied. | 07-02-2009 |
20090176165 | Polymer composition, hardmask composition having antireflective properties, and associated methods - A polymer composition includes an aromatic ring-containing polymer represented by Formula 1: | 07-09-2009 |
20090176166 | METHOD FOR MANUFACTURING PHOTOMASKS AND DEVICE FOR ITS IMPLEMENTATION - The photomask manufacturing method comprises at least one step of cleaning the photomask and at least one step of placing a protective pellicle onto the photomask at the end of manufacturing. The inventive method further comprises at least one step of removing ammonia and sulfate residue between the cleaning step and the pellicle placement step. This step comprises the following operations:
| 07-09-2009 |
20090181314 | Reverse Dummy Insertion Algorithm - A method of inserting dummy patterns includes providing a window area comprising a main pattern. The main pattern includes first patterns of a first type of features, and second patterns of a second type of features. The first and the second types are different types. The method further includes globally inserting first dummy patterns throughout the window area, wherein the first dummy patterns are dummy patterns of the first type of features; enlarging the main pattern to generate an enlarged main pattern, wherein the enlarged main pattern occupies an enlarged region of the window area; removing the portion of the first dummy patterns in the enlarged region from the first dummy patterns to generate first inversed dummy patterns; and combining the first patterns in the main pattern with the first inversed dummy patterns to generate first mask patterns for the first type of features. | 07-16-2009 |
20090181315 | PRODUCTION OF MICRO- AND NANOPORE MASS ARRANGEMENTS BY SELF-ORGANIZATION OF NANOPARTICLES AND SUBLIMATION TECHNOLOGY - The invention relates to a method for the production of micro- and/or nanopore mass arrangements on a substrate including functionalization of the substrate surface in selected areas; deposition of colloidal particles that have the capacity to selectively bond to the functionalized areas of the substrate surface from an aqueous dispersion on the substrate surface, during which an ordered monolayer of the particles forms on the substrate surface; separation of non-bound colloidal particles; freezing of the substrate; and sublimation of the residual water on the substrate in the vacuum, during which the short-range order of the particle monolayer is preserved. | 07-16-2009 |
20090186282 | CONTAMINATION PREVENTION IN EXTREME ULTRAVIOLET LITHOGRAPHY - Embodiments of the present invention provide methods and apparatus for removing debris particles using a stream of charged species. One embodiment of the present invention provides an apparatus for removing debris particles from a beam of radiation comprising a charged species source configured to dispense electrically charged species, and a collecting plate biased electrically opposite to the charged species from the charged species source, wherein the collecting plate and the charged species source are disposed on opposite sides of the beam of radiation, a stream of charged species from the charged species source to the collecting plate intersects the beam of radiation, the stream of charged species is configured to attach and remove debris particles from the beam of radiation by electrostatic force, and the collecting plate is configured to receive the charged species and the debris particles removed from the beam of radiation. | 07-23-2009 |
20090186283 | Photomasks and Methods Of Forming Photomasks - Some embodiments include methods in which a mathematical representation of a photomask construction is defined, with such representation comprising a plurality of pillars that individually contain a plurality of distinct layers. Each of the layers has two or more characteristic parameters which are optimized through an optimization loop. Subsequently, specifications obtained from the optimization loop are utilized to form actual layers over an actual reticle base. Some embodiments include photomask constructions in which a radiation-patterning topography is across a reticle base, with such topography including multiple pillars that individually contain at least seven distinct layers. | 07-23-2009 |
20090186284 | Reticle, and method of laying out wirings and vias - Provided is a reticle used for forming a plurality of vias for connecting first wirings provided in a first wiring layer and second wirings provided in a second wiring layer formed above the first wiring layer. The first wirings and the second wirings are provided along one of a first direction and a second direction, and the first direction and the second direction perpendicularly cross each other. The reticle includes a plurality of via opening patterns for forming the plurality of vias. Each of the plurality of via opening patterns has a rectangular shape, and is arranged to cause each side of each of the via opening patterns to be diagonal with respect to the first direction and the second direction. | 07-23-2009 |
20090191468 | Contact Level Mask Layouts By Introducing Anisotropic Sub-Resolution Assist Features - This disclosure includes a SRAF layout that minimizes the number of SRAFs required to reliably print contact shapes. A method is provided that reduces the number of necessary SRAF features on a mask, placing at least two elongated SRAF shapes on the mask such that the elongated SRAF shapes extend past at least one edge of a mask shape in at least one direction. | 07-30-2009 |
20090191469 | REFLECTION PHOTOLITHOGRAPHY MASK, AND PROCESS FOR FABRICATING THIS MASK - The invention relates to an extreme ultraviolet photolithography mask, operating in reflection, the mask comprising a substrate, a mirror structure deposited uniformly on the substrate, and an absorbent layer which is absorbent at the operating wavelength of the mask and is deposited on top of the mirror structure and etched in a desired masking pattern. The absorbent layer contains indium among its principal constituents. | 07-30-2009 |
20090191470 | PELLICLE FRAME - The present invention is directed to provide a pellicle that can control the deformation of the photomask to a minimum without particular consideration of the flatness of a pellicle frame even in the case where a pellicle is affixed to a photomask for lithography. In the pellicle of the present invention, a cross-sectional area of a pellicle frame is 6 mm | 07-30-2009 |
20090191471 | Composition for cleaning a phase shift mask and associated methods - A composition for cleaning a phase shift mask, including an organic acid ammonium salt, wherein a base ionization constant (K | 07-30-2009 |
20090191472 | Blank Mask and Method for Fabricating the Same - A blank mask includes a pattern target layer formed over a transparent substrate and a self-assembly monolayer disposed over and modifying the surface of a back side of the transparent substrate opposite to the pattern target layer. | 07-30-2009 |
20090191473 | PHOTOMASK MANUFACTURING METHOD, PHOTOMASK MANUFACTURING SYSTEM, AND DEVICE MANUFACTURING METHOD - A drawing apparatus is first adjusted so that a pattern is drawn on the photomask at a pattern position at which a position variation amount of the pattern position on a surface of the photomask due to distortion of the photomask that occurs when the photomask is held on a mask stage of an exposing apparatus is eliminated. Then, a position measuring device that measures the pattern position is adjusted so that the position variation amount is added to a result of measurement of the pattern position by the position measuring device. Finally, the pattern is drawn on the photomask by using the adjusted drawing apparatus and the pattern position of the pattern is measured by using the adjusted position measuring device. | 07-30-2009 |
20090191474 | ON-TRACK PROCESS FOR PATTERNING HARDMASK BY MULTIPLE DARK FIELD EXPOSURES - This invention provides methods of creating via or trench structures on a developer-soluble hardmask layer using a multiple exposure-development process. The hardmask layer is patterned while the imaging layer is developed. After the imaging layer is stripped using organic solvents, the same hardmask can be further patterned using subsequent exposure-development processes. Eventually, the pattern can be transferred to the substrate using an etching process. | 07-30-2009 |
20090191475 | Method of manufacturing photomask - A method of manufacturing a photomask includes: providing a photomask; exposing the photomask to obtain an aerial image of the photomask and evaluating the photomask using the aerial image; and altering an optical parameter of the photomask associated with the aerial image according to the result of evaluation. | 07-30-2009 |
20090202922 | Dimensional stabilization of precision etched masks - Dimensional stabilization of a precision etched mask used in the production of organic light emitting diode display panels. This may entail securing a sheet of mask material, and then heating the sheet of mask material to a temperature within a predetermined range for a predetermined amount of time to produce a treated sheet of mask material. The treated sheet of mask material may then be used to fabricate a dimensionally stable precision etched mask, such as by exposing and etching the treated sheet of mask material. | 08-13-2009 |
20090202923 | PHOTOMASK MANUFACTURING METHOD, PHOTOMASK MANUFACTURING APPARATUS AND PHOTOMASK - A photomask manufacturing method which includes generating data of a main pattern to be transferred onto a substrate, and data of an assist pattern which is arranged adjacent to the main pattern and which assists the transfer of the main pattern without being transferred onto the substrate; performing an optical proximity effect correction to the generated data of the main pattern; correcting shapes of the main pattern and the assist pattern on the basis of a shape change caused at an edge of the main pattern facing the assist pattern by the optical proximity effect correction; and forming a photomask by using the data of the main pattern and of the assist pattern which have been corrected. | 08-13-2009 |
20090202924 | METHOD OF EVALUATING A PHOTO MASK AND METHOD OF MANUFACTURING A SEMICONDUCTOR DEVICE - A method of evaluating a photo mask, includes measuring each dimension of a plurality of pattern portions of a mask pattern formed on a photo mask, obtaining an inter-pattern distance between the pattern portion and a pattern different from the pattern portion with respect to each of the pattern portions, obtaining a dimensional difference between the measured dimension of the pattern portion and a target dimension of the pattern portion with respect to each of the pattern portions, grouping the dimensional difference obtained for each pattern portion into a plurality of groups in accordance with the inter-pattern distance obtained for each pattern portion, obtaining an evaluation value based on the dimensional difference in each group with respect to each of the groups, and evaluating the photo mask based on the evaluation value. | 08-13-2009 |
20090202925 | PHOTOMASK DEFECT CORRECTION METHOD, PHOTOMASK MANUFACTURING METHOD, PHASE SHIFT MASK MANUFACTURING METHOD, PHOTOMASK, PHASE SHIFT MASK, PHOTOMASK SET, AND PATTERN TRANSFER METHOD - A first photomask | 08-13-2009 |
20090202926 | EXPOSURE MASK AND PATTERN FORMING METHOD THEREFOR - An exposure mask is constituted of hole-patterns whose scales are higher than the limit resolution of exposure light and which are repetitively aligned in X-Y directions with the prescribed pitch (ranging from 140 nm to 180 nm) therebetween, halftone phase shift regions whose transmission factors range from 2% to 20% and each of which is aligned between two hole-patterns adjacently lying in the X-direction or the Y-direction so as to apply an inverse phase to incidence light, and light preventive regions each of which is aligned between two hole-patterns adjacently lying in an oblique direction inclined to the X-direction or Y-direction by 45°. The exposure mask is illuminated with azimuthal polarization light which is produced by a secondary light source of a zonal illumination and whose polarization direction is perpendicular to the radial direction of the secondary light source. | 08-13-2009 |
20090208850 | Near-Field Exposure Mask, Method of Producing that Mask, Near-Field Exposure Apparatus Having that Mask, and Resist Pattern Forming Method - Disclosed is a near-field exposure mask having a light blocking layer formed on a substrate, the light blocking layer having an opening with an opening width narrower than a wavelength of an exposure light source, wherein exposure of an object to be exposed is carried out by use of near-field light to be produced at the opening while the exposure mask and the object to be exposed are placed in contact with each other, an important feature residing in that the light blocking layer is provided by a film that contains silicon in a range from 50% to 100% in terms of mole fraction. | 08-20-2009 |
20090208851 | Photomask, Fabrication Method for the Same and Pattern Formation Method Using the Same - A mask pattern including, for example, a light-shielding portion | 08-20-2009 |
20090208852 | PATTERN FORMING METHOD, SEMICONDUCTOR DEVICE MANUFACTURING METHOD AND SEMICONDUCTOR DEVICE MANUFACTURING APPARATUS - Provided are a pattern forming method capable of accurately forming a microscopic pattern without employing a hard mask, thereby simplifying a process in comparison to a conventional process and reducing a manufacturing cost of a semiconductor device, a semiconductor device manufacturing method and a semiconductor device manufacturing apparatus. A pattern forming method for forming a pattern having a predetermined shape and serving as a mask for etching, includes a process for forming a first pattern | 08-20-2009 |
20090214959 | PHOTORESIST COMPOSITIONS AND METHODS RELATED TO NEAR FIELD MASKS - A structure and a photolithography method. The method includes forming a first layer of a first photoresist including a first polymer and a first photosensitive acid generator. A second layer of a second photoresist, including a second polymer having at least one phenyl or phenolic moiety, is formed directly onto the first layer. The second layer is patternwise imaged, resulting in exposing at least one first portion. The first portion is removed, revealing at least one first region of the first layer. A second portion of the second layer remains forming a structure having opaque regions. The structure and first region are exposed. The opaque regions shield from radiation at least one second region of the first layer, resulting in producing acid in the first region and in the structure. The structure and base-soluble regions of the first layer are removed. A structure is also described. | 08-27-2009 |
20090214960 | RESIST COMPOSITION AND PATTERNING PROCESS - In a chemically amplified resist composition comprising a base resin, an acid generator, and a solvent, 1400-5000 pbw of the solvent is present per 100 pbw of the resin. The solvent comprises a major proportion of PGMEA, 10-40 wt % of ethyl lactate, a total of PGMEA and ethyl lactate being at least 60 wt %, and 0.2-20 wt % of a high-boiling solvent. | 08-27-2009 |
20090214961 | PHOTOMASK BLANK, PHOTOMASK, AND METHODS OF MANUFACTURING THE SAME - A photomask blank has a light-shielding film composed of at least two layers on a transparent substrate. The light-shielding film includes a light-shielding layer made of a material mainly containing tantalum nitride and further containing xenon and a front-surface antireflection layer formed on the light-shielding layer and made of a material mainly containing tantalum oxide and further containing argon. | 08-27-2009 |
20090220864 | INSPECTION METHOD FOR TRANSPARENT ARTICLE - An inspection method of transparent articles wherein presence or absence of optical inhomogeneities within the transparent articles can be accurately inspected is provided. | 09-03-2009 |
20090220865 | METHOD AND APPARATUS FOR SOURCE FIELD SHAPING IN A PLASMA ETCH REACTOR - A method and apparatus for improved plasma etching uniformity are provided herein. In one embodiment, a field-shaping magnet is disposed above the chamber processing volume and adjacent to field induction coils. The field-shaping magnet provides improved control of the etch rate at various locations along the surface of a substrate by providing adjustability in the radial profile of a plasma-producing electric field generated by the induction coils. In another embodiment, two field-shaping magnets are used to improve etching uniformity at the substrate surface. | 09-03-2009 |
20090220866 | PRE-ALIGNMENT MARKING AND INSPECTION TO IMPROVE MASK SUBSTRATE DEFECT TOLERANCE - A method includes determining defect types and defect locations on a mask blank and storing the defect types and the defect locations on the mask blank. The method further includes generating at least one alignment mark on the mask blank and selecting a mask pattern for the mask blank based on the defect types and the defect locations. Additionally, the method includes determining a positioning of the mask pattern on the mask blank, aligning a mask pattern generator with the mask blank in accordance with the positioning using the at least one alignment mark and forming the mask pattern in the mask blank using the mask pattern generator. | 09-03-2009 |
20090220867 | GRADATED PHOTOMASK AND ITS FABRICATION PROCESS - The invention provides a gradated photomask for reducing photolithography steps and its fabrication process, which make use of a generally available photomask blank, prevents the reflectance of a light shield film from growing high, makes alignment easy during the formation of a semitransparent film, and enables the semitransparent film on a light shield pattern with good step coverage. A photomask ( | 09-03-2009 |
20090220868 | MASK AND DESIGN METHOD THEREOF - A mask and the design method thereof are provided. The mask includes a light-shielding area shielding off a light, wherein the light-shielding area includes a photonic crystal having a lattice constant, and a ratio of the lattice constant to a wavelength of the light is a specific value within a band gap of the photonic crystal. | 09-03-2009 |
20090220869 | REFLECTION-TYPE MASK AND METHOD OF MAKING THE REFLECTION-TYPE MASK - To provide a reflection-type mask having a reduced shadowing effect, capable of phase shift exposure and having a shading frame of sufficient shading performance. The mask includes a substrate | 09-03-2009 |
20090220870 | MEASURING THE EFFECT OF FLARE ON LINE WIDTH - In photo-lithography, one may assess the effect of flare due to various exposure tools. In an example embodiment, in a photo-lithography process on a photo resist coated substrate, there is a method for determining the effect of flare on line shortening. The method comprises, at a first die position on the substrate and in a first exposure, printing a first mask that includes a flare pattern corresponding to one corner of the first mask, and in a second exposure, printing a second mask that includes another flare pattern corresponding to an opposite corner of the second mask. At a second die position on the substrate, a composite mask pattern based on features of the first mask and the second is printed. The printed patterns are developed and measurements are obtained therefrom. The effect of flare is determined as a function of the measurements. | 09-03-2009 |
20090233182 | PHOTOMASK BLANK AND METHOD OF PRODUCING THE SAME, METHOD OF PRODUCING PHOTOMASK, AND METHOD OF PRODUCING SEMICONDUCTOR DEVICE - It is provided a photomask blank that has good flatness when a light-shielding film is patterned and hence can provide a good mask pattern accuracy and a good pattern transfer accuracy, and a method of producing a photomask. | 09-17-2009 |
20090233183 | EXPOSURE MASK AND A METHOD OF MAKING A SEMICONDUCTOR DEVICE USING THE MASK - Disclosed herein are an exposure mask and a method of making a semiconductor device using the mask. The exposure mask includes a transparent substrate; and a light blocking pattern having first and second patterns, and an assist feature disposed between the first and second patterns and including a dot pattern arranged into two rows deviated from each other. The exposure make can improve the depth of focus margin to allow for the high integration of a semiconductor device. | 09-17-2009 |
20090233184 | MANUFACTURING METHOD OF A SEMICONDUCTOR DEVICE - An exposure mask provides a minute pattern formation which enables the high integration of semiconductor devices by preventing the generation of a scum in a space between a first pattern and a second pattern. The exposure mask includes a first pattern and a second pattern adjacent to the first pattern. A space is formed between the first pattern and the second pattern. The first pattern and the second pattern may each include a square wave shaped edge that is adjacent to the space. The square wave shaped edge includes a plurality of concave portions and convex portions. | 09-17-2009 |
20090233185 | Extreme Ultraviolet Mask and Method for Fabricating the Same - An EUV mask comprises a multi-reflecting layer is formed over a substrate and reflecting EUV light; an absorber layer pattern defining a sidewall formed over the multi-reflecting layer formed and selectively exposing a region of the multi-reflecting layer; and a reflecting spacer which additionally reflects the EUV light at the sidewall of the absorber layer pattern. | 09-17-2009 |
20090233186 | SCATTERING BAR OPC APPLICATION METHOD FOR SUB-HALF WAVELENGTH LITHOGRAPHY PATTERNING FIELD OF THE INVENTION - A method of forming a mask having optical proximity correction features, which includes the steps of obtaining a target pattern of features to be imaged, expanding—the width of the features to be imaged, modifying the mask to include assist features which are placed adjacent the edges of the features to be imaged, where the assist features have a length corresponding to the expanded width of the features to be imaged, and returning the features to be imaged from the expanded width to a width corresponding to the target pattern. | 09-17-2009 |
20090233187 | Designing method of photo-mask and method of manufacturing semiconductor device using the photo-mask - In a method of designing a photo-mask, a graphic pattern as a target of development simulation is divided into a plurality of sub graphic patterns which are respectively assigned with a plurality of orthogonal coordinate systems which are not orthogonal to each other. A model-based OPC (optical proximity correction) is performed on each of the plurality of sub graphic patterns by moving sides of the sub graphic pattern in directions parallel to coordinate axes of the orthogonal coordinate system assigned to the sub graphic pattern. | 09-17-2009 |
20090233188 | REFLECTIVE MASK BLANK, REFLECTIVE MASK, METHOD OF INSPECTING REFLECTIVE MASK, AND METHOD FOR MANUFACTURING THE SAME - A main object of the invention is to provide a reflective mask for EUV lithography, which may detect an alignment mark by transmission. The invention achieves the object by providing a reflective mask comprising a substrate, a multilayer formed on one side of the substrate, an intermediate layer formed on the multilayer, an absorber formed in pattern on the substrate on which the multilayer and the intermediate layer are formed, and a conductive layer formed on the other side of the substrate, wherein the pattern of the absorber constitutes a circuit pattern and an alignment mark, and in an alignment region where the alignment mark is provided, the other side of the substrate is exposed. | 09-17-2009 |
20090233189 | DEVICE AND METHOD FOR OBTAINING EXPOSURE CORRECTION INFORMATION, AND MANUFACTURING METHOD OF SEMICONDUCTOR DEVICE - A method of obtaining exposure correction information includes adjusting intensity of light incident on a photomask so that intensity of light output from the photomask has a desired distribution, and includes obtaining the exposure correction information as a distribution of the adjusted intensity of light incident on the photomask. | 09-17-2009 |
20090233190 | MASK BLANK AND METHOD FOR MANUFACTURING TRANSFER MASK - [Problems] To provide a mask blank which can eliminate pattern defects by preventing a resist pattern from disappearing at the time of manufacturing a transfer mask in semiconductor design rule (DRAM hp65 nm or below), and to provide a mask. | 09-17-2009 |
20090233191 | Overlay Target for Polarized Light Lithography - A target and method for use in polarized light lithography. A preferred embodiment comprises a first structure located on a reference layer, wherein the first structure is visible through a second layer, and a second structure located on the second layer, wherein the second structure is formed from a photomask containing a plurality of sub-structures oriented in a first orientation, wherein a polarized light is used to pattern the second structure onto the second layer, and wherein a polarization of the polarized light is the same as the orientation of the plurality of sub-structures. The position, size, and shape of the second structure is dependent upon a polarity of the polarized light, permitting a single design for an overlay target to be used with different polarities of polarized light. | 09-17-2009 |
20090233192 | METHOD FOR FINISHING SURFACE OF PRELIMINARY POLISHED GLASS SUBSTRATE - The invention is to provide a method in which waviness generated on a glass substrate surface during pre-polishing is removed, thereby finishing the glass substrate so as to have a surface excellent in flatness. The invention relates to a method for finishing a pre-polished glass substrate surface using any one of processing methods selected from the group consisting of ion beam etching, gas cluster ion beam etching and plasma etching, the glass substrate being made of quartz glass that contains a dopant and comprises SiO | 09-17-2009 |
20090239155 | FLUORINE-PASSIVATED RETICLES FOR USE IN LITHOGRAPHY AND METHODS FOR FABRICATING THE SAME - Fluorine-passivated reticles for use in lithography and methods for fabricating and using such reticles are provided. According to one embodiment, a method for performing photolithography comprises placing a fluorine-passivated reticle between an illumination source and a target semiconductor wafer and causing electromagnetic radiation to pass from the illumination source through the fluorine-passivated reticle to the target semiconductor wafer. In another embodiment, a fluorine-passivated reticle comprises a substrate and a patterned fluorine-passivated absorber material layer overlying the substrate. According to another embodiment, a method for fabricating a reticle for use in photolithography comprises providing a substrate and forming a fluorine-passivated absorber material layer overlying the substrate. | 09-24-2009 |
20090239156 | Apparatus and Method for the Removal of Pellicles from Masks - An apparatus and a method for the removal of pellicles from masks which can be used for photolithographic purposes. The pellicles are removed from masks in a universal manner without them being further contaminated or damaged. In an apparatus, at least one grip is present for the manipulation of masks provided with pellicles which has at least two fixing elements which engage at the edge of a mask with exerted pressure force. There are moreover present in this connection at least one detector for the contactless determination of the position of mounts formed at the radially outer edge of pellicles, and a heating device for the heating of an adhesive with which pellicles are fastened to a mask with material continuity, and a removal apparatus of the pellicles from a mask having a mask fixing unit and a pellicle removal unit. | 09-24-2009 |
20090239157 | METHOD FOR FABRICATING PHOTOMASK - Provided is a method for fabricating a photomask. A light blocking layer is formed on a transparent substrate having a first region and a second region. A hard mask layer is formed on the light blocking layer. A first polymer film is formed on the hard mask layer. Here, the first polymer film is formed of single strand polymers that can form a complementary binding. A portion of the first polymer film corresponding to the first region is changed to comprise polymers having partial complementary binding. A hard mask pattern for exposing a portion of the light blocking layer under the first polymer film is formed by performing an etching process using the changed portion as an etch stop. A light blocking pattern is formed by removing an exposed portion of the light blocking layer by performing an etching process using the hard mask pattern as an etch mask, and then removing the hard mask pattern. | 09-24-2009 |
20090239158 | Method of maintaining mask for semiconductor process - A method of maintaining a mask for a semiconductor process, the method includes providing a first structure and a second structure being attached to each other via a thermosetting material, detaching the first and second structures from each other, and performing an ashing process on the first structure. | 09-24-2009 |
20090239159 | PHOTOMASK, APPARATUS FOR MANUFACTURING SEMICONDUCTOR DEVICE HAVING THE PHOTOMASK, AND METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE USING THE PHOTOMASK - A photomask is disclosed which can suppress deterioration of the depth of focus even in the case where main features are arranged randomly. Sub-features are replaced by a quadrangular sub-feature located inside an external quadrangle which includes as part of its outer periphery the outermost portions of the original sub-features. The sub-feature after the replacement is preferably in a square shape and the length of one side thereof is determined in accordance with the length of the associated external quadrangle. A central position of the sub-feature after the replacement is preferably coincident with the center of the external quadrangle or the center of gravity of the region which includes the original sub-features. | 09-24-2009 |
20090239160 | METHOD FOR PREPARING DATA FOR EXPOSURE AND METHOD FOR MANUFACTURING PHOTO MASK - A method for preparing data for exposure includes forming a first plurality of rectangular patterns from a reticle preparing rule; lining an object pattern for performing reticle exposure with the first rectangular patterns, and extracting a second plurality of rectangular patterns, disposed in an N×N matrix, from the first plurality of rectangular patterns in the object pattern; and performing a violation detecting treatment and a correcting treatment of the pattern width and the pattern distance of the reticle exposure pattern on the basis of the distance between the second plurality of rectangular patterns. | 09-24-2009 |
20090239161 | APPLICATIONS OF SEMICONDUCTOR NANO-SIZED PARTICLES FOR PHOTOLITHOGRAPHY - Semiconductor nano-sized particles possess unique optical properties, which make them ideal candidates for various applications in the UV photolithography. In this patent several such applications, including using semiconductor nano-sized particles or semiconductor nano-sized particle containing materials as highly refractive medium in immersion lithography, as anti-reflection coating in optics, as pellicle in lithography and as sensitizer in UV photoresists are described. | 09-24-2009 |
20090239162 | Methods of Forming Reticles - The invention includes reticle constructions and methods of forming reticle constructions. In a particular aspect, a method of forming a reticle includes provision of a reticle substrate having a defined main-field region and a defined boundary region. The substrate has a relatively transparent base and a relatively opaque material over the base. A thickness of the relatively opaque material of the main-field region is reduced relative to a thickness of the relatively opaque material of the boundary region. A reticle construction of the present invention can comprise a relatively transparent base, and a relatively opaque material over the base. The construction can have a defined main-field region and a defined boundary region, and the relatively opaque material of the main-field region can have a reduced thickness relative to the relatively opaque material of the boundary region. | 09-24-2009 |
20090246644 | Low stress pellicle frames and reticle pellicle assemblies - Low stress reticle pellicle assemblies. In accordance with certain embodiments of the present invention, a pellicle frame of reduced stiffness is employed to reduce the stress a pellicle frame induces in a reticle plate. In other embodiments, a pellicle frame of reduced adhesive surface is employed to reduce the stress a pellicle frame induces in a reticle plate. In accordance with still other embodiments, a stress compensating frame is employed to reduce the cumulative stresses in an assembly comprising the reticle plate, pellicle and stress compensating frame. | 10-01-2009 |
20090246645 | PHOTOMASK BLANK, PHOTOMASK, AND METHODS OF MANUFACTURING THE SAME - A photomask blank is for manufacturing a phase shift mask having a light-transmitting substrate provided with a phase shift portion adapted to give a predetermined phase difference to transmitted exposure light. The phase shift portion is a dug-down part that is dug down from a surface of the light-transmitting substrate to a digging depth adapted to produce the predetermined phase difference with respect to exposure light transmitted through the light-transmitting substrate at a portion where the phase shift portion is not provided. The photomask blank includes, on the digging-side surface of the light-transmitting substrate, an etching mask film that is made of a material being dry-etchable with a chlorine-based gas, but not dry-etchable with a fluorine-based gas, and serves as an etching mask at least until, when forming the dug-down part by dry etching, the dry etching reaches the digging depth. The photomask blank further includes, on a surface of the etching mask film, a light-shielding film that is made of a material mainly containing tantalum and has a thickness so as to be removable during the dry etching for forming the dug-down part of the light-transmitting substrate. | 10-01-2009 |
20090246646 | LITHOGRAPHIC PELLICLE - A lithographic pellicle is provided that includes a pellicle frame, a pellicle film stretched over one end face of the pellicle frame via a pellicle film adhesive, and an exposure master plate adhesive provided on the other end face, wherein corners formed between a pellicle film adhesion face and exposure master plate adhesion face of the pellicle frame and inside and outside faces of the frame are subjected to C chamfering, and the chamfer dimension on the exposure master plate adhesion face is greater than C0.35 (mm) but no greater than C0.55 (mm). | 10-01-2009 |
20090246647 | PHOTOMASK BLANK, PHOTOMASK, AND METHODS OF MANUFACTURING THE SAME - A photomask blank has a light shieldable film formed on a light transmitting substrate. The light shieldable film has a light shielding layer which is formed of molybdenum silicide metal containing molybdenum in a content greater than 20 atomic % and not greater than 40 atomic % and which has a thickness smaller than 40 nm, an antireflection layer formed on the light shielding layer in contact with the light shielding layer and formed of a molybdenum silicide compound containing at least one of oxygen and nitrogen, and a low reflection layer formed under the light shielding layer in contact with the light shielding layer. | 10-01-2009 |
20090246648 | Photolithography Scattering Bar Structure And Method - A photolithography mask includes a design feature located in an isolated or semi-isolated region of the mask and a plurality of parallel linear assist features disposed substantially perpendicular to the design feature. The plurality of parallel linear assist features may include a first series of parallel assist features disposed on a first side of the design feature and perpendicularly thereto, and a second series of parallel assist features disposed on a second side of the design feature and perpendicularly thereto. | 10-01-2009 |
20090253051 | PHASE SHIFT MASK AND METHOD OF FABRICATING THE SAME - The present invention relates to a phase shift mask and a method of fabricating the same. According to an aspect of the present invention, a method of fabricating a phase shift mask includes forming a phase shift layer and a light-shielding layer over a transparent substrate including a cell area and a frame area, patterning the light-shielding layer to thereby form light-shielding layer patterns, patterning the phase shift layer in a width narrower than that of the light-shielding layer pattern by performing an etch process using the light-shielding layer patterns as a mask, and removing the light-shielding layer patterns of the cell area. | 10-08-2009 |
20090253052 | Photomask and Method of Fabricating the Same - A photomask comprises: a light transmitting substrate; patterns disposed over the light transmitting substrate to define a light transmitting region; and a light transmittance control layer disposed between the light transmitting substrate and the patterns having a relatively high light transmittance in a first control layer region overlapping a first portion of the light transmitting region adjacent to a poor pattern having a size larger than a normal size than in a second control layer region overlapping a second portion of the light transmitting region between normal patterns having a normal size. | 10-08-2009 |
20090253053 | Method of Fabricating Halftone Phase Shift Mask - A method of fabricating a halftone phase shift mask, comprising: forming a structure by sequentially stacking a light blocking layer pattern defining a side surface and a phase shift layer pattern over a light transmitting substrate; and treating the structure with heat to make the phase shift layer pattern flow and cover the side surface of the light blocking layer pattern. | 10-08-2009 |
20090253054 | PHASE SHIFT MASK BLANK AND METHOD OF MANUFACTURING PHASE SHIFT MASK - Disclosed is a phase shift mask blank ( | 10-08-2009 |
20090253055 | REFLECTIVE MASK BLANK FOR EUV LITHOGRAPHY, AND SUBSTRATE WITH FUNCTIONAL FILM FOR THE MASK BLANK - Provided are a substrate with a conductive film for an EUV mask blank in which the generation of particles due to abrasion between an electrostatic chuck and the substrate is prevented; and a substrate with a multilayer reflective film and an EUV mask blank each employing such a substrate with a conductive film. | 10-08-2009 |
20090258302 | SUB-RESOLUTION ASSIST FEATURE OF A PHOTOMASK - A photomask including a main feature, corresponding to an integrated circuit feature, and a sub-resolution assist feature (SRAF) is provided. A first imaginary line tangential with a first edge of the main feature and a second imaginary line tangential with the second edge of the main feature define an area adjacent the main feature. A center point of the SRAF lies within this area. The SRAF may be a symmetrical feature. In an embodiment, the center point of the SRAF lies on an imaginary line extending at approximately 45-degree angle from a corner of a main feature. | 10-15-2009 |
20090258303 | Method of Fabricating a Photomask Using Self Assembly Molecule - A method of fabricating a photomask includes includes forming a light blocking layer over a transparent substrate, and forming a hard mask pattern over the light blocking layer. The hard mask pattern exposes a portion of the light blocking layer. The method also includes depositing a self assembly molecule (SAM) layer over the hard mask pattern. The SAM layer covers the hard mask pattern and a portion of the exposed light blocking layer. The method also includes forming a resist layer pattern over an exposed portion of the light blocking layer that is not covered by the deposited SAM layer. The method further includes removing the SAM layer to expose the hard mask pattern and the light blocking layer, and etching the light blocking layer with the hard mask pattern and the resist layer pattern to form the photomask. Still further, the method includes removing the hard mask pattern and the resist layer pattern. The disclosed method permits one to manufacture fine patterns in semiconductor devices utilizing conventional apparatus and materials. | 10-15-2009 |
20090263729 | TEMPLATES FOR IMPRINT LITHOGRAPHY AND METHODS OF FABRICATING AND USING SUCH TEMPLATES - A template for use in imprint lithography is disclosed. The template includes at least two ultraviolet transparent materials bonded together by an ultraviolet transparent epoxy. The ultraviolet transparent epoxy is a polymeric, spin-on epoxy or a two-part, amine-cured epoxy having a viscosity at room temperature of from about 35,000 cps to about 45,000 cps. The template has a substantially uniform index of refraction. Additionally, methods of forming and using the templates are disclosed. | 10-22-2009 |
20090263730 | EXTREME ULTRA VIOLET LITHOGRAPHY MASK AND METHOD FOR FABRICATING THE SAME - A method for fabricating an extreme ultra violet lithography mask includes forming a reflective layer that reflects an extreme ultra violet light on a substrate; forming a capping layer that transmits the extreme ultra violet light on the reflective layer; and forming selectively pores in some region of the capping layer to form a light absorption region that absorbs the extreme ultra violet light. | 10-22-2009 |
20090263731 | Method for Fabricating Fine Pattern in Photomask - A method for fabricating a fine pattern in a photomask includes forming a light shielding layer over a substrate; forming a first resist layer pattern over the light shielding layer to expose the light shielding layer with a first critical dimension; forming a groove by etching the portion of the light shielding layer exposed by the first resist layer pattern to a first depth; exposing an upper surface of the light shielding layer by removing the first resist layer pattern; forming a second resist layer pattern over the exposed upper surface of the light shielding layer so that a bottom of the groove is partially exposed; and forming a light shielding layer pattern by etching the portion of the light shielding layer exposed by the second resist layer pattern to a second depth so that the substrate is exposed with a second critical dimension which is smaller than the first critical dimension. | 10-22-2009 |
20090263732 | MASK PATTERNS INCLUDING GEL LAYERS FOR SEMICONDUCTOR DEVICE FABRICATION - Mask patterns include a resist pattern and a gel layer on a surface of the resist pattern having a junction including hydrogen bonds between a proton donor polymer and a proton acceptor polymer. Methods of forming the mask patterns and methods of fabricating a semiconductor device using the mask patterns as etching masks are also provided. | 10-22-2009 |
20090263733 | PHOTO MASK, FOCUS MEASURING METHOD USING THE MASK, AND METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE - A photo mask includes an asymmetrical diffraction grating pattern in which diffraction efficiencies of plus primary diffracted light and minus primary diffracted light are different, the asymmetrical diffraction grating pattern including a shielding portion which shields light, a first transmitting portion which transmits light, and a second transmitting portion which transmits light, a ratio of widths of the shielding portion, the first transmitting portion, and the second transmitting portion being n11 where n is a positive real number except 2, the asymmetrical diffraction grating pattern approximately satisfying 163°≦360°/(n+2)+θ≦197° where θ (≠90°) indicates an absolute value of a difference between a phase of the light transmitted through the first transmitting portion and that of the light transmitted through the second transmitting portion, and a reference pattern for obtaining an image as a reference for measuring a shift of an image of the asymmetrical diffraction grating pattern. | 10-22-2009 |
20090269678 | METHOD FOR MAKING A REFLECTION LITHOGRAPHIC MASK AND MASK OBTAINED BY SAID METHOD - The invention relates to a process for fabricating an extreme ultraviolet photolithography mask operating in reflection, comprising a substrate, a mirror structure (uniformly deposited on the substrate, and an absorbent element forming a pattern deposited on the mirror structure, characterized in that the absorbent element is obtained by the irradiation and then development of an organometallic resist layer deposited on the mirror structure. | 10-29-2009 |
20090269679 | Phase Shift Mask for Double Patterning and Method for Exposing Wafer Using the Same - A phase shift mask includes a substrate; a first phase shift pattern formed in a groove shape having a first depth within the substrate so that when a first light with a first wave length is incident, the first light transmitted through a surface of the substrate and the first light transmitted through the groove are destructively interfered and when a second light with a second wave length is incident, the second light transmitted through the surface of the substrate and the second light transmitted through the groove have a phase difference of 180 degrees; and a second phase shift pattern formed in a groove shape having a second depth within the substrate so that when the first light with the first wave length is incident, the first light transmitted through the surface of the substrate and the first light transmitted through the groove have a phase difference of 180 degrees and when the second light with the second wave length is incident, the second light transmitted through the surface of the substrate and the second light transmitted through the groove are destructively interfered. | 10-29-2009 |
20090269680 | IMAGE MASK AND IMAGE MASK ASSEMBLY - An image mask and image mask assembly. The image mask includes a glass substrate having a thickness of less than 2 mm and a patterned mask disposed on a surface of the glass substrate. The image mask assembly includes a transparent backer plate coupled to the glass substrate. Methods of writing a pattern on a glass sheet and writing a master pattern into a layer comprising a mask material are also provided. | 10-29-2009 |
20090269681 | Method of detecting exposure boundary position, and method of fabricating semiconductor device - A region at which a light attenuation amount, converted into an exposure amount, is less than or equal to 100 msec is defined as an exposure region, and a region exceeding 100 msec is defined as a light shielded region. A dimension change amount of 0.12 μm is a threshold value of boundary position detection. A region where a dimension change amount of a projected image is less than or equal to 0.12 μm is an exposure region, and a region where a dimension change amount of a projected image exceeds 0.12 μm is a light shielded region. A position of an effective boundary line between the exposure region and the light shielded region can be determined. The position of the effective boundary line can be made to correspond to a position of a boundary line between an exposure region and a light shielded region on a photomask. | 10-29-2009 |
20090269682 | METHOD OF FORMING ETCHING MASK, ETCHING METHOD USING THE ETCHING MASK, AND METHOD OF FABRICATING SEMICONDUCTOR DEVICE INCLUDING THE ETCHING METHOD - The method of forming an etching mask includes: forming a mask layer on an object layer that is to be etched, to form an etching mask used in etching the object layer; forming a first mask layer on the mask layer, the first mask layer having a first pattern that is to be transferred onto the mask layer; forming a second mask layer on the first mask layer, the second mask layer having a second pattern that is to be transferred onto the mask layer; obtaining a third mask layer having the first pattern and the second pattern, by transferring the second pattern of the second mask layer onto the first mask layer; and forming the etching mask used in the etching of the object layer, by etching the mask layer using the third mask layer. | 10-29-2009 |
20090274962 | PELLICLE AND METHOD FOR PRODUCING PELLICLE - A silicon single crystal film having a crystal plane as its principal plane, the crystal plane being inclined at 3 to 5° from any lattice plane belonging to {100} planes or {111} planes is used as a pellicle film. The silicon single crystal having such a crystal plane as its principal plane has effective bond density and Young's modulus thereof which are about 40% to about 50% higher than those of a silicon single crystal with <100> orientation, and therefore a cleavage and crack do not easily occur. Moreover, the silicon single crystal has a high chemical resistance such as hydrofluoric acid resistance, and hardly causes an etch pit and void. Accordingly, the present invention can provide a pellicle comprising a pellicle film for EUV having high transmission, and excellent mechanical and chemical stability, as well as having a high yield, and being practical also in cost. | 11-05-2009 |
20090280415 | TRANSPARENT SUBSTRATE FOR MASK BLANK AND MASK BLANK - In a transparent substrate for a mask blank, which is required to have a predetermined optical characteristic, a substrate mark is formed by cutting off a predetermined corner portion into an oblique section. The shape of the mark is determined in accordance with the optical characteristic of he substrate. | 11-12-2009 |
20090286166 | PROCESS FOR SMOOTHING SURFACE OF GLASS SUBSTRATE - There is provided a process for smoothing a substrate surface having a concave defect, such as a pit or a scratch. | 11-19-2009 |
20090286167 | CROSS TECHNOLOGY RETICLES - A method of fabricating a device is presented. The method includes forming a mask that includes multiple images. A substrate is patterned using the mask. An image of the multiple images corresponds to a respective patterning process. The substrate is processed further to complete the processing of the substrate to form the desired function of the device. | 11-19-2009 |
20090286168 | METHOD FOR STRIPPING PELLICLE AND STRIPPING APPARATUS USED THEREIN - A method for stripping a pellicle from an exposure master plate is provided, the pellicle being a lithographic pellicle that includes a pellicle frame, a pellicle film stretched over one end face of the pellicle frame via a pellicle film adhesive, and an exposure master plate pressure-sensitive adhesion layer provided on the other end face, the method including a step of irradiating the pressure-sensitive adhesion layer with UV light. There is also provided a stripping apparatus used in the stripping method, the apparatus including a light source for irradiating the exposure master plate pressure-sensitive adhesion layer with UV light and means for heating the exposure master plate and/or the pellicle frame with which the pressure-sensitive adhesion layer is in contact. | 11-19-2009 |
20090286169 | PELLICLE FOR USE IN SEMICONDUCTOR LITHOGRAPHY - A pellicle used in semiconductor lithography is provided and includes a photomask adhesive layer for affixing the pellicle to a photomask. The photomask adhesive layer has a surface layer and a lower layer. The lower layer has one or more sub-layers. A Young's modulus of the surface layer is higher than a Young's modulus of any sub-layer forming the lower layer. | 11-19-2009 |
20090286170 | PELLICLE - A pellicle used in semiconductor lithography is provided and comprises a pellicle frame made of aluminum or an aluminum alloy. The surface of the frame is free of pigment. | 11-19-2009 |
20090286171 | LITHOGRAPHIC MASK AND MANUFACTURING METHOD THEREOF - Cleaning is carried out by using a sulfuric acid type detergent at a resist stripping and cleaning step (step | 11-19-2009 |
20090291372 | PELLICLE AND METHOD FOR PRODUCING PELLICLE - A pellicle film of a silicon single crystal film and a base substrate supporting the pellicle film are formed of a single substrate using an SOI substrate. The base substrate is provided with an opening whose ratio in area to an exposure region when a pellicle is used on a photomask (an open area ratio) is 60% or more, and provided with a reinforcing frame in a non-exposure region of the base substrate. Since the pellicle film and the base substrate supporting the pellicle film are formed of the single substrate (an integrated structure), and the base substrate is provided with the reinforcing frame, the effect of increased strength is obtained. Moreover, a principal plane of a silicon single crystal film is a crystal plane inclined at 3 to 5° from any lattice plane belonging to {100} planes or {111} planes. | 11-26-2009 |
20090297956 | PHOTOLITHOGRAPHY WITH OPTICAL MASKS HAVING MORE TRANSPARENT FEATURES SURROUNDED BY LESS TRANSPARENT FEATURES - In photolithographic exposure, a feature ( | 12-03-2009 |
20090297957 | EXPOSURE MASK AND METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE USING THE SAME - Disclosed herein are an exposure mask and a method for manufacturing a semiconductor device using the same. The exposure mask comprises a first transparent pattern having a rectangular shape for forming an expected contact hole region, and a second transparent pattern formed at both long sides of the first transparent pattern, thereby maintaining a process margin and obtaining a contact hole with reduced exposure energy. | 12-03-2009 |
20090297958 | Exposure mask and exposure method using the same - A divided exposure method for a photolithography process is disclosed, which uses a mask. The mask for an exposer having a left and right light intensity deviation includes a substrate; a first pattern in a middle of the substrate; and second and third patterns on left and right sides of the first pattern, respectively, wherein the first and second patterns compensate for the left and right light intensity deviation of the exposer. | 12-03-2009 |
20090297959 | PHOTOMASK, AND METHOD AND APPARATUS FOR PRODUCING THE SAME - A shading area having a transmissivity in the range of 0 to 2% is formed at the center of a clear defect in a wiring pattern of a half tone mask. Semitransparent areas having a transmissivity in the range of 10 to 25% are formed, adjacently to shading area, in areas extending from the inside of the edge of an imaginary pattern having no defect to the outside of the edge. In this way, in the correction of the defect in the half tone mask, the working accuracy tolerable margin of the correction portion of the defect can be made large. | 12-03-2009 |
20090305147 | EXTREME ULTRAVIOLET PHOTOLITHOGRAPHY MASK, WITH RESONANT BARRIER LAYER - The invention relates to extreme ultraviolet photolithography masks that operate in reflection. These masks comprise a lower mirror covering a substrate, and two types of reflecting zones Z | 12-10-2009 |
20090305148 | PATTERN DATA CREATING METHOD, PHOTOMASK FABRICATING METHOD, AND METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE - A pattern data creating method according to an embodiment of the present invention creates data of a mask pattern to be arranged on a photomask. The method includes creating a test mask pattern by moving positions of plural edges in a given mask pattern according to a predetermined probability density distribution, the test mask pattern having dimension values which are different from dimension values of the given mask pattern, obtaining dimension values of a wafer pattern, which are measured by exposing a wafer with a test mask on which the test mask pattern is arranged, forming the wafer pattern on the wafer by the exposure, and measuring the dimension values of the wafer pattern on the wafer, obtaining a relationship between the dimension values of the wafer pattern and the dimension values of the test mask pattern, and creating, by using the relationship, the mask pattern having dimension values by which a wafer pattern having predetermined dimension values is formed. | 12-10-2009 |
20090305149 | DUMMY LIGHT-EXPOSED SUBSTRATE, METHOD OF MANUFACTURING THE SAME, IMMERSION EXPOSURE APPARATUS, AND DEVICE MANUFACTURING METHOD - A dummy light-exposed substrate used for dummy light-exposure in an immersion exposure apparatus which exposes a substrate to light via a projection optical system and a liquid, comprises a lyophilic region, and a liquid repellent region surrounding the lyophilic region. | 12-10-2009 |
20090311612 | PHOTOMASK - A photomask which improves the imaging performance that the photomask has and forming a good micro image on a wafer in photolithography with a half pitch of 60 nm or less. Provided is a photomask used for photolithography using an ArF excimer laser as an exposing source for immersion exposure by quadrupole-polarized illumination with a high-NA lens. The photomask includes a mask pattern of a light shielding film or semi-transparent film on a transparent substrate, and further, given that a thickness of the light shielding film or semi-transparent film is “t” nm, a refractive index is “n”, an extinction factor is “k”, and a bias of a space part of the mask pattern is “d” nm, when “t”, “d”, “n” and “k” are adjusted and the photomask is used for the photolithography, optical image contrast takes a value exceeding 0.580. | 12-17-2009 |
20090311613 | Mask for multi-column electron beam exposure, and electron beam exposure apparatus and exposure method using the same - A mask for exposure, which is used in a multi-column electron beam exposure apparatus having multiple column cells, includes a stencil pattern group constituted by multiple stencil patterns for each of the multiple column cells. The stencil pattern groups are arranged at intervals corresponding to arrangement intervals of the multiple column cells, and all of the stencil pattern groups are formed on a single mask substrate. The stencil pattern groups include: a first stencil pattern group formed within a deflectable range of an electron beam of each of the multiple column cells; and a second stencil pattern group having two or more of the first stencil patterns. | 12-17-2009 |
20090317727 | Means for transferring a pattern to an object - A template ( | 12-24-2009 |
20090317728 | Method for Fabricating Extreme Ultraviolet Lithography Mask - A method for fabricating an extreme ultraviolet (EUV) lithography mask comprises forming a reflecting layer, an absorber layer, and a resist layer over a substrate; defining a plurality of split regions by partially splitting the resist layer with regular spacing; performing an exposure process, wherein the exposure region is irradiated with an electron beam at different intensities on the split regions to generate a difference in electron beam doses implanted into the resist layer; forming a resist layer pattern which selectively exposes the absorber layer and has a slanted side wall profile by performing a development process to remove a portion of the resist layer, into which the electron beam doses are implanted; and forming an absorber layer pattern with a slanted side wall profile by sequentially etching the portion of the absorber layer exposed by the resist layer pattern. | 12-24-2009 |
20090317729 | MASK BLANK GLASS SUBSTRATE, MASK BLANK GLASS SUBSTRATE MANUFACTURING METHOD, MASK BLANK MANUFACTURING METHOD, AND MASK MANUFACTURING METHOD - A mask blank glass substrate is for use in manufacturing a mask blank. In the mask blank glass substrate, a marker expressing, by a plurality of pits, information for identifying or managing the mask blank glass substrate is formed on a surface of a region, having no influence on formation of a transfer pattern, of the mask blank glass substrate. Each of the pits forming the marker is a round hole with its edge portion being generally circular and a distance L | 12-24-2009 |
20090317730 | SYSTEM AND A METHOD FOR IMPROVED CROSSHATCH NANOMACHINING OF SMALL HIGH ASPECT THREE DIMENSIONAL STRUCTURES BY CREATING ALTERNATING SUPERFICIAL SURFACE CHANNELS - This invention provides the user the ability to accurately nanomachine surfaces with reduced tip induced errors. Nanomaching has two types of errors, a first type of error is brought about by the tip's shape and its aspect ratio. A second type of error due to the tip's deflection as it works the material. Therefore, embodiments of the present invention minimizes tip deflection errors allowing allow high aspect Nano-bits to reliably and accurately nanomachine small high aspect three dimensional structures to repair and rejuvenate photomasks | 12-24-2009 |
20090317731 | MASK HAVING MULTIPLE TRANSMITTANCES - A mask including a transparent substrate, a non-transmitting layer, a first transmitting layer and a second transmitting layer is provided. The transparent substrate has a first region, a second region, and a third region. The non-transmitting layer is disposed in the first region of the transparent substrate. The first transmitting layer is disposed in the second region and the third region of the transparent substrate. The second transmitting layer is disposed on the first transmitting layer in the third region. | 12-24-2009 |
20090325080 | Method and Structure for Fabricating Dark-Periphery Mask for the Manufacture of Semicondutor Wafers - A method for manufacturing an integrated circuit devices. The method includes providing a substrate, which includes an opaque film overlying the substrate, an overlying negative photoresist layer, a stop layer overlying the negative photoresist layer, and a positive photoresist layer overlying the stop layer. The method includes patterning the positive resist layer to form one or more window openings in the positive photoresist layer. The method also includes removing the exposed stop layer within the one or more window openings to expose a portion of the negative photoresist layer and patterning the exposed portion of the negative photoresist layer. The method includes developing the exposed portion of the negative photoresist layer and removing exposed portions of the opaque layer to expose an underlying portion of the substrate. The method further includes removing any remaining portions of the negative photoresist layer, stop layer, and positive photoresist layer to provide a patterned mask. The patterned mask is used for a manufacture of integrated circuits. | 12-31-2009 |
20090325081 | EXPOSURE MASK AND MANUFACTURING METHOD OF A SEMICONDUCTOR USING THE SAME - An exposure mask for EUV comprises an absorber formed over a mask substrate and a reflecting pattern formed over the absorber. The exposure mask for EUV prevents re-absorption of light reflected from a reflector by an absorber pattern to prevent a shadowing effect. As a result, a photoresist pattern reflects the pattern formed in the exposure mask without distortion, thereby obtaining a desired pattern. | 12-31-2009 |
20090325082 | METHOD FOR FABRICATING PATTERNS USING A PHOTOMASK - Disclosed herein is a method for fabricating a pattern using a photomask that includes forming a first light shielding layer pattern over a substrate; forming a first resist layer pattern aligned to the first light shielding layer pattern over the first light shielding layer pattern; forming a phase shift region by selectively etching a portion of the substrate exposed by the first light shielding layer pattern; forming a second resist layer pattern by reducing the line width of the first resist layer pattern; forming a second light shielding layer pattern, having a reduced line width, by etching an exposed portion of the first light shielding layer pattern, and exposing a portion of the substrate adjacent the groove to form a rim region; removing the second resist layer pattern to form a photomask; and transferring a second pattern onto a wafer by performing an exposure process using the photomask. | 12-31-2009 |
20090325083 | PHOTOMASK MANUFACTURING METHOD - A photomask manufacturing method includes a defect information storage step of storing defect information of a mask blank, provided with an identification marker on an end face thereof, into an information storage device in correspondence to the identification marker, a placing orientation determination step of determining a placing orientation of the mask blank with respect to an exposure/writing apparatus, and an orientation correction step of performing rotation control of a rotating apparatus so that an orientation of the mask blank coincides with the determined placing orientation. | 12-31-2009 |
20090325084 | PHOTOMASK BLANK, PHOTOMASK, AND METHODS OF MANUFACTURING THE SAME - A photomask blank for manufacturing a phase shift mask having a light-transmitting substrate provided with a phase shift part adapted to give a predetermined phase difference to transmitted exposure light. An etching mask film serving as an etching mask when forming a phase shift part is provided on the front surface side, where the phase shift part is to be formed, of the substrate. A light-shielding film serving to shield exposure light is provided on the back surface side (opposite-side surface) of the substrate. | 12-31-2009 |
20090325085 | STENCIL DESIGN AND METHOD FOR IMPROVING CHARACTER DENSITY FOR CELL PROJECTION CHARGED PARTICLE BEAM LITHOGRAPHY - The present invention increases the number of characters available on a stencil for charged particle beam lithography. A stencil for charged particle beam lithography is disclosed, comprising two character projection (CP) characters, wherein the blanking areas for the two CP characters overlap. A stencil is also disclosed comprising two CP characters with one or more optional characters between the two characters, wherein the optional characters can form meaningful patterns on a surface only in combination with one of the two characters. A stencil is also disclosed wherein the blanking area of a CP character extends beyond the boundary of the stencil's available character area. Methods for design of the aforementioned stencils are also disclosed. | 12-31-2009 |
20100003607 | Method for Correcting Pattern Critical Dimension in Photomask - A method for correcting pattern critical dimension (CD) in a photomask includes forming a multilayer structure over a substrate by stacking at least two thin films capable of forming a compound by application of energy from an energy source; forming a light-shielding layer over the multilayer structure; forming a light-shielding layer pattern that selectively exposes the multilayer structure by selectively etching the light-shielding layer; detecting a correction region requiring a CD correction by measuring a CD of the light-shielding layer pattern; and forming a compound, by which the CD is corrected by a transmittance difference between the multilayer structure and the correction region, by applying an energy to a region of the multilayer structure corresponding to the detected correction region to react the thin films. | 01-07-2010 |
20100003608 | METHOD FOR GENERATING MASK PATTERN DATA AND METHOD FOR MANUFACTURING MASK - A method for generating data on mask pattern used to form a device pattern formed on a reflective exposure mask, wherein data on the mask pattern is generated based on a position correction amount table used to correct an amount of transfer position error occurring depending on at least one of pattern size and pattern pitch of the mask pattern when the mask pattern is transferred onto an exposure target member. | 01-07-2010 |
20100003609 | TITANIA-DOPED QUARTZ GLASS MEMBER AND MAKING METHOD - In a titania-doped quartz glass member having a surface where EUV light of up to 70 nm wavelength is reflected, a refractive index distribution in the surface has only one extreme point within a central 80% region of the member. The titania-doped quartz glass member has a surface with a high level of precision and thus can be formed into an EUV lithography photomask substrate which is improved in flatness and thermal expansion properties. | 01-07-2010 |
20100009270 | METHOD FOR OPTIMIZING THE LAYOUT OF AT LEAST ONE TRANSFER DEVICE FOR PRODUCTION OF A DIRECT OR INDIRECT STRUCTURE - Embodiments of the invention include a method for generating a model layout for the manufacturing of a transfer device, the method including:
| 01-14-2010 |
20100009271 | Resist patterning process and manufacturing photo mask - There is disclosed a resist patterning process with a minimum line width of 65 nanometers or less may be formed by using a resist composition containing a polymer, as a base polymer of a chemically-amplified resist composition, composed of a styrene unit whose hydroxyl group is protected by an acid labile group, and an indene unit, and/or an acenaphthalene unit, wherein the polymer has the weight-average molecular weight of 4,000 to 7,000, and in particular, 4,500 to 5,500. One of the currently existing problems to be solved is the line edge roughness. To solve this problem by an acid-generator and a basic compound, there is a problem of the trade-off relationship with a resolution power. There can be provided a resist composition having a high resolution containing a base polymer such as hydroxystyrene that is protected by an acid labile group, a resist patterning process with a pattern rule of 65 nanometers or less having a reduced line edge roughness. | 01-14-2010 |
20100009272 | MASK FABRICATION METHOD, EXPOSURE METHOD, DEVICE FABRICATION METHOD, AND RECORDING MEDIUM - The present invention provides a method including generating mask data to be used in an exposure apparatus including an illumination optical system and a projection optical system which projects a pattern of the mask onto a substrate, and fabricating a mask based on the generated mask data. | 01-14-2010 |
20100009273 | MASK AND METHOD FOR MANUFACTURING THE SAME - A mask for use in an exposure process and a method for manufacturing the same are provided, the mask including a main pattern formed over a reticle substrate; a plurality of dummy patterns formed over the reticle substrate and spaced apart from the main pattern by a predetermined distance; and a light shielding layer formed over at least one of the plurality of dummy patterns. A dummy patterns may include a fine dummy pattern having a resolution equal to or less than a limit resolution so as not to form a pattern image on a wafer during an exposure process. | 01-14-2010 |
20100015534 | METHOD FOR MONITORING PHOTOLITHOGRAPHY PROCESS AND MONITOR MARK - A method for monitoring a photolithography process includes providing a monitor mark having high sensitivity of the focus of the photolithography process, transferring the monitor mark together with the product patterns through the photolithography process onto a substrate, and measuring the deviation dimension of the monitor mark formed on the substrate to real-time monitor the focus of the photolithography process. | 01-21-2010 |
20100015535 | FABRICATION METHOD OF LITHOGRAPHY MASK AND FORMATION METHOD OF FINE PATTERN USING THE SAME - There is provided a method of fabricating a lithography mask, the method including: forming a transparent polymer layer on a surface of a first substrate where a convex-concave pattern is formed; separating the transparent polymer layer from the first substrate, the transparent polymer layer having a convex-concave surface formed by the convex-concave pattern of the first substrate transferred thereonto; depositing a metal thin film on the convex-concave surface; forming a viscous film on a second substrate; disposing the transparent polymer layer on the second substrate such that the viscous film and metal thin film are partially bonded together; and separating the transparent polymer layer from the second substrate such that a portion of the metal thin film bonded to the viscous film is removed, wherein a metal thin film pattern having the portion of the metal thin film removed therefrom is formed on the convex-concave surface. | 01-21-2010 |
20100021824 | Photo-Mask and Wafer Image Reconstruction - A system receives a mask pattern and a first image of at least a portion of a photo-mask corresponding to the mask pattern. The system determines a second image of at least the portion of the photo-mask based on the first image and the mask pattern. This second image is characterized by additional spatial frequencies than the first image. | 01-28-2010 |
20100021825 | MASK PATTERN DATA CREATION METHOD AND MASK - A mask pattern data creation method includes: determining whether or not a spacing of adjacent assist pattern feature data is not more than a prescribed spacing, based on: initial position data indicating an initially set position of the assist pattern feature data determined based on an illumination condition; and initial size data indicating an initially set size of the assist pattern feature data satisfying a size condition to not optically form an image on the transfer destination; and moving at least one of the adjacent assist pattern feature data or reducing a size of the at least one to increase the spacing of the assist pattern feature data to exceed a prescribed spacing in the case where it is determined that the spacing of the assist pattern feature data is not more than the prescribed spacing. | 01-28-2010 |
20100021826 | REFLECTIVE MASK, MANUFACTURING METHOD FOR REFLECTIVE MASK, AND MANUFACTURING METHOD FOR SEMICONDUCTOR DEVICE - A reflective mask comprising: a reflective layer that is arranged on a surface on a side on which EUV light is irradiated and reflects the EUV light; a buffer layer containing Cr that is arranged on a side of the reflective layer on which the EUV light is irradiated and covers an entire surface of the reflective layer; and a non-reflective layer that is arranged on a side of the buffer layer on which the EUV light is irradiated and in which an absorber that absorbs the irradiated EUV light is arranged in a position corresponding to a mask pattern to be reduced and transferred onto a wafer. | 01-28-2010 |
20100021827 | Method of Designing Sets of Mask Patterns, Sets of Mask Patterns, and Device Manufacturing Method - In a multiple-exposure lithographic process a developed resist pattern derived from a first exposure is present within a second resist layer that is exposed in a second exposure of the multiple-exposure lithographic process. The second mask pattern used in the second exposure process includes at least one localized adjustment to at least one feature thereof to compensate for scattering effects of the developed resist pattern that is present when the second exposure is performed. | 01-28-2010 |
20100021828 | HALFTONE MASK AND METHOD OF FABRICATING THE SAME, AND METHOD OF FABRICATING DISPLAY DEVICE USING THE SAME - A halftone mask includes a shielding pattern partially formed on a transparent substrate; a first halftone transmission pattern partially formed on the transparent substrate; and a second halftone transmission pattern formed on the first halftone transmission layer. | 01-28-2010 |
20100021829 | DEPOSITION MASK AND METHOD FOR MANUFACTURING ORGANIC LIGHT EMITTING DISPLAY USING THE SAME - A deposition mask for manufacturing an organic light emitting display (OLED) using the same are provided. The deposition mask is intended for preventing an organic film from being damaged due to touching of a blocked-off portion of the mask to an emission layer (EML), or chemical transition from being generated at the organic film. For that purpose, the deposition mask stuck to a substrate of the OLED to deposit an organic EML includes an opening and an indentation. The opening is opened so as to deposit the organic EML. The indentation is indented a predetermined depth from a plane facing the substrate. | 01-28-2010 |
20100021830 | Aromatic ring-containing polymer, polymer mixture, antireflective hardmask composition, and associated methods - An aromatic ring-containing polymer, a polymer mixture, an antireflective hardmask composition, and a method for patterning a material on a substrate, the aromatic ring-containing polymer including at least one aromatic ring-containing polymer represented by Formulae 1, 2, or 3. | 01-28-2010 |
20100028787 | SUBSTRATE FOR EUV MASK BLANKS - A substrate that is suitable for an EUV mask or an EUV mask blank and excellent in flatness, is provided. | 02-04-2010 |
20100028788 | MANUFACTURING METHOD OF PHOTOMASK FOR MULTIPLE EXPOSURE AND SEMICONDUCTOR DEVICE MANUFACTURING METHOD USING ABOVE PHOTOMASK - A position deviation and an image of a danger control pattern predicted after multiple exposure of each mask used for multiple exposure are acquired and an image after lap exposure by means of the masks based on the above image and position deviation information. At this time, parameters such as an offset amount caused by overlapping of images of the masks, rotation amount and the like are changed and a parameter capable of avoiding occurrence of faults in a dangerous pattern in an image predicted after lap exposure is calculated. | 02-04-2010 |
20100028789 | METHOD OF CONTROLLING EXPOSURE DEVICE, METHOD OF FABRICATING SEMICONDUCTOR, AND PHOTOMASK - A method of controlling exposure device according to an embodiment includes preparing a photomask in which a check pattern is formed, wherein the check pattern comprising a plurality of patterns which have a first diameter and a second diameter and have pattern dimensions being changeable after being transferred according to polarization degree of exposure light are arranged in the second diameter direction, irradiating the photomask with the exposure light having a predetermined polarization degree so as to transfer the check pattern to a transferred object, and measuring the dimensions of the images of the check pattern transferred to the transferred object so as to obtain the polarization degree. | 02-04-2010 |
20100035164 | MASK BLANK SUBSTRATE, MASK BLANK, EXPOSURE MASK, MASK BLANK SUBSTRATE MANUFACTURING METHOD, AND SEMICONDUCTOR MANUFACTURING METHOD - In a mask blank substrate to be chucked by a mask stage of an exposure system, the flatness of a rectangular flatness measurement area excluding an area of 2 mm inward from an outer peripheral end surface on a main surface of the mask blank substrate on its side to be chucked by the mask stage is 0.6 μm or less, and at least three of four corner portions of the flatness measurement area each have a shape that rises toward the outer peripheral side. | 02-11-2010 |
20100035165 | REFLECTIVE MASK BLANK FOR EUV LITHOGRAPHY - Provision of a reflective mask blank for EUV lithography having an absorber layer which has a low reflectance in the wavelength regions of EUV light and pattern inspection light and whose film composition and film thickness are easily controllable to desired ones. | 02-11-2010 |
20100040957 | METHOD OF MEASURING DIMENSION OF PATTERN AND METHOD OF FORMING PATTERN - A method of measuring dimension of a first pattern with a narrow first width, and a second pattern with a second width wider than the first width of the first pattern and formed in a symmetrical appearance with respect to the pattern center, the second pattern having edges opposed to each other defining the second width, includes a step of forming a pair of first dummy patterns each having a narrow width, the pair of first dummy patterns being spaced from the edges of the second pattern respectively by a distance approximate to the first width of the first pattern, a first measurement step of measuring, using a dimension measuring device, a spaced distance of one of the first dummy patterns from the edge of the second pattern and a width of the one of the first dummy patterns within the same field of view of the dimension measuring device, a second measurement step of measuring, using the dimension measuring device, a width of the first pattern under the same measurement condition as that of the first measurement step, and a calculation step of calculating a width of the second pattern from W | 02-18-2010 |
20100040958 | Sub-Resolutional Grayscale Reticle - A sub-resolutional grayscale reticle and associated fabrication method have been presented. The method provides a transparent substrate, and forms a plurality of coincident partial-light transmissive layers overlying the transparent substrate. A pattern is formed, sub-resolutional at a first wavelength, in at least one of the transmissive layers. If there are n transmissive layers, the reticle transmits at least (n+1) intensities of light. In one aspect, each of the plurality of transmissive layers has the same extinction coefficient and the same thickness. In other aspects, the transmissive layers may have different thickness. Then, even if the extinction coefficients are the same, the attenuation of light through each layer is different. The transmission characteristics of the reticle can be further varied if the transmissive layers have different extinction coefficients. Likewise, the transmission characteristics through the sub-resolutional patterns can be varied. | 02-18-2010 |
20100040959 | Error Diffusion-Derived Sub-Resolutional Grayscale Reticle - A method is provided for forming an error diffusion-derived sub-resolutional grayscale reticle. The method forms at least one partial-light transmissive layer overlying a transparent substrate. At least one unit cell in formed in the transmissive layer. The unit cell is formed by selecting the number of reduced-transmission pixels in the unit cell, and forming a sub-pattern of reduced-transmission pixels in the unit cell. The unit cell is sub-resolutional at a first wavelength. | 02-18-2010 |
20100040960 | MASK PLATE AND MANUFACTURING METHOD THEREOF - The present invention discloses a mask plate and manufacturing method thereof. The mask plate comprises a substrate formed with a transparent region, a non-transparent region and a semi-transparent region. The semi-transparent region comprises a semi-transparent film, and a middle portion of the semi-transparent region is formed so that the intensity of the light transmitted therethrough is reduced in a larger extent than that in which the intensity of the light transmitted through the portion other than the middle portion in the semi-transparent region is reduced, whereby the light transmitted through the semi-transparent region is uniform. | 02-18-2010 |
20100040961 | HALFTONE TYPE PHASE SHIFT MASK BLANK AND PHASE SHIFT MASK THEREOF - A halftone type phase shift mask blank including, on a transparent substrate, at least a phase shifter film having a predetermined transmittance for an exposed light and a predetermined phase difference for the transparent substrate, wherein the phase shifter film is formed by a multilayer film in which films including at least two layers having an upper layer formed on the most surface side and a lower layer formed thereunder are provided, and a thickness of the upper layer is adjusted in such a manner that a refractive index of the film to be the upper layer is smaller than that of the film to be the lower layer and a surface reflectance for the inspecting light of the phase shifter film is maximized and approximates to a maximum. | 02-18-2010 |
20100040962 | MULTI-LAYER, ATTENUATED PHASE-SHIFTING MASK - The present invention provides an attenuated phase shift mask (“APSM”) that, in each embodiment, includes completely transmissive regions sized and shaped to define desired semiconductor device features, slightly attenuated regions at the edges of the completely transmissive regions corresponding to isolated device features, highly attenuated regions at the edges of completely transmissive regions corresponding to closely spaced or nested device features, and completely opaque areas where it is desirable to block transmission of all radiation through the APSM. The present invention further provides methods for fabricating the APSMs according to the present invention. | 02-18-2010 |
20100047698 | HYBRID MULTI-LAYER MASK - A hybrid mask set for exposing a plurality of layers on a semiconductor substrate to create an integrated circuit device is disclosed. The hybrid mask set includes a first group of one or more multi-layer masks (MLMs) for a first subset of the plurality of layers. Each MLM includes a plurality of different images for different layers, the images being separated by a relatively wide image spacer. The hybrid mask set also includes a first group of one or more production-ready masks for a second subset of the plurality of layers. Each production-ready mask includes a plurality of similar images for a common layer, each image being separated by a relatively narrow scribe street. | 02-25-2010 |
20100047699 | METHOD, PROGRAM PRODUCT AND APPARATUS FOR MODEL BASED SCATTERING BAR PLACEMENT FOR ENHANCED DEPTH OF FOCUS IN QUARTER-WAVELENGTH LITHOGRAPHY - A method of generating a mask having optical proximity correction features. The method includes the steps of: (a) obtaining a desired target pattern having features to be imaged on a substrate; (b) determining a first focus setting to be utilized when imaging the mask; (c) determining a first interference map based on the target pattern and the first focus setting; (d) determining a first seeding site representing the optimal placement of an assist feature within the mask relative to a feature to be imaged on the basis of the first interference map; (e) selecting a second focus setting which represents a predefined amount of defocus relative to the first focus setting; (f) determining a second interference map based on the target pattern and the second focus setting; (g) determining a second seeding site representing the optimal placement of an assist feature within the mask relative to the feature to be imaged on the basis of the second interference map; and (h) generating an assist feature having a shape which encompasses both the first seeding site and the second seeding site. | 02-25-2010 |
20100055577 | PROCESS OF PATTERNING SMALL SCALE DEVICES - A process is provided that includes forming a first mask on an underlying layer, where the mask has two adjacent portions with an open gap therebetween, and depositing a second mask material within the open gap and at an inclined angle with respect to an upper surface of the underlying layer to form a second mask. In another implementation, a process is provided that includes forming a first mask on an underlying layer, where the mask has a pattern that includes an open gap, and depositing a second mask material within the open gap to form a second mask, where particles of the second mask material are directed in parallel or substantially in parallel to a line at an inclined angle with respect to an upper surface of the underlying layer. | 03-04-2010 |
20100055578 | Method for Manufacturing a Surface and Integrated Circuit Using Variable Shaped Beam Lithography - A method is disclosed in which a plurality of variable shaped beam (VSB) shots is used to form a desired pattern on a surface. Shots within the plurality of shots are allowed to overlap each other. Dosages of the shots may also be allowed to vary. The union of the plurality of shots may deviate from the desired pattern. The plurality of shots may be determined such that a pattern on the surface calculated from the plurality of shots is within a predetermined tolerance of the desired pattern. In some embodiments, an optimization technique may be used to minimize shot count. In other embodiments, the plurality of shots may be optionally selected from one or more pre-computed VSB shots or groups of VSB shots. The method of the present disclosure may be used, for example, in the process of manufacturing an integrated circuit by optical lithography using a reticle, or in the process of manufacturing an integrated circuit using direct write. | 03-04-2010 |
20100055579 | Method for Fabricating Chromeless Phase Shift Mask - A method for fabricating a chromeless phase shift mask having a phase shift mask with a groove shape includes: forming a recess region with a first depth between the phase shift regions of a substrate; forming a plug for a mask that fills the recess region; forming a mask pattern aligned with the mask plug over the mask plug; and forming a groove with a second depth in the substrate by etching using the mask pattern. | 03-04-2010 |
20100055580 | METHOD FOR FRACTURING CIRCULAR PATTERNS AND FOR MANUFACTURING A SEMICONDUCTOR DEVICE - A method for manufacturing a semiconductor device using a photomask and optical lithography is disclosed, wherein circular patterns on the semiconductor wafer are formed by using circular patterns on the photomask, which is manufactured using a charged particle beam writer. In one embodiment, circular patterns of varying sizes have been formed on the photomask using a single character projection (CP) character, by varying the charged particle beam dosage. A method for fracturing circular patterns is also disclosed, either using circular CP characters or using VSB shots wherein the union of the plurality of VSB shots is different than the set of desired patterns. | 03-04-2010 |
20100055581 | METHOD FOR DESIGN AND MANUFACTURE OF A RETICLE USING VARIABLE SHAPED BEAM LITHOGRAPHY - A method is disclosed for using non-overlapping variable shaped beam (VSB) shots in the design and manufacture of a reticle, where the union of the plurality of shots deviates from the desired pattern. Methods are described for fracturing or mask data preparation or proximity effect correction of a desired pattern to be formed on a reticle; for forming a pattern on a reticle using charged particle beam lithography; and for optical proximity correction (OPC) of a desired pattern. Dosages of the shots may be allowed to vary with respect to each other. The plurality of shots may be determined such that a pattern on the surface calculated from the plurality of shots is within a predetermined tolerance of the desired pattern. In some embodiments, an optimization technique may be used to minimize shot count. | 03-04-2010 |
20100062348 | Method and Apparatus for Gating Photomask Contamination - A photomask is provided that includes a transparent substrate, a mask pattern formed on a first area of the substrate, the mask pattern having one or more openings that allow light radiation to pass through and having one or more features formed of a first material, and an inspection structure formed on a second area of the substrate different from the first area, the inspection structure being formed of a second material different from the first material. | 03-11-2010 |
20100062349 | Stencil, Stencil Design System and Method for Cell Projection Particle Beam Lithography - Stencil masks, particle beam lithography characters and methods for designing the same for use in particle beam lithography are disclosed. The masks, characters and methods for designing them allows for more accurately writing images by reducing various chemical and physical effects, particularly Coulomb and proximity effects. Particle current reaching a surface is reduced by introducing shield areas, which preserve the shape and fidelity of the written image. The shape of the written image is further corrected by systematically adjusting the shape of the character or mask. | 03-11-2010 |
20100062350 | Photomask substrate, photomask substrate forming member, photomask substrate fabrication method, photomask, and exposing method that uses the photomask - A photomask substrate with a substantially uniform thickness comprises: a first surface, which is a continuous curved surface whereon a mask pattern is to be formed; and a second surface. The first surface exhibits a square shape that comprises an opposing pair of first set sides and an opposing pair of second set sides and has support parts at end parts along the first set sides. When the photomask substrate is held such that the first surface is in a substantially vertical state, a reference plane that is parallel to a tangential plane of the first surface at the center point of the first surface is defined on the photomask substrate side that is closer to the first surface than to the second surface. At this time, a first distance in the thickness direction between the reference plane and the center point of the first surface is shorter than second distances in the thickness direction between the reference plane and the midpoints of the second set sides. | 03-11-2010 |
20100068630 | METHOD FOR MANUFACTURING PHOTO MASK - The present invention provides a method for manufacturing a photo mask. First, a transparent substrate is provided, and a patterned filling layer and a patterned mask layer are formed on the transparent substrate. Then, a crystal material layer is formed on the transparent substrate and the patterned mask layer to fill the spaces between the patterned filling layer. Thereafter, the patterned mask layer and the crystal material layer on the patterned mask layer are removed to form a patterned photonic crystal layer on the transparent substrate. Finally, the patterned filling layer is removed. | 03-18-2010 |
20100068631 | Photomask including ion trapping layer and method of manufacturing semiconductor device using the photomask - A photomask includes an ion trapping layer and a method of manufacturing a semiconductor device uses the photomask. The photomask includes a transparent substrate and an ion trapping layer formed on a first region of the transparent substrate to trap ions present near the transparent substrate. In manufacturing a semiconductor device, a photosensitive film formed on a substrate is exposed through the photomask in which the ion trapping layer is formed on the transparent substrate, and the substrate is processed using the photosensitive film obtained as the result of the exposure. | 03-18-2010 |
20100068632 | OPTICAL COMPONENT FOR EUVL AND SMOOTHING METHOD THEREOF - The present invention provides a method for smoothing an optical surface of an optical component for EUVL. Specifically, the present invention provides a method for smoothing an optical surface of an optical component for EUVL made of a silica glass material containing TiO | 03-18-2010 |
20100068633 | SUB-RESOLUTION ASSIST FEATURES - Systems and techniques relating to the layout and use of sub-resolution assist features. In one implementation, a mask includes a first feature and a second feature separated from each other by a gap and a sub-resolution assist feature bridging the gap between the first feature and the second feature. | 03-18-2010 |
20100075234 | Generation Of Contact Masks For Inkjet Printing On Solar Cell Substrates - A contact mask for inkjet printing on a solar cell substrate may be generated by creating a printing bitmap of contacts to be printed on the solar cell substrate. The contacts may be located on the solar cell substrate by mapping coordinates of the printing bitmap to coordinates of the solar cell substrate as positioned in the inkjet printer. The location of the contacts on the solar cell substrate may be defined relative to a location on the solar cell substrate, such as relative to center of mass. The contact mask may be printed by the inkjet printer using the printing bitmap and location information of the contacts. | 03-25-2010 |
20100075235 | WRITING PATTERN PRODUCING METHOD, PHOTOMASK MANUFACTURING METHOD, AND SEMICONDUCTOR DEVICE MANUFACTURING METHOD - A writing pattern producing method includes obtaining a width of an overlapping portion of first and second patterns, determining whether the width of the overlapping portion is greater than a predetermined width, producing a writing pattern according to a first method when the width of the overlapping portion is determined to be greater than the predetermined width, producing a writing pattern according to a second method when the width of the overlapping portion is determined to be smaller than the predetermined width, the first method being to produce the writing pattern by dividing a composite pattern of the first and second patterns into a plurality of graphic forms which have widths not smaller than the predetermined width and do not overlap with one another, and the second method being to produce the writing pattern from the first and second patterns so that the overlapping portion is written repeatedly. | 03-25-2010 |
20100075236 | PHOTOMASK BLANK, PHOTOMASK, AND METHODS OF MANUFACTURING THE SAME - A photomask blank is for fabricating a phase shift mask having a light-transmissive substrate provided with a phase shift part adapted to give a predetermined phase difference to transmitted exposure light. The phase shift part is a dug-down part formed by digging down the light-transmissive substrate from a surface thereof to a digging depth adapted to produce the predetermined phase difference with respect to exposure light transmitted through the light-transmissive substrate at a portion where the phase shift part is not provided. The photomask blank includes a light-shielding part formed in a peripheral area around a transfer pattern area of the surface of the light-transmissive substrate and adapted to shield exposure light and further includes an etching mask film formed in the transfer pattern area of the surface of the light-transmissive substrate and made of a material being substantially dry-etchable with a chlorine-based gas, but not substantially dry-etchable with a fluorine-based gas, the etching mask film serving as an etching mask at least until the digging depth is reached when forming the dug-down part. | 03-25-2010 |
20100081065 | PHOTOMASK AND METHOD OF FABRICATING A PHOTOMASK - A method of fabricating a photomask is provided. A masking layer (e.g., chrome) is deposited on a substrate. A plasma treatment may be performed on the chrome layer. A photoresist layer may be formed on the treated chrome layer. In an embodiment, the plasma treatment roughens the chrome layer. In an embodiment, the plasma treatment forms a barrier film on the chrome layer. The photoresist layer may be used to pattern a sub-resolution assist feature. | 04-01-2010 |
20100081066 | MASK BLANK AND METHOD OF MANUFACTURING A TRANSFER MASK - A mask blank having, on a light-transmissive substrate, a light-shielding film made of a material mainly containing chromium, and adapted to use a resist film for electron beam writing when forming a transfer pattern in the light-shielding film. In the mask blank, an etching mask film made of a material containing a nitride or oxynitride of silicon is formed on an upper surface of the light-shielding film and a conductive mask film made of a conductive material dry-etchable with a fluorine-based gas and a mixed gas of chlorine and oxygen is formed on an upper surface of the etching mask film. | 04-01-2010 |
20100081067 | MASK BLANK SUBSTRATE SET AND MASK BLANK SET - A substrate set is a mask blank substrate set including a plurality of substrates each for use in a mask blank for producing a photomask to be chucked on a mask stage of an exposure apparatus. In each of the substrates in the mask blank substrate set, a main surface, on the side where a thin film for forming a transfer pattern is to be formed, has a convex shape being relatively high at its center and relatively low at its peripheral portion. In each substrate, the flatness in a 142 mm square area, including a central portion, of the main surface is 0.3 μm or less and the difference upon fitting to a reference main surface of a reference substrate is 40 nm or less. | 04-01-2010 |
20100081068 | SYSTEMS AND METHODS FOR DETECTING FOCUS VARIATION IN PHOTOLITHOGRAPH PROCESS USING TEST FEATURES PRINTED FROM PHOTOMASK TEST PATTERN IMAGES - Systems and methods are provided for detecting focus variation in a lithographic process using photomasks having test patterns adapted to print test features with critical dimensions that can be measured and analyzed to determine magnitude and direction of defocus from a best focus position of an exposure tool during the lithographic process. | 04-01-2010 |
20100081069 | MASK BLANK SUBSTRATE MANUFACTURING METHOD, MASK BLANK MANUFACTURING METHOD, MASK MANUFACTURING METHOD, AND MASK BLANK SUBSTRATE - An object of this invention is to properly identify or manage mask blank substrates, mask blanks, and so on. A manufacturing method of a mask blank substrate ( | 04-01-2010 |
20100086862 | MASK PATTERN CORRECTION AND LAYOUT METHOD - A mask pattern correction method is provided. The method comprises the following steps. An original layout, which has a plurality of device patterns, is provided. Then, a simulation process is performed on the device patterns to correspondingly form a plurality of simulated patterns. Thereafter, the simulated patterns are analyzed to select a plurality of unsaturated patterns from the simulated patterns. Finally, the device patterns in the original layout corresponding to the unsaturated patterns respectively are rotated. | 04-08-2010 |
20100086863 | LITHOGRAPHIC PROCESSING METHOD, AND DEVICE MANUFACTURED THEREBY - A method of splitting a lithographic pattern into two sub-patterns, includes generating test structures corresponding to structures of interest in the lithographic pattern, varying the test structures through a selected range of dimensions, simulating an image of the test structures, determining an image quality metric for the simulated image, analyzing the determined image quality metric to determine pitch ranges for which split improves the image quality metric and ranges for which split does not improve the image quality metric, and generating the two sub-patterns in accordance with the determined pitch ranges. | 04-08-2010 |
20100086864 | METHOD OF POLISHING GLASS SUBSTRATE - The present invention is to provide a method of polishing a glass substrate required to have extremely high surface smoothness and surface accuracy like glass substrates for mask blanks. The invention relates to a method of polishing a glass substrate which comprises polishing the glass substrate with a polishing pad while supplying a polishing slurry comprising an abrasive material and water to the polishing pad, wherein the polishing slurry contains at least one member selected from the group consisting of pullulan and water-soluble alcohols which are polyvalent organic compounds having two or more OH groups. The slurry preferably has a pH adjusted to 0.5-4. | 04-08-2010 |
20100092873 | Methods Of Utilizing Block Copolymer To Form Patterns - Some embodiments include methods of forming patterns in which a block copolymer-containing composition is formed over a substrate, and is then patterned to form a first mask. The block copolymer of the composition is subsequently induced into forming a repeating pattern within the first mask. Portions of the repeating pattern are then removed to form a second mask from the first mask. The patterning of the block copolymer-containing composition may utilize photolithography. Alternatively, the substrate may have regions which wet differently relative to one another with respect to the block copolymer-containing composition, and the patterning of the first mask may utilize such differences in wetting in forming the first mask. | 04-15-2010 |
20100092874 | PHASE SHIFT MASK BLANK, PHASE SHIFT MASK, AND METHOD FOR MANUFACTURING PHASE SHIFT MASK BLANK - Problem: There is a demand for a phase shift mask that makes it possible to decrease the film thickness of the phase shift film, can satisfy the requirement relating to pattern accuracy, without collapsing the OPC pattern, and enables control of optical characteristics and pattern defect inspection, and also for a phase shift mask blank as an original plate for such a phase shift mask. | 04-15-2010 |
20100092875 | Exposure Mask for Forming Photodiode and Method of Manufacturing Image Sensor Using the Same - An exposure mask for forming a photodiode of an image sensor and a method of manufacturing an image sensor using the exposure mask may be disclosed. An exposure mask for forming a photodiode of an image sensor includes a plurality of main open patterns, each having a first open pattern that is rectangular and a second open pattern extending outward from at least one corner of the first open pattern, and an open serif extending outward from each of the corners of the second open pattern that do not overlap with the first open pattern, covering a predetermined area adjacent to the second open pattern. | 04-15-2010 |
20100092876 | METHOD FOR REPAIRING PHOTO MASK, SYSTEM FOR REPAIRING PHOTO MASK AND PROGRAM FOR REPAIRING PHOTO MASK - There is provided a method for repairing a photo mask in this invention, including, obtaining a first image being a photo mask image including a defect area of the photo mask by a repair apparatus, obtaining a second image being a wafer printing image of the photo mask including the defect area by an inspection apparatus, superimposing the first image and the second image to identify a position of the defect area in the first image, and repairing the defect area by the repair apparatus. | 04-15-2010 |
20100092877 | METHOD OF MANUFACTURING MASK BLANK AND TRANSFER MASK - In a method of manufacturing a mask blank adapted to be formed with a resist pattern by electron beam writing and having a light-shielding film and an etching mask film of an inorganic-based material resistant to etching of the light-shielding film which are formed in this order on a transparent substrate, when forming the etching mask film, shielding is performed using a shielding plate so as to prevent the etching mask film from being formed at least at a side surface of the substrate. | 04-15-2010 |
20100092878 | PHASE SHIFT MASK WITH TWO-PHASE CLEAR FEATURE - Systems and methods are provided for use in photolithography. In one embodiment, a reticle is provided that comprises a phase shift and transmission control layer, wherein a gap in the phase shift and transmission control layer defines a line. Adjacent to the phase shift and transmission control layer is an optically transparent material, comprising a groove located within the line, wherein the groove comprises a region of reduced thickness in the optically transparent material. The region of reduced thickness serves to shift the phase of light passing through the groove, as compared to light passing through other regions of the optically transparent material. Thus, the reticle has a clear feature comprising light of two different phases. | 04-15-2010 |
20100099032 | SYSTEM FOR GENERATING AND OPTIMIZING MASK ASSIST FEATURES BASED ON HYBRID (MODEL AND RULES) METHODOLOGY - An optimal assist feature rules set for an integrated circuit design layout is created using inverse lithography. The full chip layout is lithographically simulated, and printability failure areas are determined. The features are analyzed for feature layout patterns, and inverse lithography is performed on the unique feature layouts to form assist features. The resulting layout of assist features is analyzed to create an assist feature rules set. The rules can then be applied to a photomask patterned with the integrated circuit design layout to print optimal assist features. The resulting photomask may be used to form an integrated circuit on a semiconductor substrate. | 04-22-2010 |
20100099033 | METHOD AND SYSTEM FOR MEASURING IN PATTERNED STRUCTURES - A sample having a patterned area and a method for use in controlling a pattern parameter is presented. The sample comprises at least one test structure having a patterned region similar to a pattern in the patterned area, the patterns in the patterned area and in the at least test structure being produced by the same patterning process. The at least one test structure comprises at least one pattern parameter of a predetermined value intentionally increased above a natural value of said certain parameter induced by a patterning process. By this, the natural value of the parameter induced by the patterning process can be determined. | 04-22-2010 |
20100104953 | PROCESS AND HARDWARE FOR PLASMA TREATMENTS | 04-29-2010 |
20100104954 | MATCHING METHOD OF PATTERN LAYOUTS FROM INVERSE LITHOGRAPHY - The present invention relates to a matching method of pattern layouts from inverse lithography, which makes the pattern cells in the same groups identical to avoid a repeated verification and to improve the yield. The method comprises the step of: analyzing a target designed layout by hierarchy; categorizing the pattern cells with the same shape into a group; inversing the target designed layout by inverse lithography; inspecting the inversed pattern cells in the group with each other and replacing the variant ones to make all the inversed pattern cells identical. | 04-29-2010 |
20100104955 | MASK BLANK SUBSTRATE MANUFACTURING METHOD, REFLECTIVE MASK BLANK MANUFACTURING METHOD, AND MASK BLANK SUBSTRATE - Provided is a mask blank substrate manufacturing method in which a low thermal expansion glass substrate containing titanium (Ti) oxide is polished using a polishing agent, then treated using an aqueous solution containing hydrofluoric acid, then cleaned using an acidic solution with a pH of 4 or less, and then further cleaned using an alkaline solution. | 04-29-2010 |
20100112461 | PHOTOLITHOGRAPHIC RETICLES WITH ELECTROSTATIC DISCHARGE PROTECTION STRUCTURES - Photolithographic reticles are provided that have electrostatic discharge protection features. A photolithographic reticle may be formed from metal structures such as chrome structures on a transparent substrate such as fused silica. Some of the metal structures on the reticle correspond to transistors and other electronic devices on integrated circuits that are fabricated when using the reticles in a step-and-repeat lithography tool. These metal device structures may be susceptible to damage due to electrostatic charge build up during handling of the reticle. To prevent damage, dummy ring structures are formed in the vicinity of device structures. The dummy ring structures may be constructed to be more sensitive to electrostatic discharge than the device structures, so that in the event of an electrostatic discharge, damage is confined to portions of the reticle that are not critical. | 05-06-2010 |
20100112462 | RETICLES WITH SUBDIVIDED BLOCKING REGIONS - An attenuated phase shift reticle, or photomask, includes radiation blocking regions that are subdivided, by cut lines, into discrete, spaced apart sections with dimensions (e.g., surface area, etc.) that are configured to minimize or eliminate the buildup of electrostatic energy by the radiation blocking regions and/or the discharge of electrostatic energy from the radiation blocking regions and the damage that may be caused by such electrostatic discharge. The reticle may be configured to prevent radiation from passing through the cut lines between adjacent sections of a subdivided radiation blocking region. Methods for designing, fabricating, and using such masks are also disclosed, as are methods for subdividing the radiation blocking regions of previously fabricated reticles of previously existing designs. | 05-06-2010 |
20100112463 | METHOD FOR FORMING FINE CONTACT HOLE PATTERN OF SEMICONDUCTOR DEVICE - A method for forming a fine contact hole of a semiconductor device comprises performing two-step etching processes using a first exposure mask including a plurality of rectangular light transmitting regions each having a given pitch and a second exposure mask including a plurality of rectangular light transmitting regions arranged a shielding region of the first exposure mask with a ‘cross (+)’ shape in the center of rectangular light transmitting regions of the second exposure mask. Each of four corner regions of the light transmitting regions of the first exposure mask is overlapped with four corner regions of rectangular light transmitting regions of the second exposure mask. As a result, the fine contact hole pattern obtained by the method has a uniform size. | 05-06-2010 |
20100112464 | DEFECT CORRECTION METHOD FOR EUV MASK - According to an aspect of the present invention, there is provided a method for correcting a defect in an EUV mask, the method including: preparing an EUV mask including an absorption layer and an anti-reflection layer forming a pattern; recognizing a defect region in the pattern; defining a first region and a second region on the defect region, the second region extending from a desired pattern edge by a given distance, the first region being defined on the rest; removing the first region of the anti-reflection layer and the absorption layer by irradiating a beam in a first atmosphere; removing the second region of the anti-reflection layer and the absorption layer by irradiating the beam in a second atmosphere; and oxidizing an exposed side surface of the desired pattern edge of the absorption layer. | 05-06-2010 |
20100112465 | OPTICAL ARRANGEMENT FOR THREE-DIMENSIONALLY PATTERNING A MATERIAL LAYER - The disclosure relates to an optical arrangement for three-dimensionally patterning a radiation-sensitive material layer, such as a projection exposure apparatus for microlithography. The optical arrangement includes a mask for forming a three-dimensional radiation pattern, a substrate with the radiation-sensitive material layer, and a projection optical unit for imaging the three-dimensional radiation pattern from the mask into the radiation-sensitive material layer. The optical arrangement is designed to compensate for spherical aberrations along the thickness direction of the radiation-sensitive material layer in order to generate a stigmatic image of the three-dimensional radiation pattern. | 05-06-2010 |
20100112466 | OPTICAL MASKS AND METHODS FOR MEASURING ABERRATION OF A BEAM - An optical mask for use with an exposure beam includes a mask substrate adapted to be placed on a traveling path of the exposure beam. A reference pattern is formed on the mask substrate. The reference pattern is adapted to direct the exposure beam to travel in a predetermined reference direction. A comparative pattern is formed on the mask substrate. The comparative pattern is adapted to direct the exposure beam to travel in a direction inclined at a predetermined angle with respect to the reference direction. | 05-06-2010 |
20100119958 | MASK BLANK, MASK FORMED FROM THE BLANK, AND METHOD OF FORMING A MASK - A mask for manufacturing a semiconductor device comprises a transparent substrate. A metal-containing layer overlies the transparent substrate in a first region. A capping layer overlies and is coextensive with the metal-containing layer without wrapping around side edges of the metal-containing layer. The capping layer is substantially free of nitride. The transparent substrate has a second region separate from the first region. The transparent substrate is exposed in the second region. | 05-13-2010 |
20100124709 | IMAGE MASK ASSEMBLY FOR PHOTOLITHOGRAPHY - An image mask assembly for photolithography. The image mask assembly includes an image mask, a synthetic fused silica pellicle for protecting the image mask, and a frame holding the image mask and pellicle. The image mask includes a synthetic fused silica sheet comprising at least one layer and having a pattern written on a surface of the fused silica sheet. Methods of making the image mask and synthetic fused silica pellicle are also provided. | 05-20-2010 |
20100124710 | Photomask - A photomask includes a base, a plurality of chip pattern regions over which a light shielding pattern of a metal material is defined, the plurality of chip pattern regions being defined on the base, scribe regions defined between the chip pattern regions, the scribe regions being defined by using the light shielding pattern, and slits in which the light shielding pattern is not defined, the slits being defined so as to surround the chip pattern regions. | 05-20-2010 |
20100124711 | PHOTOMASK BLANK, PHOTOMASK BLANK MANUFACTURING METHOD, AND PHOTOMASK MANUFACTURING METHOD - A photomask blank manufacturing method that forms, on a light-transmissive substrate, a thin film for forming a transfer pattern, thereby producing a thin-film coated substrate and then presses the thin-film coated substrate. The pressing is carried out, for example, by a cold isostatic pressing method in a range of 1000 to 10000 atmospheric pressure. | 05-20-2010 |
20100129735 | PRODUCTION OF STAMPS, MASKS OR TEMPLATES FOR SEMICONDUCTOR DEVICE MANUFACTURING - The invention provides a method of manufacturing a substrate comprising at least two areas with the same or different pattern(s) on the surface of the substrate. The process comprises the steps of providing the substrate applying a layer of a radiation sensitive material on the substrate, recording the pattern(s) on the at least two areas ( | 05-27-2010 |
20100129736 | Photomask Having A Reduced Field Size And Method Of Using The Same - A photomask used for manufacturing a semiconductor device includes a substrate; and one or more layers disposed over the substrate, the one or more layers defining a full field area and a reduced field area with a primary pattern being formed in the reduced field area, wherein the full field area is defined by a width of at least 90 mm and a height of at least 100 mm, and the reduced field area is defined by a width within the range of approximately 20-80 mm and a height within the range of approximately 20-80 mm. | 05-27-2010 |
20100129737 | DEVELOPMENT METHOD, METHOD OF MANUFACTURING PHOTOMASK, METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE AND DEVELOPMENT DEVICE - A development method according to an embodiment includes exposing a photosensitive film formed on a substrate at a predetermined exposure amount, carrying out a first development process that develops the exposed photosensitive film at a predetermined first development condition so as to leave the photosensitive film, obtaining a sensitivity information of the photosensitive film from a film thickness reduction of the photosensitive film developed by the first development process and the exposure amount, predicting pattern dimensions of multiple types of patterns to be formed when a second development process is carried out following the first development process from the sensitivity information, and determining a first acceptable range of a development condition in the second development process, determining a second acceptable range of the development condition in the second development process from the first acceptable range and a variation amount of the pattern dimension after the development process between the multiple types of patterns and determining a second development condition in the second development process so as to satisfy both of the first and second acceptable ranges. | 05-27-2010 |
20100129738 | POSITIVE RESIST COMPOSITION AND PATTERING PROCESS - A positive resist composition comprises a polymer comprising repeat units having formula (1) or (2). | 05-27-2010 |
20100136464 | REFLECTIVE MASK BLANK AND METHOD OF PRODUCING THE SAME, AND METHOD OF PRODUCING A REFLECTIVE MASK - A reflective mask blank has a substrate, a multilayer reflective film formed on the substrate to reflect exposure light, a protective film formed on the multilayer reflective film, and an absorber film formed on the protective film to absorb the exposure light. The protective film is made of an Ru compound containing Ru and X (X being at least one kind of material selected from Nb and Zr). The protective film has an oxidized surface layer containing X as a main component. A reflective mask is obtained by forming a transfer pattern by patterning the absorber film of the reflective mask blank. | 06-03-2010 |
20100136465 | Method of fabricating photomask - A method of fabricating a photomask including forming a light-shielding pattern on a substrate, determining whether a critical dimension (CD) of the light-shielding pattern deviates by at least a predetermined amount from a predetermined CD range, and correcting the CD of the light-shielding pattern if the CD of the light-shielding pattern deviates by at least the predetermined amount from the predetermined CD range, wherein the correcting the CD of the light-shielding pattern includes selectively liquid-treating the light-shielding pattern having a CD deviating by at least the predetermined amount from the predetermined CD range. | 06-03-2010 |
20100136466 | EXPOSURE MASK AND METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE USING THE SAME - A method for manufacturing a semiconductor device comprises forming a photoresist pattern by an exposure process with an exposure mask including a shifter pattern and further performing a reflow process on the photoresist pattern to obtain a line/space pattern having a wave type with a uniform a pattern line-width and an improved profile. | 06-03-2010 |
20100143828 | METHOD OF MASK FORMING AND METHOD OF THREE-DIMENSIONAL MICROFABRICATION - The invention provides a method for forming a selective mask on a surface of a layer of Al | 06-10-2010 |
20100143829 | Use of soft adhesive to attach pellicle to reticle - A pellicle is attached to a reticle by a soft adhesive. The distortion of the reticle is less than if a hard adhesive were used. | 06-10-2010 |
20100143830 | SULFONIUM SALT, ACID GENERATOR, RESIST COMPOSITION, PHOTOMASK BLANK, AND PATTERNING PROCESS - A sulfonium salt has formula (1) wherein R | 06-10-2010 |
20100143831 | PHOTOMASK BLANK AND PHOTOMASK - A photomask blank to be used as a material for a photomask is provided with a mask pattern having a transparent area and an effectively opaque area to exposure light on a transparent substrate. On the transparent board, one or more layers of light shielding films are formed with or without other film (A) in between, at least one layer (B) which constitutes the light shielding film includes silicon and a transition metal as main component, and a molar ratio of silicon to the transition metal is silicon:metal=4-15:1 (atomic ratio). The photomask provided with the mask pattern having the transparent area and the effectively opaque area to exposure light on the transparent board is also provided. | 06-10-2010 |
20100151364 | Method for identifying and using process window signature patterns for lithography process control - A method for identifying process window signature patterns in a device area of a mask is disclosed. The signature patterns collectively provide a unique response to changes in a set of process condition parameters to the lithography process. The signature patterns enable monitoring of associated process condition parameters for signs of process drift, analyzing of the process condition parameters to determine which are limiting and affecting the chip yields, analyzing the changes in the process condition parameters to determine the corrections that should be fed back into the lithography process or forwarded to an etch process, identifying specific masks that do not transfer the intended pattern to wafers as intended, and identifying groups of masks that share common characteristics and behave in a similar manner with respect to changes in process condition parameters when transferring the pattern to the wafer. | 06-17-2010 |
20100159367 | A HALF TONE MASK HAVING MULTI-HALF PERMEATION PART AND A METHOD OF MANUFACTURING THE SAME - Disclosed are a half tone mask having a multi semi-transmission part and a manufacturing method thereof that can pattern a plurality of layers using one mask, by having at least two or more semi-transmission parts with light transmission that are different from each other. The half tone mask having a multi semi-transmission part comprises a transparent substrate, a light transmission part formed the transparent substrate to transmit irradiation light of a predetermined wavelength band, a light shielding part formed the transparent substrate to shield the irradiation light of the predetermined wavelength band, and at least two or more semi-transmission parts formed by depositing semi-transmission material on the transparent substrate to pass through the irradiation light of the predetermined wavelength band with each different light transmission. | 06-24-2010 |
20100159368 | OPTICALLY SEMITRANSMISSIVE FILM, PHOTOMASK BLANK AND PHOTOMASK, AND METHOD FOR DESIGNING OPTICALLY SEMITRANSMISSIVE FILM - The present invention provides an optically semitransmissive film that has a near-zero phase shift, has a desired transmissivity, and is relatively thin; a novel phase-shift mask that uses the optically semitransmissive film; a photomask blank that can [be used to] manufacture the phase-shift mask; and a method for designing the optically semitransmissive film. The film is formed on a translucent substrate and transmits a portion of light having a desired wavelength λ, wherein the film has at least one phase-difference reduction layer that fulfills the following functions. Specifically, the phase-difference reduction layer is a layer that has a refractive index n and a thickness d that satisfy the expression 006-24-2010 | |
20100159369 | PHASE SHIFT MASK AND METHOD FOR MANUFACTURING THE SAME, AND METHOD FOR MANUFACTURING INTEGRATED CIRCUIT - There are provided a phase shift mask with a new structure. The phase shift mask provided includes: a substrate that is transparent to irradiation light, a shielding region formed on the substrate and in which a line pattern is formed, and a first transparent region and a second transparent region located on respective opposite sides of the shielding region on the substrate, wherein a phase shifter is formed under the first transparent region, and the phase shifter has a side wall including an outward protruding bent portion. The phase shifter can be formed by, for example, irradiating and scanning a predetermined region of the substrate with femtosecond pulse laser light applied from above the substrate. | 06-24-2010 |
20100159370 | METHOD FOR FORMING MICROSCOPIC STRUCTURES ON A SUBSTRATE - The invention relates to a method for forming microscopic structures. By scanning a focused particle beam over a substrate in the presence of a precursor fluid, a patterned seed layer is formed. By now growing this layer with Atomic Layer Deposition or Chemical Vapour Deposition, a high quality layer can be grown. | 06-24-2010 |
20100167181 | Photomask for Extreme Ultraviolet Lithography and Method for Fabricating the Same - A photomask for extreme ultraviolet (EUV) lithography includes: a substrate; a reflection layer disposed over the substrate and reflecting EUV light incident thereto; and an absorber layer pattern disposed over the reflection layer to expose a portion of the reflection layer and comprising a material having an extinction coefficient (k) to EUV radiation higher than that tantalum (Ta). | 07-01-2010 |
20100167182 | BACKSIDE PHASE GRATING MASK AND METHOD FOR MANUFACTURING THE SAME - A mask includes mask patterns formed over a frontside of a substrate and a phase grating formed over a backside of the substrate. The mask patterns correspond to a layout of diagonal patterns extending in a direction rotated toward a predetermined direction from an axis of a rectangular coordinate system. The phase grating extends in a direction parallel to the extending direction of the mask patterns. The phase grating includes first and second phase regions alternately arranged over the backside of the substrate with a phase difference of 180° therebetween. The first and second phase regions induce a phase interference that blocks a zero-order light of an exposure light incident to the substrate and allows a primary light to be incident to the mask patterns. | 07-01-2010 |
20100167183 | METHOD AND APPARATUS FOR PERFORMING MODEL-BASED LAYOUT CONVERSION FOR USE WITH DIPOLE ILLUMINATION - A method of generating complementary masks for use in a multiple-exposure lithographic imaging process. The method includes the steps of: identifying a target pattern having a plurality of features comprising horizontal and vertical edges; generating a horizontal mask based on the target pattern; generating a vertical mask based on the target pattern; performing a shielding step in which at least one of the vertical edges of the plurality of features in the target pattern is replaced by a shield in the horizontal mask, and in which at least one of the horizontal edges of the plurality of features in the target pattern is replaced by a shield in the vertical mask, where the shields have a width which is greater that the width of the corresponding feature in the target pattern; performing an assist feature placement step in which sub-resolution assist features are disposed parallel to at least one of the horizontal edges of the plurality of features in the horizontal mask, and are disposed parallel to at least one of the vertical edges of the plurality of features in the vertical mask, and performing a feature biasing step in which at least one of the horizontal edges of the plurality of features in the horizontal mask are adjusted such that the resulting feature accurately reproduces the target pattern, and at least one of the vertical edges of the plurality of features in the vertical mask are adjusted such that the resulting feature accurately reproduces the target pattern. | 07-01-2010 |
20100167184 | LITHOGRAPHIC PROCESSING METHOD, AND DEVICE MANUFACTURED THEREBY - A multivariable solver for proximity correction uses a Jacobian matrix to approximate effects of perturbations of segment locations in successive iterations of a design loop. The problem is formulated as a constrained minimization problem with box, linear equality, and linear inequality constraints. To improve computational efficiency, non-local interactions are ignored, which results in a sparse Jacobian matrix. | 07-01-2010 |
20100167185 | PHOTOMASK BLANK MANUFACTURING METHOD AND PHOTOMASK MANUFACTURING METHOD - A thin film made of a material containing a metal and silicon is formed on a light-transmissive substrate. Then, a treatment is performed to modify a main surface of the thin film in advance so that when exposure light having a wavelength of 200 nm or less is accumulatively irradiated on a thin film pattern of a photomask to be produced by patterning the thin film, the transfer characteristic of the thin film pattern does not change more than a predetermined degree. This treatment is performed by carrying out, for example, a heat treatment in an atmosphere containing oxygen at 450° C. to 900° C. | 07-01-2010 |
20100167186 | Extreme ultraviolet photomask and methods and apparatuses for manufacturing the extreme ultraviolet photomask - A method of manufacturing a photomask includes forming an upper layer on a photomask substrate, and patterning the upper layer to form an upper pattern having an inclined sidewall, wherein patterning the upper layer includes anisotropically etching the upper layer using charged particles moving in parallel to a first direction inclined toward a top surface of the upper layer. | 07-01-2010 |
20100167187 | REFLECTIVE-TYPE MASK BLANK FOR EUV LITHOGRAPHY - A reflective mask blank for EUV lithography including a substrate having a front surface and a rear surface, a reflective layer formed over the front surface of the substrate, an absorbing layer formed over the reflective layer, and a chucking layer formed on the rear surface of the substrate and positioned to chuck the substrate to an electrostatic chuck. The substrate has a non-conducting portion which eliminates electrical conduction between the reflective layer and the chucking layer and electrical conduction between the absorbing layer and the chucking layer, and the non-conducting portion is formed by forming a portion of the substrate covered with one or more covering members and preventing formation of the reflective layer and the absorbing layer. | 07-01-2010 |
20100173230 | PHOTOMASK - A photomask or equivalent optical component includes a scattering element in the medium of a substrate, which actively modifies (adjusts/filters the intensity, shape, and/or components of) light that propagates through the substrate. The substrate has a front surface and a back surface and is transparent to exposure light of a photolithography process, i.e., light of given wavelength, at least one mask pattern at the front surface of the substrate and the image of which is to be transferred to an electronic device substrate in a photolithographic process using the photomask, a blind pattern at the front surface of the substrate and opaque to the exposure light, and the scattering element. The scattering element, in addition to being formed in the medium of the substrate, is situated below the blind pattern as juxtaposed with the blind pattern in the direction of the thickness of the substrate. Also, a section of the photomask substrate is irradiated with energy which does not melt and/or vaporize the medium of the photomask substrate to form the scattering element. To this end, a femtosecond laser may be used. | 07-08-2010 |
20100173231 | LCD DEVICE INCLUDING A REFLECTION FILM HAVING A CONVEX-CONCAVE SURFACE - An LCD device includes a reflective area in each pixel. A reflection film having a convex-concave surface is provided in the reflective area, film in cross section configuration is formed. Each pixel includes a pixel electrode and a common electrode for applying a lateral electric field on a LC layer. The inclination angle of the reflection film has an inclination angle distribution, wherein the angle component in an area corresponding to the electrodes has a lower angle distribution than the angle components in an area corresponding to a gap between adjacent two of the electrodes. | 07-08-2010 |
20100173232 | MASK BLANK PROVIDING SYSTEM, MASK BLANK PROVIDING METHOD, MASK BLANK TRANSPARENT SUBSTRATE MANUFACTURING METHOD, MASK BLANK MANUFACTURING METHOD, AND MASK MANUFACTURING METHOD - A mask blank manufacturing department manufactures a mask blank by forming a thin film to be a mask pattern on a mask blank transparent substrate. When providing the mask blank to a mask manufacturing department, the mask blank manufacturing department provides optical characteristic information (transmittance variation) of the mask blank transparent substrate and optical characteristic information (transmittance variation and/or phase difference variation) of the mask blank to the mask manufacturing department. The optical characteristic information of the mask blank transparent substrate is provided to the mask blank manufacturing department from a materials processing department that manufactures mask blank transparent substrates. | 07-08-2010 |
20100173233 | PHOTOMASK BLANK, PHOTOMASK, AND METHODS OF MANUFACTURING THE SAME - A photomask blank has a light-shielding film composed of at least two layers on a transparent substrate. The light-shielding film includes a light-shielding layer made of a material mainly containing tantalum nitride and further containing xenon and a front-surface antireflection layer formed on the light-shielding layer and made of a material mainly containing tantalum oxide and further containing argon. | 07-08-2010 |
20100173234 | PHOTOMASK PRODUCING METHOD AND PHOTOMASK BLANK - In a photomask blank serving as a base member for producing a halftone-type phase shift mask in which a light-transmissive substrate is formed thereon with a light-semitransmissive phase shift pattern having a desired opening, a light-semitransmissive phase shift film, a chromium film, and an etching mask film are stacked in order on the light-transmissive substrate. The etching mask film is made of an inorganic-based material having a resistance against dry etching of the chromium film. The photomask blank further may has a resist film formed on the etching mask film. | 07-08-2010 |
20100178596 | EXTREME ULTRAVIOLET PHOTOLITHOGRAPHY MASK, WITH ABSORBENT CAVITIES - The invention relates to extreme ultraviolet photolithography masks operating in reflection. These masks comprise a lower mirror ( | 07-15-2010 |
20100178597 | MASK FABRICATION SUPPORTING METHOD, MASK BLANK PROVIDING METHOD, AND MASK BLANK DEALING SYSTEM - A mask blank is provided by forming a plurality of films, including at least a thin film to be a transfer pattern, on a board. At the time of patterning a resist film of the mask blank according to pattern data, film information to check with a pattern is obtained for each of a plurality of the films. | 07-15-2010 |
20100178598 | METHOD AND APPARATUS FOR SUB-PELLICLE DEFECT REDUCTION ON PHOTOMASKS - In one embodiment, the invention is a method and apparatus for sub-pellicle defect reduction on photomasks. One embodiment of a photomask for use in photolithography includes a substrate on which a pattern is formed, the substrate having a frontside and an opposite backside, and a protective coating formed on at least one of the frontside and the backside, the protective coating comprising silicon-based compound. | 07-15-2010 |
20100178599 | Photomask Used in Fabrication of Semiconductor Device - Provided is a photomask used in fabrication of a semiconductor device. The photomask includes first and second regions to be transferred onto a semiconductor substrate having a step difference. The first and second regions have mask patterns. The mask patterns of the first region have a different shape from the mask patterns of the second region. The mask patterns of the second region have concave and convex portions disposed in opposite lateral portions thereof. | 07-15-2010 |
20100178600 | PROCESS FOR ETCHING A METAL LAYER SUITABLE FOR USE IN PHOTOMASK FABRICATION - Method and apparatus for etching a metal layer disposed on a substrate, such as a photolithographic reticle, are provided. In one aspect, a method is provided for processing a substrate including positioning a substrate having a metal layer disposed on an optically transparent material in a processing chamber, introducing a processing gas processing gas comprising an oxygen containing gas, a chlorine containing gas, and a chlorine-free halogen containing gas, and optionally, an inert gas, into the processing chamber, generating a plasma of the processing gas in the processing chamber, and etching exposed portions of the metal layer disposed on the substrate. | 07-15-2010 |
20100178601 | PHOTOMASK DEFECT CORRECTING METHOD AND DEVICE - A photomask defect correction method corrects a defect of the photomask, and includes an observation process of observing the defect of a portion to be corrected and acquiring defect information for performing correction, and a defect correction process of irradiating a focused ion beam formed of rare gas ions and generated by an ion beam irradiation system including a gas field ion source to the portion to be corrected and correcting the defect. | 07-15-2010 |
20100183957 | Method of Patterned Media Template Formation and Templates - Aspects include methods to produce pattern media templates and the templates. A pattern of resist structures is formed on a first material layer. A conformal layer of a second material is deposited on the resist pattern, covering tops and side walls of the resist structures. The first material is more resistant to ion milling than the second material, and less resistant to plasma etching than the second material. The first material can be amorphous carbon and the second material can be aluminum oxide. The second material is removed on the tops, and preserved on the side walls. The resist structures and portions of the first layer not supporting second layer material are removed by plasma. The remaining structure is 2× denser than the resist pattern. Conformal deposition of second material and ion milling can be repeated. CMP removes the second material down to a portion of remaining first material, and remaining first material is removed by plasma, leaving a 4× denser pitch pattern structure formed from the second material. | 07-22-2010 |
20100183958 | METHOD OF FABRICATING SEMICONDUCTOR DEVICE, AND PHOTOMASK - A method of fabricating a semiconductor device according to one embodiment includes: forming a mask material on a semiconductor substrate comprising first and second regions; forming a pattern of a core on the mask material in the first region; forming a sidewall spacer mask on a side surfaces of the core pattern and subsequently removing the core pattern; transferring a pattern of the sidewall spacer mask to the mask material in the first region after removing the core; and thereafter, carrying out trimming of the pattern of the sidewall spacer mask which is transferred to the mask material in the first region, and formation of a predetermined pattern on the mask material in the second region, simultaneously. | 07-22-2010 |
20100183959 | METHOD OF GENERATING RETICLE DATA, MEMORY MEDIUM STORING PROGRAM FOR GENERATING RETICLE DATA AND METHOD OF PRODUCING RETICLE - A method of generating reticle data for producing a reticle, a pattern of the reticle including a main pattern, a first auxiliary pattern, and a second auxiliary pattern, the first auxiliary pattern and the second auxiliary pattern being patterns not to resolve, light having passed through the first auxiliary pattern and light having passed through the main pattern being in phase, and light having passed through the second auxiliary pattern and light having passed through the main pattern having a phase difference of 180° from each other, the method comprising the step of deleting either of the first auxiliary pattern and the second auxiliary pattern or deforming at least either of the first auxiliary pattern and the second auxiliary pattern when the first auxiliary pattern and the second auxiliary pattern overlap each other. | 07-22-2010 |
20100183960 | PATTERN CORRECTION METHOD, EXPOSURE MASK, MANUFACTURING METHOD OF EXPOSURE MASK, AND MANUFACTURING METHOD OF SEMICONDUCTOR DEVICE - A pattern correction method includes: a correction step of performing pattern correction on a semiconductor circuit pattern having plural transistors as component elements; an order of priority recognition step of recognizing an order of priority set with respect to the plural transistors prior to the pattern correction at the correction step; and a condition adjustment step of adjusting correction conditions for the pattern correction with reference to the transistor having a high priority recognized at the order of priority recognition step in the pattern correction at the correction step. | 07-22-2010 |
20100190095 | PELLICLE MOUNTING METHOD AND APPARATUS - Apparatus is provided for mounting a pellicle to a photomask. A chamber has at least one port for filling the chamber with extreme clean dry air (XCDA) or an inert gas. A pellicle mounter is provided within the chamber. A vacuum ultra violet (VUV) light source is provided for irradiating a mask held by the pellicle mounter while the chamber is filled with the XCDA or inert gas. The mask is irradiated with the VUV light in an atmosphere of the XCDA or inert gas, and the pellicle is mounted to the mask while the mask is in the atmosphere of the XCDA or inert gas and exposed to the VUV light. | 07-29-2010 |
20100190096 | TARGET AND METHOD FOR MASK-TO-WAFER CD, PATTERN PLACEMENT AND OVERLAY MEASUREMENT AND CONTROL - A method for mask-to-wafer correlation among multiple masking levels of a semiconductor manufacturing process. The method includes creating compact targets containing structure patterns suitable for pattern placement, critical dimension and overlay measurement at a set of common locations on two or more patterning layers, and creating at least two masks containing functional circuit structure patterns and the compact targets at locations between functional circuit structure patterns. The method then includes measuring the targets, determining overlay variation between the masks, exposing and creating with one mask a first lithographic processing layer on a wafer, and exposing and creating with another mask a second lithographic processing layer on the wafer, over the first layer. The method further includes measuring the targets on the wafer at one or more of the layers, and correlating the mask and wafer measurements to distinguish mask and lithography induced components of critical dimension and overlay variation. | 07-29-2010 |
20100190097 | Pellicle for photolithography - There is provided a pellicle for photolithography having a plurality of air vents formed in a pellicle frame for photolithography and having the air vents covered with a dustproof filter in which the air vents in the frame are counterbored and a counterbored wall surface of the air vents is tapered. | 07-29-2010 |
20100196803 | Methods for Cell Boundary Isolation in Double Patterning Design - A method of designing a double patterning mask set for a layout of a chip includes designing standard cells. In each of the standard cells, all left-boundary patterns are assigned with one of a first indicator and a second indicator, and all right-boundary patterns are assigned with an additional one of the first indicator and the second indicator. The method further includes placing the standard cells in a row of the layout of the chip. Starting from one of the standard cells in the row, indicator changes to the standard cells are propagated throughout the row. All patterns in the standard cells having the first indicator are transferred to a first mask of the double patterning mask set. All patterns in the standard cells having the second indicator are transferred to a second mask of the double patterning mask set. | 08-05-2010 |
20100196804 | Mask inspection apparatus and image creation method - Provided is a mask inspection apparatus including: emitting unit for emitting electron beams onto a sample; electron detecting unit for detecting the quantity of electrons produced, by the emission of the electron beams, from the sample with patterns formed thereon; image processing unit for generating image data for the patterns on the basis of the electron quantity; and controlling unit for controlling the emitting unit, the electron detecting unit, and the image processing unit. The controlling unit calculates, from the size of a designated observation area of the sample, a division number of divisional images that are synthesized to form a joint image that covers the entire designated observation area. The controlling unit determines divisional areas so that adjacent divisional areas partially overlap each other. The controlling unit acquires SEM images for the respective divisional areas. The controlling unit synthesizes the SEM images of the divisional areas on the basis of coordinate data for the divisional areas and on the basis of edge information for patterns included in the overlapping regions, and thereby creates an SEM image of a wide field of view that covers the observation area. | 08-05-2010 |
20100196805 | MASK AND METHOD TO PATTERN CHROMELESS PHASE LITHOGRAPHY CONTACT HOLE - A method of making a mask is disclosed. The method includes providing a first and a second mask layers and disposing a first phase shift region on the first mask layer. A second phase shift region is disposed on the second mask layer, wherein the first and second phase shift regions are out of phase. A continuous unit cell is formed in the first phase shift region. The unit cell comprises a center section and distinct extension sections. The extension sections are contiguous to and extend outwards from the center section. The distinct extension sections have a same width as the center section. The second phase shift region is adjacent to the unit cell in the first phase shift region. | 08-05-2010 |
20100196806 | STRUCTURES AND METHODS FOR LOW-K OR ULTRA LOW-K INTERLAYER DIELECTRIC PATTERN TRANSFER - The present invention relates to improved methods and structures for forming interconnect patterns in low-k or ultra low-k (i.e., having a dielectric constant ranging from about 1.5 to about 3.5) interlevel dielectric (ILD) materials. Specifically, reduced lithographic critical dimensions (CDs) (i.e., in comparison with target CDs) are initially used for forming a patterned resist layer with an increased thickness, which in turn allows use of a simple hard mask stack comprising a lower nitride mask layer and an upper oxide mask layer for subsequent pattern transfer. The hard mask stack is next patterned by a first reactive ion etching (RIE) process using an oxygen-containing chemistry to form hard mask openings with restored CDs that are substantially the same as the target CDs. The ILD materials are then patterned by a second RIE process using a nitrogen-containing chemistry to form the interconnect pattern with the target CDs. | 08-05-2010 |
20100196807 | PREVENTION OF PHOTORESIST SCUMMING - A photo acid generator (PAG) or an acid is used to reduce resist scumming and footing. Diffusion of acid from photoresist into neighbors causes a decreased acid level, and thus causes resist scumming. An increased acid layer beneath the resist prevents acid diffusion. In one embodiment, the increased acid layer is a layer of spun-on acid or PAG dissolved in aqueous solution. In another embodiment, the increased acid layer is a hard mask material with a PAG or an acid mixed into the material. The high acid content inhibits the diffusion of acid from the photoresist into neighboring layers, and thus substantially reduces photoresist scumming and footing. | 08-05-2010 |
20100203430 | METHODS FOR PERFORMING MODEL-BASED LITHOGRAPHY GUIDED LAYOUT DESIGN - Methods are disclosed to create efficient model-based Sub-Resolution Assist Features (MB-SRAF). An SRAF guidance map is created, where each design target edge location votes for a given field point on whether a single-pixel SRAF placed on this field point would improve or degrade the aerial image over the process window. In one embodiment, the SRAF guidance map is used to determine SRAF placement rules and/or to fine-tune already-placed SRAFs. The SRAF guidance map can be used directly to place SRAFs in a mask layout. Mask layout data including SRAFs may be generated, wherein the SRAFs are placed according to the SRAF guidance map. The SRAF guidance map can comprise an image in which each pixel value indicates whether the pixel would contribute positively to edge behavior of features in the mask layout if the pixel is included as part of a sub-resolution assist feature. | 08-12-2010 |
20100203431 | BEAM-INDUCED ETCHING - A method and apparatus for local beam processing using a beam activated gas to etch material are described. Compounds are disclosed that are suitable for beam-induced etching. The invention is particularly suitable for electron beam induced etching of chromium materials on lithography masks. In one embodiment, a polar compound, such as ClNO | 08-12-2010 |
20100203432 | EXPOSURE MASK AND METHOD FOR MANUFACTURING SAME AND METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE - An exposure mask includes: an insulative substrate; a light reflecting film provided on the substrate; a light absorbing film provided on the light reflecting film and forming a pattern in a center region on the substrate; and an interconnect provided on the substrate, the light reflecting film and the light absorbing film not being provided in a frame-shaped region surrounding the center region, and the interconnect being placed so that a portion of a laminated film composed of the light reflecting film and the light absorbing film located inside the frame-shaped region is electrically connected to a portion of the laminated film located outside the frame-shaped region. | 08-12-2010 |
20100209824 | PHOTOMASK - A photomask that includes an assistant pattern is provided. The photomask comprises a target pattern transcribed over a wafer by an exposing process, and an assistant pattern formed symmetrically with a main pattern of the target pattern based on the outer pattern of the target pattern, thereby minimizing the loss of the outer pattern and maximizing the process margin in the defocus environment. | 08-19-2010 |
20100209825 | EXPOSURE MASK AND METHOD FOR FORMING SEMICONDUCTOR DEVICE BY USING THE SAME - The present invention is the thing about exposure mask and manufacturing method of semiconductor device using the same | 08-19-2010 |
20100209826 | Apparatus for processing photomask, methods of using the same, and methods of processing photomask - An apparatus and method for improving global flatness of a photomask is provided. The apparatus may include an adsorbing plate including vacuuming holes on one surface thereof, the adsorbing plate being adapted to adsorb the photomask thereon, a photomask supporting part including a plurality of supporting portions adapted to support the photomask and supporting arms on which the supporting portions are disposed, and a pressing plate including a pressing frame adapted to apply pressure to one surface of the photomask. | 08-19-2010 |
20100209827 | NOVEL SULFONATE AND ITS DERIVATIVE, PHOTOSENSITIVE ACID GENERATOR, AND RESIST COMPOSITION AND PATTERNING PROCESS USING THE SAME - There is disclosed a sulfonate shown by the following general formula (2). | 08-19-2010 |
20100209828 | RETICLE MANUFACTURING METHOD, SURFACE SHAPE MEASURING APPARATUS AND SIGNAL PROCESSOR - A reticle manufacturing method of the present invention comprises the steps of holding a reference mask blank by a reference chuck to measure a surface shape of the reference mask blank as a first surface shape, holding the reference mask blank by a reticle chuck of the exposure apparatus to measure a surface shape of the reference mask blank as a second surface shape, holding the electron beam drawing mask blank by the reference chuck to measure a surface shape of the electron beam drawing mask blank as a third surface shape, calculating a difference between the measurement values of the first surface shape and the second surface shape as a first deference value, calculating, as a forth surface shape, a surface shape of the electron beam drawing mask blank held by the reticle chuck on the basis of the first deference value and the measurement value of the third surface shape, and drawing the pattern on the electron beam drawing mask blank on the basis of the forth surface shape. | 08-19-2010 |
20100209829 | PHOTOMASK MANUFACTURING METHOD AND SEMICONDUCTOR DEVICE MANUFACTURING METHOD - This invention discloses a photomask manufacturing method. A pattern dimensional map is generated by preparing a photomask in which a mask pattern is formed on a transparent substrate, and measuring a mask in-plane distribution of the pattern dimensions. A transmittance correction coefficient map is generated by dividing a pattern formation region into a plurality of subregions, and determining a transmittance correction coefficient for each of the plurality of subregions. The transmittance correction value of each subregion is calculated on the basis of the pattern dimensional map and the transmittance correction coefficient map. The transmittance of the transparent substrate corresponding to each subregion is changed on the basis of the transmittance correction value. | 08-19-2010 |
20100216061 | Inverse Lithography For High Transmission Attenuated Phase Shift Mask Design And Creation - Various implementations of the invention provide for generation of a high transmission phase shift mask layout through inverse lithography techniques. In various implementations of the present invention, a set of mask data having a plurality of pixels is generated. The transmission value associated with each pixel may then be determined through an inverse lithography technique. With various implementations of the invention, the inverse lithography technique identifies an objective function, minimizes the objective function in relation to a simulation of the optical lithographic process, such that the transmission value, which is greater than 6%, may be determined. | 08-26-2010 |
20100216062 | Reflective photomask and method of fabricating, reflective illumination system and method of process using the same - A reflective photomask includes a phase shift object on a substrate, a reflective layer stacked on the substrate and the phase shift object, a capping layer on the reflective layer, the capping layer including at least one surface portion having a bent shape, and a light absorption pattern on the capping layer, the light absorption pattern including at least one slit exposing the surface portion of the capping layer having the bent shape. | 08-26-2010 |
20100216063 | Masks and methods of forming the same - Methods of forming masks. According to the methods, a target pattern is set. Generation of a side lobe caused by the target pattern is verified. A preliminary target pattern and a preliminary side lobe pattern are set, in the target pattern and a region where the side lobe is generated, respectively. An interference pattern map using the preliminary target pattern and the preliminary side lobe pattern is created. At least one of regions having a phase identical or opposite to that of a position of the preliminary target pattern in the interference pattern map is set to an interference auxiliary pattern. A mask using the interference auxiliary pattern and the target pattern is formed. | 08-26-2010 |
20100227260 | Photomasks, Methods Of Forming Photomasks, And Methods Of Photolithographically-Patterning Substrates - Some embodiments include methods of forming photomasks. A stack of at least three different materials is formed over a base. Regions of the stack are removed to leave a mask pattern over the base. The mask pattern includes a pair of spaced-apart adjacent segments of the stack. A liner is formed to cover sidewalls of the segments. Some embodiments include photomasks. The photomasks may include a transparent base supporting a pair of spaced-apart adjacent features. The spaced-apart adjacent features may include sidewalls, with inner sidewalls of the spaced-apart features being adjacent one another, and spaced from one another by a gap. A coating layer of from about 5 Angstroms thick to about 50 Angstroms thick may be along the entirety of the sidewalls of the spaced-apart adjacent features. Some embodiments include methods of photolithographically patterning substrates. | 09-09-2010 |
20100227261 | Flare-measuring mask, flare-measuring method, and exposure method - A method for measuring flare information of a projection optical system includes arranging, on an object plane of the projection optical system, a sectoral pattern surrounded by a first side, a second side which is inclined at a predetermined angle with respect to the first side, and an inner diameter portion and an outer diameter portion which connect both ends of the first side and both ends of the second side; projecting an image of the sectoral pattern via the projection optical system; and determining the flare information based on a light amount of the image of the sectoral pattern and a light amount provided at a position away from the image. With the flare measuring method, it possible to correctly measure the flare information in an arbitrary angle range. | 09-09-2010 |
20100233588 | Phase Shift Mask with Enhanced Resolution and Method for Fabricating the Same - A method for fabricating a phase shift mask includes obtaining a layout of a mask region which sets up alight transmitting region; obtaining a layout of a phase shift region placed in a border portion of the mask region by disposing a shadow core region for light-shielding in a middle portion of the mask region; forming phase shift patterns following the layout of the phase shift region on a light transmitting substrate; and forming a shadow core layer pattern which exposes a portion of the substrate corresponding to the light transmitting region between the phase shift patterns and covering and light-shielding the portion of the substrate corresponding to the shadow core region, and a mask fabricated by the method. | 09-16-2010 |
20100233589 | Method for Manufacturing Photomask Using Self-assembled Molecule Layer - A method for fabricating a photomask using a self-assembled molecule layer, comprising: forming, on a transparent substrate, a stacked structure of a phase shift pattern and a light shielding pattern over the phase shift pattern, the stacked structure exposing a portion of a surface of the transparent substrate; exposing the phase shift pattern and a portion of the surface of the transparent substrate by removing a portion of the light shielding pattern; forming a self-assembled molecule layer allowing movement of electrons on the exposed surface of the transparent substrate; measuring a critical dimension of the phase shift pattern formed with the self-assembled molecule layer; neutralizing electrons applied during the measurement of the critical dimension with the self-assembled molecule layer allowing movement of electrons; and removing the self-assembled molecule layer. | 09-16-2010 |
20100233590 | Method for Manufacturing Photo Mask Using Fluorescence Layer - A method for fabricating a photo mask using a fluorescence layer, comprising: forming a fluorescence layer on a frame region of a light-transmitting substrate that defines a main cell region and the frame region; forming a phase-shift layer and a light-shielding layer on the light-transmitting substrate and the fluorescence layer; forming a light-shielding main pattern in the main cell region and a light-shielding frame pattern in the frame region by patterning the light-shielding layer; forming a phase-shift main pattern and a phase-shift frame pattern to expose a portion of a surface of the fluorescence layer on side walls thereof, by etching the phase-shift layer using the light-shielding main pattern and the light-shielding frame pattern as an etch mask; irradiating light from a light source on the light-transmitting substrate and detecting an intensity of fluorescence of a fluorescence layer residue emitted from the exposed surface of the fluorescence layer; and determining under-etch or over-etch using the detected fluorescence intensity as a reference fluorescence intensity. | 09-16-2010 |
20100233591 | PROCESS FOR PRODUCING PELLICLE, AND PELLICLE - There is provided a process for producing a pellicle, the process including a step of irradiating a pellicle film comprising a fluorine resin with UV light having a wavelength of no greater than 220 nm. There is also provided a preferred step of irradiating the pellicle film with UV light having a wavelength of no greater than 220 nm by means of at least one UV light source selected from a group consisting of a low-pressure mercury lamp, a deuterium lamp, a xenon excimer lamp, and ArF excimer laser light. | 09-16-2010 |
20100233592 | PHOTOMASK AND METHOD OF FORMING PHOTOMASK - A photomask includes a resolution pattern, and a non-resolution pattern. The non-resolution pattern has a center portion and first and second side portions. The first and second side portions are each greater in distance from the resolution pattern than the center portion. | 09-16-2010 |
20100233593 | REFLECTIVE PHOTOMASK AND METHOD OF FABRICATING THE SAME - A reflective photomask comprises a photomask substrate, a photomask pattern, formed on an upper surface of the photomask substrate, at least one alignment mark, formed on the upper surface of the photomask substrate, for aligning the reflective photomask with an exposure apparatus, and at least one fiducial mark, formed on a lower surface of the photomask substrate, for determining locations of defects in the photomask pattern. | 09-16-2010 |
20100233594 | SYSTEM AND METHOD FOR QUALITY ASSURANCE FOR RETICLES USED IN MANUFACTURING OF INTEGRATED CIRCUITS - System and method for quality assurance for reticles used in manufacturing of integrated circuits. According to an embodiment, the present invention provides a method for inspecting one or more blanks For example, the blanks are prepared to be used as reticles for manufacturing of integrated circuits. The method includes a step for providing a blank. For example, the blank includes an unknown level of impure particles. The method also includes a step for subjecting the blank to radiation at a first radiation level. The method additionally includes a step for receiving reflected radiation by the radiation source. Also, the method includes a step for determining a particle level based on at least the reflected radiation. Additionally, the method includes a step for determining whether to use the blank to produce a reticle. | 09-16-2010 |
20100239963 | EXPOSURE MASK, EXPOSURE METHOD, AND METHOD OF MANUFACTURING OPTICAL ELEMENT - An exposure mask of the present invention is an exposure mask for patterning a three-dimensional shape on a resist. The exposure mask comprises a first region where a plurality of openings having a first size smaller than a resolution limit of an exposure apparatus are arranged, a second region where a plurality of openings having a second size smaller than the first size are arranged, and a third region where the plurality of openings having the first size and the plurality of openings having the second size are mixed and arranged between the first region and the second region. | 09-23-2010 |
20100239964 | Test Structures and Methods - Test structures and methods for semiconductor devices, lithography systems, and lithography processes are disclosed. In one embodiment, a method of manufacturing a semiconductor device includes using a lithography system to expose a layer of photosensitive material of a workpiece to energy through a lithography mask, the lithography mask including a plurality of first test patterns having a first phase shift and at least one plurality of second test patterns having at least one second phase shift. The layer of photosensitive material of the workpiece is developed, and features formed on the layer of photosensitive material from the plurality of first test patterns and the at least one plurality of second test patterns are measured to determine a optimal focus level or optimal dose of the lithography system for exposing the layer of photosensitive material of the workpiece. | 09-23-2010 |
20100248089 | METHOD FOR OPTICAL PROXIMITY CORRECTION - Provided is a method for optical proximity correction for use in manufacturing highly resolved semiconductor chips. The method includes setting a target layout; setting a peculiar area; sorting the peculiar area from the target layout; generating a marking layer; resetting a critical dimension (CD) of a peculiar pattern; compensating an optical proximity effect; and manufacturing a mask. The method provides an improved way of improving more accurately CD uniformity by performing optical proximity correction with respect to a pattern to which a bias rule is difficult to apply due to an absence of an adjacent pattern. | 09-30-2010 |
20100248090 | PHOTOMASK BLANK AND PHOTOMASK - A photomask blank comprises a transparent substrate, a light-shielding film of an optionally transition metal-containing silicon material, and an etching mask film of a chromium compound base material. The etching mask film consists of multiple layers of different composition which are deposited by reactive sputtering, the multiple layers including, in combination, a first layer of a material which imparts a compression stress when deposited on the substrate as a single composition layer and a second layer of a material which imparts a tensile stress when deposited on the substrate as a single composition layer. | 09-30-2010 |
20100248091 | METHOD FOR INSPECTING PHOTOMASK BLANK OR INTERMEDIATE THEREOF, METHOD FOR DETERMINING DOSAGE OF HIGH-ENERGY RADIATION, AND METHOD FOR MANUFACTURING PHOTOMASK BLANK - A photomask blank which is manufactured by depositing a phase shift film on a substrate and irradiating the phase shift film with high-energy radiation to effect substrate shape adjusting treatment is inspected by measuring a surface topography of the photomask blank after the substrate shape adjusting treatment, removing the phase shift film from the photomask blank, measuring a surface topography of the treated substrate after removal of the phase shift film, and comparing the surface topographies, thereby evaluating a warpage change before and after removal of the phase shift film, due to a stress of the phase shift film having undergone substrate shape adjusting treatment. | 09-30-2010 |
20100248092 | MASK BLANK SUBSTRATE, MASK BLANK, EXPOSURE MASK, MASK BLANK SUBSTRATE MANUFACTURING METHOD, AND SEMICONDUCTOR MANUFACTURING METHOD - In a mask blank substrate to be chucked by a mask stage of an exposure system, the flatness of a rectangular flatness measurement area excluding an area of 2 mm inward from an outer peripheral end surface on a main surface of the mask blank substrate on its side to be chucked by the mask stage is 0.6 μm or less, and at least three of four corner portions of the flatness measurement area each have a shape that rises toward the outer peripheral side. | 09-30-2010 |
20100248093 | Reticle Constructions - The invention includes reticle constructions and methods of forming reticle constructions. In a particular aspect, a method of forming a reticle includes provision of a reticle substrate having a defined main-field region and a defined boundary region. The substrate has a relatively transparent base and a relatively opaque material over the base. A thickness of the relatively opaque material of the main-field region is reduced relative to a thickness of the relatively opaque material of the boundary region. A reticle construction of the present invention can comprise a relatively transparent base, and a relatively opaque material over the base. The construction can have a defined main-field region and a defined boundary region, and the relatively opaque material of the main-field region can have a reduced thickness relative to the relatively opaque material of the boundary region. | 09-30-2010 |
20100248094 | Methods Of Forming And Using Reticles - Some embodiments include methods of treating reticles to provide backside masking across regions of the reticle to compensate for problems occurring during photolithographic processing. The problems may be, for example, defects in the reticle, problems associated with deposition or development of photoresist, or problems associated with substrate topography. The masking may alter one or both of transmission of electromagnetic radiation through the masked regions, and polarization of electromagnetic radiation passed through the masked regions. Some embodiments include reticles having patterns along front sides for patterning electric magnetic radiation, and masks across portions of the backsides to at least partially block transmission of electromagnetic radiation through portions of the patterns. | 09-30-2010 |
20100255409 | Attenuated phase-shift photomasks, method of fabricating the same and method of fabricating semiconductor using the same - A method of fabricating an attenuated phase-shift photomask includes forming a phase-shift material layer on a photomask substrate, forming a light opaque layer on the phase-shift material layer, forming a first resist pattern on the light opaque layer to selectively expose a pattern region, etching the light opaque layer using the first resist pattern as an etch mask, such that a first light opaque pattern layer is formed to selectively expose the phase-shift material layer, removing the first resist pattern, forming a second resist pattern on the light opaque layer, such that a cell pattern block in the pattern region is selectively exposed, and etching the exposed phase-shift material layer using the first light opaque pattern layer as an etch mask to form a phase-shift material pattern layer selectively exposing a top surface of the photomask substrate. | 10-07-2010 |
20100255410 | ALKALI-TYPE NONIONIC SURFACTANT COMPOSITION - An alkali-type nonionic surfactant composition contains a nonionic surfactant (component A), water (component B), at least one compound (component C) selected from the group consisting of benzenesulfonic acid, toluenesulfonic acid, dimethylbenzenesulfonic acid, hydroxybenzenesulfonic acid and salts thereof, and at least one alkaline chemical (component D) selected from the group consisting of potassium hydroxide and sodium hydroxide. The alkali-type nonionic surfactant composition contains the nonionic surfactant (component A) in an amount of 0.5 to 20 wt % and has a pH at 25° C. of 12 or greater. | 10-07-2010 |
20100255411 | MASK BLANK AND METHOD OF MANUFACTURING AN IMPRINT MOLD - Provided is a method of manufacturing an imprint mold formed with a highly accurate fine pattern by the use of a mask blank. | 10-07-2010 |
20100255412 | Photo-imaging Hardmask with Negative Tone for Microphotolithography - Disclosed is a method of making polysiloxane and polysilsesquioxane hardmask layer photo-imageable with a negative tone. The method is based on a photosensitizer and film modifier. The film modifier reduces pore size of the hardmask films for diffusion control. The negative-tone photo-imageable hardmask is especially beneficial for forming trenches and vias on exposure tools of extreme UV and deep UV lithography. Compositions of negative-tone photo-imageable hardmask based on the chemistry of polysiloxane and polysilsesquioxanes are disclosed as well. Further disclosed are processes of using photo-imageable hardmasks to create isolated trenches or vias on semiconductor substrates with or without an intermediate layer. | 10-07-2010 |
20100261095 | Methods, Photomasks and Methods of Fabricating Photomasks for Improving Damascene Wire Uniformity Without Reducing Performance - A method of improving damascene wire uniformity without reducing performance. The method includes simultaneously forming a multiplicity of damascene wires and a multiplicity metal dummy shapes in a dielectric layer of a wiring level of an integrated circuit chip, the metal dummy shapes dispersed between damascene wires of the multiplicity of damascene wires; and removing or modifying those metal dummy shapes of the multiplicity of metal dummy shapes within exclusion regions around selected damascene wires of the multiplicity of damascene wires. Also a method of fabricating a photomask and a photomask for use in improving damascene wire uniformity without reducing performance. | 10-14-2010 |
20100261096 | HALF-TONE MASK, HALF-TONE MASK BLANK AND METHOD FOR MANUFACTURING HALF-TONE MASK - A halftone mask increasing the versatility of an etching stopper layer. The half tone mask ( | 10-14-2010 |
20100261097 | Photo-imageable Hardmask with Positive Tone for Microphotolithography - Disclosed are the deactivation mechanism and chemistry platforms that make high-silicon hardmask films photo-imageable like positive-tone photoresist for microphotolithography. The deactivation mechanism requires a catalyst to promote crosslinking reactions, and a photoacid generator to deactivate the catalyst. The initial hardmask films are soluble in developers. If not radiated, films become insoluble in developers due to crosslinking reactions promoted by catalyst. If radiated, films remain soluble in developers due to deactivation of catalyst by photoacid generator. Compositions of positive-tone photo-imageable hardmask based on the chemistry of polysiloxane and polysilsesquioxanes are disclosed as well. Also disclosed is a method of modifying polysiloxane and polysilsesquioxane films for controlled diffusion of catalysts, photoacid generators, and quenchers. Further disclosed are processes of using photo-imageable hardmasks to create precursor structures on semiconductor substrates with or without an intermediate layer. | 10-14-2010 |
20100261098 | HIGH RESOLUTION PHOTOMASK - A film photomask comprises a polymer substrate such as a photosensitive polymer than can be darkened. The photomask substrate is sensitive to light within a first wavelength range and is initially transparent to light within a second wavelength range that is utilized for product exposure operations to pattern a product using photomask. During a mask exposure operation, select regions of the photomask are exposed to light within the first wavelength range to selectively photodarken regions of the photomask substrate according to a desired pattern. The photodarkened regions are darkened sufficient to block light within the second wavelength range used for patterning a product through the photomask. Thus, no chemical processing is required to create a mask pattern. Moreover, the pattern is defined within/through the polymer material. The photomask may further comprise a filter that is applied to at least one side thereof for blocking light within the first wavelength range. | 10-14-2010 |
20100261099 | PHOTOMASK BLANK AND PHOTOMASK MAKING METHOD - A photomask blank comprises a transparent substrate, a light-shielding film deposited on the substrate and comprising a metal or metal compound susceptible to fluorine dry etching, and an etching mask film deposited on the light-shielding film and comprising another metal or metal compound resistant to fluorine dry etching. When the light-shielding film is dry etched to form a pattern, pattern size variation arising from pattern density dependency is reduced, so that a photomask is produced at a high accuracy. | 10-14-2010 |
20100261100 | PHOTOMASK BLANK AND PHOTOMASK - A photomask blank is provided comprising an etch stop film which is disposed on a transparent substrate and is resistant to fluorine dry etching and removable by chlorine dry etching, a light-shielding film disposed on the etch stop film and including at least one layer composed of a transition metal/silicon material, and an antireflective film disposed on the light-shielding film. When the light-shielding film is dry etched to form a pattern, pattern size variation arising from pattern density dependency is reduced, so that a photomask is produced at a high accuracy. | 10-14-2010 |
20100261101 | PHOTOMASK BLANK AND PHOTOMASK - A photomask blank is provided comprising an etch stop film which is disposed on a transparent substrate and is resistant to fluorine dry etching and removable by chlorine dry etching, a light-shielding film disposed on the etch stop film and including at least one layer composed of a transition metal/silicon material, and an antireflective film disposed on the light-shielding film. When the light-shielding film is dry etched to form a pattern, pattern size variation arising from pattern density dependency is reduced, so that a photomask is produced at a high accuracy. | 10-14-2010 |
20100261102 | MASK AND MANUFACTURING METHOD OF A SEMICONDUCTOR DEVICE AND A THIN FILM TRANSISTOR ARRAY PANEL USING THE MASK - An exposure mask is provided, which includes: a light blocking opaque area blocking incident light; a translucent area; and a transparent area passing the most of incident light, wherein the translucent area generates the phase differences in the range of about −70° to about +70°. | 10-14-2010 |
20100266937 | METHOD FOR REPAIRING PHASE SHIFT MASKS - The invention relates to a method for repairing phase shift masks for photolithography in which a phase shift mask is checked for the presence of defects and, if defects are present, (i) an analysis is conducted as to which of the defects negatively affect imaging properties of the phase shift mask, (ii) said defects are improved, (iii) the imaging properties of the improved phase shift mask are analyzed and the maintenance of a predetermined tolerance criterion is checked, and (iv) the two preceding steps (ii) and (iii) are optionally repeated multiple times if the imaging properties do not meet the predetermined tolerance criterion. In such a method, the imaging properties are analyzed in that, for each defect to be improved, a test variable is determined for the defect as a function of focus and illumination, and at least one additional non-defective point on the phase shift mask in the immediate vicinity of the defect is determined, and a minimum allowable deviation between the test variable for the defect and the non-defective point is predetermined as the tolerance criterion. | 10-21-2010 |
20100266938 | REFLECTIVE MASK BLANK AND METHOD OF MANUFACTURING A REFLECTIVE MASK - Provided are a reflective mask blank and a reflective mask that can improve the contrast for EUV exposure light in use of the mask and further can improve the pattern resolution at a pattern edge portion of the mask, thereby enabling high-resolution pattern transfer. A reflective mask blank of this invention has a substrate and, further, a multilayer reflective film adapted to reflect the EUV exposure light and an absorber film adapted to absorb the EUV exposure light, which are formed in this order over the substrate. The absorber film is made of a material containing Ta and has a film density of 6.0 to 16.0 g/cm | 10-21-2010 |
20100266939 | Lithographic Mask and Method of Forming a Lithographic Mask - A lithographic mask comprises a first layer including grooves, a second layer including regions, sections and a groove-like structure that encloses the sections. The first and second layers are formed so as to reduce electrical potential differences within the second layer. A method of forming a lithographic mask includes forming first and second layers to dispose the second layer over the first layer, patterning the second layer to comprise sections, a region, and a groove-like structure enclosing the sections, and forming grooves in the first layer at portions not covered by the second layer. The first and second layers are formed to reduce potential differences within the second layers during the step of forming the grooves in the first layer. | 10-21-2010 |
20100273097 | PELLICLE - A pellicle is provided that includes an aluminum pellicle frame having an anodized layer on its entire surface; and a pellicle film stretched over and affixed to an end face of the pellicle frame, the anodized layer having a thickness of 4 to 8 μm. | 10-28-2010 |
20100273098 | MASK BLANK, PRODUCTION METHOD OF MASK BLANK AND PRODUCTION METHOD OF MASK - A mask blank that can be formed without causing a shape defect in a transfer pattern having a high resolution. A mask blank ( | 10-28-2010 |
20100279211 | METHOD FOR DESIGNING ASSISTANT PATTERN - The invention is directed to a method for designing an assistant pattern of a mask pattern on a mask. The mask pattern has an assistant pattern arrangement region around a main pattern. The method comprising defining a reverse pattern of the main pattern. The reverse pattern is shrunken to be a first shrunken pattern with a first shrinking proportion and a first margin placed within the assistant pattern arrangement region. The reverse pattern is shrunken to be a second shrunken pattern with a second shrinking proportion and a second margin placed within the assistant pattern arrangement region. The first shrunken pattern and the second shrunken pattern are merged to define an assistant pattern of the mask pattern, wherein the assistant pattern entirely surrounds the main pattern. | 11-04-2010 |
20100279212 | PHOTOMASK - A photomask is provided that includes a transparent substrate having a pattern region and a pellicle frame-mounting region formed thereon, wherein the pellicle frame-mounting region is formed outside the outer periphery of the pattern region, and at least the pellicle frame-mounting region is covered with a light shielding film. Specifically, there is provided a pellicle-equipped photomask comprising a photomask comprising a pellicle frame-mounting region covered with a light shielding film formed outside the outer periphery of the pattern region on a transparent substrate; and a pellicle comprising at least a pellicle film and a pellicle frame, the pellicle frame being mounted on the pellicle frame-mounting region. | 11-04-2010 |
20100291475 | Silicone Coating Compositions - The present Invention relates to a novel polymer comprising a unit | 11-18-2010 |
20100291476 | Patterning A Single Integrated Circuit Layer Using Automatically-Generated Masks And Multiple Masking Layers - A multiple mask and a multiple masking layer technique can be used to pattern an IC layer. A RET can be used to define one or more fine-line patterns in a first masking layer. Portions of the fine-line features are then removed or designated for removal using a mask. This removal/designation can include accessing a desired layout (with at least one layout feature including a fine-line feature and a coarse feature) and expanding layout features only in directions along critical dimensions of those layout features. Another mask can then be used to define coarse features in a second masking layer formed over the patterned first masking layer. Coarse feature(s) can be derived from the desired layout using a shrink/grow operation performed only in directions orthogonal to a critical dimension of the fine-line features. The IC layer can be patterned using the composite mask formed by the patterned first and second masking layers. | 11-18-2010 |
20100291477 | PATTERN FORMING METHOD, PATTERN DESIGNING METHOD, AND MASK SET - A pattern designing method according to an embodiment of the present invention includes: designing a first pattern for inspection formed by arraying a plurality of first mark rows, in which rectangular marks are arrayed at predetermined intervals in a first direction, in a second direction perpendicular to the first direction and designing a second pattern for inspection formed by arraying, in the second direction, a plurality of second mark rows in which rectangular marks are arranged among the marks arrayed in the first direction of the first mark row and a forming position in the second direction is arranged to overlap the first mark row by predetermined overlapping length. | 11-18-2010 |
20100291478 | ETCHING METHOD AND PHOTOMASK BLANK PROCESSING METHOD - Disclosed herein is a dry etching method for a work layer formed over a substrate, including the steps of forming a hard mask layer over the work layer formed over the substrate, forming a resist pattern over the hard mask layer, transferring the resist pattern to the hard mask layer by first dry etching conducted using the resist pattern, and patterning the work layer by second dry etching conducted using a hard mask pattern obtained upon the transfer to the hard mask layer, wherein after the hard mask layer is patterned by the first dry etching, the patterning of the work layer by the second dry etching is conducted through changing the concentration of an auxiliary ingredient of a dry etching gas, without changing a main ingredient of the dry etching gas, in an etching apparatus in which the first dry etching has been conducted. | 11-18-2010 |
20100297539 | ANTIREFLECTIVE HARD MASK COMPOSITIONS - The invention includes new organic-containing compositions that can function as an antireflective layer for an overcoated photoresist. Compositions of the invention also can serve effectively as a hard mask layer by exhibiting a sufficient plasma etch selectivity from an undercoated layer. Preferred compositions of the invention have a high Si content and comprise a blend of distinct resins. | 11-25-2010 |
20100297540 | SULPHONIUM SALT INITIATORS - Compounds of the Formula (I), wherein L | 11-25-2010 |
20100297541 | SULPHONIUM SALT INITIATORS - Compounds of the formula (I), wherein X is a single bond, CR | 11-25-2010 |
20100304276 | MASK AND METHOD FOR FABRICATING THE SAME - A method of fabricating a mask includes sequentially depositing a phase shift layer and a light shielding layer on a transparent substrate; forming a light shielding layer pattern and a phase shift layer pattern by selectively etching the light shielding layer and the phase shift layer; forming side walls on side faces of the phase shift layer pattern; cleaning the substrate formed with the side walls; and selectively removing a portion of the light shielding layer. The side wall can be formed of an oxide formed by oxidizing the side faces of the phase shift layer pattern. | 12-02-2010 |
20100304277 | Photomask for Extreme Ultraviolet Lithography and Method for Fabricating the Same - A method for fabricating a photomask for extreme ultraviolet lithography is provided. A reflection layer reflecting extreme ultraviolet light is formed over a transparent substrate having a main chip region and a frame region. A phase shifter pattern is formed over the reflection layer to selectively expose the reflection layer. An absorber pattern is formed over the phase shifter pattern of the frame region. A reflectivity reduction region guiding the shielding of the extreme ultraviolet light is formed in the absorber pattern. | 12-02-2010 |
20100304278 | Method for Fabricating a Phase Shift Mask Using a Binary Blank - A method for fabricating a phase shift mask using a binary blank is disclosed. A light shielding pattern is formed on a transparent substrate in which a main cell region and a frame region are defined. A phase shift layer is formed that buries the light shielding pattern on the transparent substrate. A phase shift pattern is formed by removing a portion of the phase shift pattern present on the light shielding pattern. A resist layer is formed on the light shielding layer and the phase shift pattern. A resist pattern is formed that selectively exposes the light shielding pattern and the phase shift pattern in a main cell region by patterning the resist layer. The transparent substrate is exposed by etching the light shielding pattern in the main cell region using the resist pattern as an etch mask, and the resist pattern is removed. | 12-02-2010 |
20100304279 | MANUFACTURING METHOD OF PHASE SHIFT MASK, CREATING METHOD OF MASK DATA OF PHASE SHIFT MASK, AND MANUFACTURING METHOD OF SEMICONDUCTOR DEVICE - A phase shift mask having a plurality of mask patterns or mask data thereof is prepared, and an overlapped focus range in each of the mask patterns in a case where a result of exposure to each of the mask patterns, obtained by an exposure experiment or a lithography simulation, meets a desired dimension is obtained. A digging depth is determined at discretion based on the obtained overlapped focus range. | 12-02-2010 |
20100304280 | METHOD OF FORMING A TEMPLATE, AND METHOD OF MANUFACTURING A SEMICONDUCTOR DEVICE USING THE TEMPLATE - A method of manufacturing a semiconductor device using a template on which a pattern is formed beforehand is disclosed. An error between a position of the pattern formed on the template and a reference position where the pattern is to be formed is obtained. An outer shape of the template is processed in accordance with the obtained error. The error of the template is corrected by distorting the template through application of pressure to a side face of the template whose outer shape is processed. The pattern is transferred onto a transfer layer formed on a semiconductor substrate by using the template in which the error is corrected. | 12-02-2010 |
20100304281 | EXPOSURE MASK AND METHOD FOR MANUFACTURING SAME AND METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE - An exposure mask used to transfer a pattern defined by exposure onto a wafer, includes: a substrate; a pattern formation region provided on the substrate, and having pattern elements formed therein, the pattern elements having a size not smaller than a resolution limit after being transferred onto the wafer; and a sub-pattern formation region provided on the substrate and having sub-pattern elements formed therein. The sub-pattern element has a size smaller than the resolution limit after being transferred onto the wafer, and the sub-pattern formation region is spaced from the pattern formation region by a distance having no optical proximity effect on the pattern. | 12-02-2010 |
20100304282 | METHOD FOR FINISHING SURFACE OF PRELIMINARY POLISHED GLASS SUBSTRATE - A glass substrate obtained by a method including measuring flatness of a glass substrate surface and measuring concentration distribution of dopant in the substrate. Processing conditions of the surface are set up for each site of the substrate based on results from the measuring the flatness and the measuring the distribution, and the finishing is carried out while keeping an angle formed by normal line of the substrate and incident beam onto the surface at from 30 to 89°. The surface is subjected to second finishing for improving an RMS in a high spatial frequency region. The surface after the second finishing satisfies the requirements: an RMS slope in the region that 5 μm<λ(spatial wavelength)<1 mm is not more than 0.5 mRad and an RMS slope in the region that 250 nm<λ(spatial wavelength)<5 μm is not more than 0.6 mRad. | 12-02-2010 |
20100304283 | REFLECTIVE MASK BLANK FOR EUV LITHOGRAPHY - A reflective mask blank for EUV lithography is provided which has an absorber layer wherein stress and crystal structure can be easily controlled. | 12-02-2010 |
20100310972 | PERFORMING DOUBLE EXPOSURE PHOTOLITHOGRAPHY USING A SINGLE RETICLE - A reticle includes a first pattern formed in a first die flash region of the reticle and a second pattern different than the first pattern formed in a second die flash region of the reticle. A method for patterning a wafer having a plurality of die regions defined thereon includes exposing a first die region using a first pattern formed on a reticle during a first exposure, repositioning the reticle, and exposing the first die region using a second pattern formed on the reticle during a second exposure. | 12-09-2010 |
20100310973 | Pressure body and pellicle mounting apparatus - A pressure body comprises: three or more pressure pins | 12-09-2010 |
20100310974 | Method of fabricating photo mask for organic light emitting display and photo mask so fabricated - A method of fabricating a photo mask for an organic light emitting display comprises forming a light shielding layer on a transparent substrate, coating the light shielding layer with an electron beam resist, performing exposure on the electron beam resist by a vector scan method in accordance with a specific pattern and using an electron beam having a predetermined accelerating voltage, developing the exposed electron beam resist to form an electron beam resist pattern having the specific pattern, and etching the light shielding layer using the electron beam resister pattern as an etching mask. The specific pattern has a shape corresponding to transistors included in a pixel of an organic light emitting display and elements that constitute a capacitor. | 12-09-2010 |
20100316938 | MULTI-CHIP RETICLE PHOTOMASKS - A multi-chip reticle, methods of designing and fabricating multi-chip reticles, a system for designing a multi-chip reticle, and a method of fabricating integrated circuit chips using the multi-chip reticle. The multi-chip reticle includes a transparent substrate having two or more separate chip images arranged in an array, each chip image of said two or more chip images having only one type of reticle image, wherein at least two of said two more chip images have different types of reticle images. | 12-16-2010 |
20100316939 | Photolithography Monitoring Mark, Photolithography Mask Comprising An Exposure Monitoring Mark, And Phase Shift Mask Comprising An Exposure Monitoring Mark - A photolithography monitoring mark on a substrate includes a plurality of sets of lines. Individual of the sets include a plurality of substantially parallel lines comprising different widths arrayed laterally outward in opposing lateral directions from an axial center of the set. The different widths decrease in each of the opposing lateral directions laterally outward from the axial center of the set. Other implementations are disclosed. | 12-16-2010 |
20100316940 | Photomask for Forming Contact Hole in Semiconductor Device - Disclosed is a photomask for forming a contact hole arranged on a wafer in a zigzag form along a transverse direction, including: a light transmitting substrate; a main pattern disposed on the light transmitting substrate with a zigzag form as an upper main pattern disposed in a relatively upper portion and a lower main pattern disposed in a relatively lower portion are arranged alternately along a transverse direction; a first lower auxiliary pattern extending in a vertical direction and disposed adjacently to a lower portion of the upper main pattern; a first upper auxiliary pattern extending in a vertical direction and disposed adjacently to an upper portion of the lower main pattern; a second lower auxiliary pattern extending in the transverse direction and connecting the first lower auxiliary patterns with each other; and a second upper auxiliary pattern extending in the transverse direction and connecting the first upper auxiliary patterns with each other. | 12-16-2010 |
20100316941 | SOLID-STATE IMAGING DEVICE PRODUCING METHOD AND EXPOSURE MASK - A solid-state imaging device producing method includes the steps of: applying a resist material onto a substrate in which a channel region is formed; forming a resist layer by exposure and development of the resist material using a mask, the resist layer having an opening and a thin-film portion, the mask having a first region through which light is transmitted and a second region through which a smaller quantity of light than that the light transmitted through the first region is transmitted; subjecting the substrate to ion implantation using the resist layer as a mask to form an impurity region; etching the substrate using the resist layer as a mask after the ion implantation to form an alignment mark; and forming an electrode on the impurity region and part of the channel region using the alignment mark as a reference. | 12-16-2010 |
20100316942 | PHOTOMASK MAKING METHOD - A photomask is manufactured from a photomask blank comprising a transparent substrate and a light-shielding film consisting of upper and lower layers of transition metal-containing silicon base materials, the content of O+N in the upper layer being higher than that of the lower layer. The light-shielding film is processed in two steps by fluorine dry etching through a resist pattern such that a lower portion of the film is left behind, and oxygen-containing chlorine dry etching for removing the remainder of the film. | 12-16-2010 |
20100323280 | Mask for EUV Lithography and Method for Exposure Using the Same - Disclosed is a mask for an EUV lithography, which includes: a mirror layer which reflects EUV incident on a substrate; an absorber pattern formed on the mirror layer so as to expose a first region and a second region in the mirror layer; and a first groove formed by recessing a third region in the first region of the mirror layer by a first depth and a second groove formed by recessing a fourth region in the second region of the mirror layer by a second depth, which is deeper than the first depth. | 12-23-2010 |
20100323281 | Pellicle - There is provided a pellicle which has a ventilation hole made through at least one frame bar for adjusting the pressure inside the frame to the atmospheric pressure, and a filter to cover up the external opening of the ventilation hole for preventing entrance of a foreign substance, and at least one of two openings of the ventilation hole is chamfered to a degree selected from a group consisting of | 12-23-2010 |
20100323282 | METHOD OF CORRECTING A FLARE AND COMPUTER PROGRAM PRODUCT - A method of correcting a flare comprising: calculating a distribution of a flare value corresponding to pattern data on the pattern data as a flare map; calculating an occupancy of a pattern having a predetermined flare value on the pattern data as a flare value occupancy for each flare value, by using the flare map; determining a reference flare value to be a reference of the flare value based on the distribution of the flare value occupancy; and performing a pattern correction corresponding to the flare value with a pattern correction amount at the reference flare value as a reference. | 12-23-2010 |
20100323283 | OPTICAL COMPENSATION DEVICES, SYSTEMS, AND METHODS - Photolithographic apparatus, systems, and methods that make use of optical compensation devices are disclosed. In various embodiments, an imaging mask includes an optically transmissive substrate. A first patterned region is formed on the substrate, and a second patterned region is formed on the substrate that is proximate to the first patterned region, the first patterned region and the second patterned region each having a plurality of optically transmissive and optically attenuating regions formed on the mask. An optical compensation region is positioned proximate to at least one of the first patterned region and the second patterned region that is configured to change a phase of the illumination radiation incident on the at least one of the first patterned region and the second region by altering an optical property of the substrate. | 12-23-2010 |
20100330464 | Method of Correcting an Error in Phase Difference in a Phase Shift Mask - Disclosed is a method of correcting an error in a phase difference in a phase shift mask, in which a phase shift pattern is formed on a light transmitting substrate, wherein the method includes: determining generation of an error in a phase difference by measuring a phase of a light transmitted through the light transmitting substrate and a phase of a light transmitted through the phase shift pattern; and correcting the error in the phase difference by coating a self-assembled monolayer on the light transmitting substrate when the error of the phase difference is generated. | 12-30-2010 |
20100330465 | Photomask For Forming A Line-Type Pattern And Method Of Fabricating The Pattern Using The Photomask - Disclosed is a photomask for forming a line-type pattern extending in a first direction, which includes a light transmitting substrate, and a main pattern corresponding to the line-type pattern provided with a plurality of unit patterns slanted relative to the first direction by a predetermined angle and arranged on the light transmitting substrate along the first direction. | 12-30-2010 |
20100330466 | PELLICLE FRAME AND LITHOGRAPHIC PELLICLE - A pellicle frame is provided that comprises a pellicle frame bar having a quadrilateral cross-section, wherein an upper edge and a lower edge of a basic quadrilateral forming said cross-section are parallel to each other and each of side edges of the basic quadrilateral has one quadrilateral recess. There is also provided a lithographic pellicle that includes a pellicle film stretched over one end face of the pellicle frame via a pellicle film adhesive, and that includes an exposure master plate adhesive on the other end face. | 12-30-2010 |
20100330467 | PELLICLE FRAME AND LITHOGRAPHIC PELLICLE - A pellicle frame is provided that includes a pellicle frame bar having a cross-section with a shape that has a curved line-containing recess in at least one side edge of a quadrilateral having an upper edge and a lower edge parallel to each other and an area of no greater than 20 mm | 12-30-2010 |
20100330468 | HALFTONE MASK AND MANUFACTURING METHOD THEREOF AND METHOD FOR FORMING FILM USING THE SAME - Embodiments relate to halftone masks that can uniformly form the height of an underlying layer in two regions that are spaced apart from each other, a manufacturing method thereof, and a method for forming a film using the same. The halftone mask includes a first light blocking unit and a second light blocking unit, and a semi-transmitting unit that is disposed adjacent to the side of the second light blocking unit. The first and second light blocking units block light and are spaced apart from each other at a predetermined interval. The semi-transmitting unit is positioned at a side far from the first light blocking unit and reduces intensity of light. Sum of the second length of the second light blocking unit and the third length of the semi-transmitting unit is larger than the first length of the first blocking unit. | 12-30-2010 |
20100330469 | Overlay Target for Polarized Light Lithography - A target and method for use in polarized light lithography. A preferred embodiment comprises a first structure located on a reference layer, wherein the first structure is visible through a second layer, and a second structure located on the second layer, wherein the second structure is formed from a photomask containing a plurality of sub-structures oriented in a first orientation, wherein a polarized light is used to pattern the second structure onto the second layer, and wherein a polarization of the polarized light is the same as the orientation of the plurality of sub-structures. The position, size, and shape of the second structure is dependent upon a polarity of the polarized light, permitting a single design for an overlay target to be used with different polarities of polarized light. | 12-30-2010 |
20100330470 | REFLECTIVE MASK BLANK FOR EUV LITHOGRAPHY AND REFLECTIVE MASK FOR EUV LITHOGRAPHY - To provide an EUV mask of which a decrease in the contrast of reflected light at the mask pattern boundary, particularly a decrease in the contrast of reflected light at the boundary on the mask pattern outer edge, is suppressed, and an EUV mask blank to be used for production of the EUV mask. | 12-30-2010 |
20110014551 | RETICLE AND MANUFACTURING METHOD OF SOLID-STATE IMAGE SENSOR - A reticle includes: a repetition pattern; and a peripheral pattern. One of the repetition pattern and peripheral pattern is a first pattern with a first side in a first direction and the other is a second pattern with a second side in the first direction. The first side has a first length equal to or longer than a second length of the second side. The first length is n (n is an integer equal to or larger than 1) times as large as the second length. The first pattern has at least one of first misalignment measurement patterns provided at positions distant by a third length and ((the third length)+(n−1)×(the second length)) from an upper end of the first pattern. The third length is equal to or smaller than the second length. The second pattern has a second misalignment measurement pattern provided at a position distant by the third length from an upper end of the second pattern. | 01-20-2011 |
20110014552 | Method and Apparatus for Performing Dark Field Double Dipole Lithography (DDL) - A method of generating complementary masks for use in a dark field double dipole imaging process. The method includes the steps of identifying a target pattern having a plurality of features, including horizontal and vertical features; generating a horizontal mask based on the target pattern, where the horizontal mask includes low contrast vertical features. The generation of the horizontal mask includes the steps of optimizing the bias of the low contrast vertical features contained in the horizontal mask; and applying assist features to the horizontal mask. The method further includes generating a vertical mask based on the target pattern, where the vertical mask contains low contrast horizontal features. The generation of the vertical mask includes the steps of optimizing the bias of low contrast horizontal features contained in the vertical mask; and applying assist features to the vertical mask. | 01-20-2011 |
20110014553 | SEMICONDUCTOR DEVICE WITH A BULB-TYPE RECESS GATE - An exposure mask includes a plurality of active region patterns, and a plurality of recess patterns with a first line width, passing across the active region patterns, wherein the line width of at least one of the plurality of recess patterns neighboring one of the plurality of active region patterns is narrowed down into a second line width. | 01-20-2011 |
20110020737 | REFLECTION-TYPE EXPOSURE MASK AND METHOD OF MANUFACTURING A SEMICONDUCTOR DEVICE - A reflection-type exposure mask includes a multilayer reflective film in a main surface and serving as a high reflective region to an exposure light, and an absorber pattern on the multilayer reflective film and serving as a low reflective region to the exposure light, wherein a phase difference between reflection lights of the exposure light from the multilayer reflective film and the absorber pattern is in a range of 180°±10°, and the absorber pattern includes first and second linear patterns having longitudinal directions intersecting at right angles, contrast values of optical images of the first and second linear patterns formed on a wafer is to be 0.6 or more when one of the longitudinal directions of the first and second patterns agree with an incident direction of the exposure light to the main surface viewed from above the main surface. | 01-27-2011 |
20110027698 | Apparatus and method for repairing photo mask - An apparatus for repairing a photo mask, including a repairing atomic force microscope configured to repair a defective portion of the photo mask in a photo mask repair process, an electron microscope configured to navigate the repairing atomic electron microscope to the defective portion of the photo mask and to observe the photo mask repair process, and an imaging atomic microscope configured to image in-situ a shape of a repaired photo mask. | 02-03-2011 |
20110027699 | REDUCING ION MIGRATION OF ABSORBER MATERIALS OF LITHOGRAPHY MASKS BY CHROMIUM PASSIVATION - The deterioration of photomasks caused by chromium migration in COG masks may be reduced or suppressed by avoiding substantially pure chromium materials or encapsulating these materials, since the chromium layer has been identified as a major contributor to the chromium diffusion. | 02-03-2011 |
20110027700 | PELLICLE - A pellicle for lithography is provided that include a pellicle frame, a pellicle film stretched over one end face of the pellicle frame, and a pressure-sensitive adhesive layer provided on the other end face, the pressure-sensitive adhesive layer having a bubble content of 10 to 90 volume %. | 02-03-2011 |
20110027701 | MASK BLANK TRANSPARENT SUBSTRATE MANUFACTURING METHOD, MASK BLANK MANUFACTURING METHOD, AND EXPOSURE MASK MANUFACTURING METHOD - A method includes a preparation step of preparing a transparent substrate having a precision-polished main surface, a surface shape information obtaining step of obtaining, as surface shape information, height information at a plurality of measurement points on the main surface of the transparent substrate that contacts a mask stage of an exposure apparatus, a simulation step of obtaining, based on the surface shape information and shape information of the mask stage, height information at the plurality of measurement points by simulating the state where the transparent substrate is set in the exposure apparatus, a flatness calculation step of calculating, based on the height information obtained through the simulation, a flatness of the transparent substrate when it is set in the exposure apparatus, a judging step of judging whether or not the calculated flatness satisfies a specification, and a thin film forming step of forming a thin film as serving as a mask pattern, on the main surface of the transparent substrate whose flatness satisfies the specification. | 02-03-2011 |
20110027702 | HARDCOAT COMPOSITION - A hardcoat composition comprises (a) one or more epoxy silane compounds, (b) one or more epoxy-functionalized perfluoropolyether acrylate oligomers, and (c) photoacid generator. | 02-03-2011 |
20110027703 | REFLECTIVE MASK BLANK, REFLECTIVE MASK, AND METHOD OF MANUFACTURING THE SAME - A reflective mask blank includes a substrate, a multilayer reflective film formed on the substrate and having a structure in which a high refractive index layer and a low refractive index layer are alternately laminated, and an absorbing film stacked on the multilayer reflective film and adapted to absorb EUV exposure light. The absorbing film includes a phase shift layer adapted to give a predetermined phase difference to the EUV exposure light having passed therethrough and reflected by the multilayer reflective film with respect to the EUV exposure light directly incident on and reflected by the multilayer reflective film, and an absorber layer stacked on the phase shift layer and adapted to absorb and attenuate the EUV exposure light passing therethrough, either alone or jointly with the phase shift layer. | 02-03-2011 |
20110033785 | METHOD OF FABRICATING INTEGRATED CIRCUIT USING ALTERNATING PHASE-SHIFT MASK AND PHASE-SHIFT TRIM MASK - An integrated circuit is fabricated using photolithography by selectively exposing a photoresist layer to pattern a coarse line region of a wafer layer using a trim mask, and to pattern a fine line region of the wafer layer using an alternating phase-shift mask. The trim mask includes transparent, attenuated phase-shift and opaque regions. The phase-shifted attenuated light region patterns the coarse line region and the opaque region keeps light from exposing the fine line region. The alternating phase-shift mask patterns only the fine line region and includes one or more alternating phase-shift regions that each overlaps at least a portion of the opaque region but does not overlap the attenuated phase-shift region. The alternating phase-shift mask may be used to pattern the trim mask. | 02-10-2011 |
20110033786 | PITCH MULTIPLICATION USING SELF-ASSEMBLING MATERIALS - Self-assembling materials, such as block copolymers, are used as mandrels for pitch multiplication. The copolymers are deposited over a substrate and directed to self-assemble into a desired pattern. One of the blocks forming the block copolymers is selectively removed. The remaining blocks are used as mandrels for pitch multiplication. Spacer material is blanket deposited over the blocks. The spacer material is subjected to a spacer etch to form spacers on sidewalls of the mandrels. The mandrels are selectively removed to leave free-standing spacers. The spacers may be used as pitch-multiplied mask features to define a pattern in an underlying substrate. | 02-10-2011 |
20110039193 | SOLID INKS FOR PRINTED MASKS - A printed mask derived from a composition comprised of at least one compound including at least one alkaline-hydrolyzable group, and at least one compound including at least one ethylene oxide group. The printed mask is removable using an alkaline solution in about 30 seconds or less. | 02-17-2011 |
20110039194 | SOLID INKS FOR MASKS FOR PRINTED CIRCUIT BOARDS AND OTHER ELECTRONIC DEVICES - A printed mask derived from a composition comprised of at least one compound including at least one alkaline-hydrolyzable group, at least one compound including at least one ethylene oxide group and at least one ultraviolet radiation blocking agent, wherein the printed mask is removable using an alkaline solution in about 30 seconds or less. | 02-17-2011 |
20110045387 | Method of Forming a Relief Pattern by E-Beam Lithography Using Chemical Amplification, and Derived Articles - A method of generating a relief pattern comprises disposing a resist composition on a substrate to form a film, the resist composition comprising a first silsesquioxane polymer of the formula ( | 02-24-2011 |
20110045388 | MASKS FOR MICROLITHOGRAPHY AND METHODS OF MAKING AND USING SUCH MASKS - Masks for microlithography apparatus, methods for making such masks, and methods for exposing photosensitive materials to form arrays of microfeatures on semiconductor wafers using such masks. In one embodiment, a method of making a mask comprises forming a mask layer on a substrate and identifying a first opening in the mask layer corresponding to a first feature site at which an intensity of the radiation at a focal zone is less than the intensity of the radiation at the focal zone for a second feature site corresponding to a second opening in the mask. The second opening is adjacent or at least proximate the first opening. The method can further include forming a first surface at the first opening and a second surface at the second opening such that radiation passing through the second opening constructively interferes with radiation passing through the first opening at the focal zone. | 02-24-2011 |
20110045389 | Method to Recover the Exposure Sensitivity of Chemically Amplified Resins from Post Coat Delay Effect - Methods of fabricating a photomask, methods of treating a chemically amplified resist-coated photomask blank, a photomask blank resulting from the methods, and systems for fabricating a photomask are provided. The method is useful for recovering the exposure sensitivity of a chemically amplified resist disposed on a photomask blank from a post-coat delay effect. | 02-24-2011 |
20110053056 | Method for Fracturing and Forming a Pattern Using Curvilinear Characters with Charged Particle Beam Lithography - In the field of semiconductor production using shaped charged particle beam lithography, a method and system for fracturing or mask data preparation or proximity effect correction is disclosed, wherein a series of curvilinear character projection shots are determined for a charged particle beam writer system, such that the set of shots can form a continuous track, possibly of varying width, on a surface. A method for forming a continuous track on a surface using a series of curvilinear character projection shots is also disclosed. Methods for manufacturing a reticle and for manufacturing a substrate such as a silicon wafer by forming a continuous track on a surface using a series of curvilinear character projection shots is also disclosed. | 03-03-2011 |
20110053057 | MASK BLANK, TRANSFER MASK, AND METHODS OF MANUFACTURING THE SAME - Provided is a mask blank for producing a transfer mask adapted to ArF excimer laser exposure light. The mask blank has a light-shielding film on a transparent substrate. The light-shielding film has a structure in which a light-shielding layer and a front-surface antireflection layer are laminated in this order from the transparent substrate side. The light-shielding layer is made of a material containing tantalum and nitrogen. The front-surface antireflection layer is made of a material containing tantalum and silicon and further containing one or more elements selected from oxygen and nitrogen. | 03-03-2011 |
20110053058 | SEMICONDUCTOR DEVICE FABRICATION MASK AND METHOD OF MANUFACTURING THE SAME - According to one embodiment, a semiconductor device fabrication mask comprises a light-transmitting substrate, and a semi-light-shielding pattern and a light-shielding pattern formed on portions of the light-transmitting substrate, wherein the concentration of an S-containing material is 0.4% or less within the range of a depth of 1 nm from the exposed surface of the light-transmitting substrate, the surface of the semi-light-shielding pattern, and the surface of the light-shielding pattern. | 03-03-2011 |
20110053059 | MASK BLANKS - The present invention provides a mask blank which comprises a substrate made of a synthetic quartz glass and a light-shielding film laminated on a surface of the substrate and is for use in a semiconductor device production technique employing an exposure light wavelength of 200 nm or shorter, wherein the mask blank has a birefringence, as measured at a wavelength of 193 nm, of 1 nm or less per substrate thickness. According to the present invention, mask blanks suitable for use in the immersion exposure technique and the polarized illumination technique are provided. | 03-03-2011 |
20110059390 | MASK BLANK GLASS SUBSTRATE MANUFACTURING METHOD, MASK BLANK MANUFACTURING METHOD, MASK MANUFACTURING METHOD, MASK BLANK GLASS SUBSTRATE, MASK BLANK, AND MASK - A method of manufacturing a mask blank glass substrate or mask blank that includes a mark forming step, and a mask blank glass substrate or mask blank that includes a mark. The mark is a pit formed by irradiating laser light onto a mirror-like surface in an area, having no influence on transfer, on a surface of the mask blank glass substrate. The pit is used as a marker for individually identifying or managing the mask blank glass substrate. The marker may be correlated with information including at least one of substrate information about the mask blank glass substrate, thin film information about the mask pattern thin film, and resist film information about the resist film. A mask blank glass substrate with marker correlated to at least one of the resist film information and thin film information may be used to manufacture a new mask blank. | 03-10-2011 |
20110059391 | REFLECTIVE MASK, REFLECTIVE MASK BLANK AND METHOD OF MANUFACTURING REFLECTIVE MASK - A reflective mask of this invention includes a multilayer reflective film ( | 03-10-2011 |
20110065027 | FLARE CORRECTION METHOD, METHOD FOR MANUFACTURING MASK FOR LITHOGRAPHY, AND METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE - In one embodiment, a flare correction method is disclosed. The method can acquire a flare point spread function. The method can calculate a pattern density distribution in a first region of the mask, the distance from the pattern being equal to or shorter than a predetermined value in the first region. The method can calculate pattern coverage in a second region of the mask, the distance from the pattern being longer than the predetermined value. The method can calculate a first flare distribution with respect to the pattern by performing convolution integration between the flare point spread function corresponding to the first region and the pattern density distribution. The method can calculate a flare value corresponding to the second region by multiplying a value of integral of the flare point spread function corresponding to the second region by the pattern coverage. The method can calculate a second flare distribution by adding the flare value to the first flare distribution. In addition, the method can correct the pattern based on the second flare distribution. | 03-17-2011 |
20110065028 | PATTERN GENERATING METHOD, MANUFACTURING METHOD OF MASK, AND MANUFACTURING METHOD OF SEMICONDUCTOR DEVICE - According to the embodiments, each of a main pattern of a mask to be transferred onto a substrate by using a lithography process, a first assist pattern that improves a resolution of an on-substrate pattern obtained by transferring the main pattern onto the substrate, and a second assist pattern that suppresses a transfer property of the first assist pattern onto the substrate is placed as a mask pattern. | 03-17-2011 |
20110065029 | Method of manufacturing mask structure - A method of forming a mask structure for an extreme ultraviolet ray lithography (EUVL) process includes defining a substrate including a first area and a second area, such that the first area has a pattern structure configured to selectively transmit light for the EUVL process and the second area encloses the first area, forming a reflection layer on the substrate, the reflection layer including alternately stacked molybdenum layers and silicon layers on the substrate, forming a capping layer on the reflection layer, forming an absorption pattern on the capping layer, the absorption pattern including a central portion corresponding to the first area of the substrate and a peripheral portion corresponding to the second area of the substrate, and forming a blind layer on the peripheral portion of the absorption pattern. | 03-17-2011 |
20110070531 | PHOTOMASK DESIGNING METHOD, PHOTOMASK MANUFACTURING METHOD, AND PHOTOMASK DESIGNING PROGRAM - In one embodiment, a photomask designing method is disclosed. The method includes dividing design pattern data into predetermined regions and obtaining a pattern perimeter for each of the divided regions. The method includes obtaining the pattern perimeter for an entire region of the design pattern data by repeating the obtaining the pattern perimeter for the each of the divided regions. The method includes obtaining a dimension conversion difference for the entire region of the design pattern data using the pattern perimeter for the entire region of the design pattern data and a correlation obtained in advance between a predicted pattern perimeter and a predicted dimension conversion difference. The method includes performing process proximity correction on the design pattern data using a value of the obtained dimension conversion difference, and creating exposure pattern data from the corrected design pattern data. | 03-24-2011 |
20110070532 | Color filter manufacturing method, patterned substrate manufacturing method, and small photomask - A color filter manufacturing method for forming a filter segment and a black matrix by repeating at least a coating step of coating a substrate with a photosensitive resin layer, a pattern exposure step of curing the photosensitive resin layer by pattern exposure, a developing step of developing the exposed photosensitive resin layer, and a sintering step of thermosetting the developed photosensitive resin layer, in this order a plurality of number of times, characterized in that in the exposure step, a laser is used as a light source, and proximity exposure is performed by repetitively emitting the laser via photomask such that a cumulative exposure amount is 1 to 150 mJ/cm | 03-24-2011 |
20110070533 | PHOTOMASK BLANK, PHOTOMASK , AND METHOD FOR MANUFACTURING PHOTOMASK BLANK - The present invention provides a photomask blank used for producing a photomask to which an ArF excimer laser light is applied, wherein: a light-shielding film is provided on a light transmissive substrate; the light-shielding film has a laminated structure in which a back-surface antireflection layer, a light-shielding layer and a front-surface antireflection layer are laminated in this order from the side close to the light transmissive substrate; the thickness of the entire light-shielding film is 70 nm or less; the back-surface antireflection layer is made of a film containing a metal and has a first etching rate; the front-surface antireflection layer is made of a film containing a metal and has a third etching rate; the light-shielding layer is made of a film containing the same metal as that contained in the back-surface antireflection layer or the front-surface antireflection layer and has a second etching rate that is lower than the first etching rate and the third etching rate; and the thickness of the light-shielding layer is 45% or less of the thickness of the entire light-shielding film. | 03-24-2011 |
20110070534 | REFLECTIVE MASK BLANK FOR EUV LITHOGRAPHY - To provide a reflective mask blank for EUV lithography having a low reflective layer having a low reflectance in the wavelength region of EUV light and an inspection light for a mask pattern, particularly having low reflection properties in the entire wavelength region (190 to 260 nm) of an inspection light for a mask pattern, and having a high etching rate in chlorine type gas etching. | 03-24-2011 |
20110076599 | APPARATUS AND METHOD FOR ALIGNING MASK - An apparatus and method for aligning a mask that includes disposing and firstly aligning a mask over a first substrate, with a space interposed therebetween, bringing the mask into contact with the first substrate and then measuring the alignment state of the mask with respect to the first substrate to detect an alignment error, secondly aligning the mask with respect to the first substrate based on the alignment error, transferring the first substrate to the next process, disposing and thirdly aligning the mask over a second substrate with the space interposed therebetween, and bringing the mask into contact with the second substrate. | 03-31-2011 |
20110076600 | Method For Manufacturing Parallax Barrier And Method For Manufacturing Photomask - A method for manufacturing a parallax barrier including light blocking portions that block light and openings that transmit light, includes: a light-blocking layer formation step of forming a light-blocking layer on a light-transmissive substrate; a pattern formation step of forming the openings, which are formed of a plurality of rectangular ones, thereto; and a cutting step of cutting the light-transmissive substrate along a first cutting direction inclined to the first formation direction by a predetermined angle and a second cutting direction inclined to the second formation direction by the angle, in the pattern formation step, the plurality of openings disposed along the first formation direction formed in such a way that the openings are disposed stepwise shifted in the second formation direction and follow the first cutting direction. | 03-31-2011 |
20110081603 | PELLICLE - A pellicle for lithography is provided that includes a pellicle frame, a pellicle film stretched over one end face of the pellicle frame, and a pressure-sensitive adhesion layer provided on the other end face, the pressure-sensitive adhesion layer being formed from a gel composition. | 04-07-2011 |
20110081604 | Pellicle for lithography and a method for making the same - There is provided a method for manufacturing a pellicle in which the pellicle frame is prepared by being heated at a predetermined temperature while constricting the frame to some extent of flatness to achieve a desired flatness and future stability against heat. | 04-07-2011 |
20110081605 | PHOTOMASK BLANK AND METHOD FOR MANUFACTURING THE SAME - The present invention provides a photomask blank in which a light-shielding film consisting of a plurality of layers is provided on a light transmissive substrate, wherein a layer that is provided to be closest to the front surface is made of CrO, CrON, CrN, CrOC or CrOCN, and wherein the atom number density of the front-surface portion of the light-shielding film is 9×10 | 04-07-2011 |
20110086296 | PHASE SHIFT MASKS - A phase shift mask having a first region and a second region in a transverse direction includes a transparent layer, a phase shift pattern disposed in the first region, a transmittance control layer pattern disposed in the second region, and a shading layer pattern disposed on the transmittance control layer pattern. The phase shift pattern has a first pattern including a transparent material and a second pattern including metal. The phase shift mask may prevent haze effects through a cleaning process using an alkaline cleaning solution. | 04-14-2011 |
20110086297 | Programmable Self-Aligning Liquid Magnetic Nanoparticle Masks and Methods for Their Use - Magnetic nanoparticle masks for lithographic applications of a substrate and methods for producing such masks via defining regions of localized magnetic field maxima and minima on a substrate are provided. Also provided are methods for producing multi-component patterns on a substrate with the magnetic nanoparticle masks. | 04-14-2011 |
20110091797 | SUPERIMPOSE PHOTOMASK AND METHOD OF PATTERNING - Provided is a photomask that includes a substrate having a first region and a second region, a first pattern disposed in the first region of the substrate, and a second pattern disposed in the second region of the substrate. The first and second patterns are a decomposition of a design pattern to be transferred onto a wafer in a lithography process. | 04-21-2011 |
20110097652 | TRANSPARENT ARTICLE - An inspection method of transparent articles wherein presence or absence of optical inhomogeneities within the transparent articles can be accurately inspected is provided. | 04-28-2011 |
20110097653 | METHOD, PROGRAM PRODUCT AND APPARATUS FOR PERFOMING DECOMPOSITION OF A PATTERN FOR USE IN A DPT PROCESS - A method of decomposing a target pattern containing features to be printed on a wafer into multiple patterns. The method includes the steps of: (a) defining a region of influence which indicates the minimum necessary space between features to be imaged; (b) selecting a vertex associated with a feature of the target pattern; (c) determining if an edge of another feature is within the region of influence with respect to the vertex; and (d) splitting the another feature into two polygons if the edge of another feature is within the region of influence. | 04-28-2011 |
20110104591 | Methods of Fabricating Halftone Phase Shift Blank Photomasks and Halftone Phase Shift Photomasks - Halftone phase shift photomasks are provided including a substrate configured to transmit light; a shift pattern on the substrate, the shift pattern including a pattern area on a center portion of the substrate and a blind area disposed on a periphery of the substrate, the shift pattern of the blind area having a greater thickness than a thickness that of the pattern area, and being configured to partially transmit the light; and a light shielding pattern formed on the shift pattern in the blind area and being configured to shield the light. Related methods are also provided herein. | 05-05-2011 |
20110104592 | PHOTOMASK BLANK, PHOTOMASK, AND METHOD FOR MANUFACTURING PHOTOMASK BLANK - The present invention provides a photomask blank used for producing a photomask to which an ArF excimer laser light is applied, wherein: a light-shielding film is provided on a light transmissive substrate; the light-shielding film has a laminated structure in which a back-surface antireflection layer, a light-shielding layer and a front-surface antireflection layer are laminated in this order from the side close to the light transmissive substrate; the thickness of the entire light-shielding film is 60 nm or less; the back-surface antireflection layer is made of a film containing a metal and has a first etching rate; the front-surface antireflection layer is made of a film containing a metal and has a third etching rate; the light-shielding layer is made of a film containing the same metal as that contained in the back-surface antireflection layer or the front-surface antireflection layer and has a second etching rate that is lower than the first etching rate and the third etching rate; and the thickness of the light-shielding layer is 30% or less of the thickness of the entire light-shielding film. | 05-05-2011 |
20110104593 | PHASE-SHIFT MASK AND METHOD OF FORMING THE SAME - In an attenuated phase-shift mask (PSM) and a method of forming the same, a phase-shift layer and a light-shielding layer are sequentially stacked on a transparent substrate. The phase-shift layer and the light-shielding layer are sequentially removed from the substrate, to form a light-shielding pattern including a first opening and a phase-shift pattern including a second opening that is connected to the first opening and partially exposes the transparent substrate. Then, a transmitting portion is formed through the light-shielding pattern by partially removing the light-shielding pattern. The transmitting portion includes at least one portion of the phase-shift pattern on which a transmittance controller is formed. In one embodiment, the transmittance controller comprises a metal having a high absorption coefficient, and is formed through sputtering and diffusion processes. Accordingly, the intensity deviation between 0 | 05-05-2011 |
20110104594 | Method for Manufacturing a Surface and Integrated Circuit Using Variable Shaped Beam Lithography - A method is disclosed in which a plurality of variable shaped beam (VSB) shots is used to form a desired pattern on a surface. In this method some shots within the plurality of shots overlap each other. Additionally, the union of any subset of the plurality of shots differ from the desired pattern. In some embodiments, dosages of the shots vary with respect to each other. In other embodiments, an optimization technique may be used to minimize shot count. In yet other embodiments, the plurality of shots may be optionally selected from one or more pre-computed VSB shots or groups of VSB shots. The method of the present disclosure may be used, for example, in the process of manufacturing an integrated circuit by optical lithography using a reticle, or in the process of manufacturing an integrated circuit using direct write. | 05-05-2011 |
20110104595 | REFLECTIVE MASK BLANK FOR EUV LITHOGRAPHY AND MASK FOR EUV LITHOGRAPHY - Provision of an EUV mask whereby an influence of reflected light from a region outside a mask pattern region is suppressed, and an EUV mask blank to be employed for production of such an EUV mask. | 05-05-2011 |
20110111330 | METHOD OF CREATING PHOTOLITHOGRAPHIC MASKS FOR SEMICONDUCTOR DEVICE FEATURES WITH REDUCED DESIGN RULE VIOLATIONS - A method of creating photolithographic masks for semiconductor device features with reduced design rule violations is provided. The method begins by providing preliminary data that represents an overall mask pattern. The preliminary data is processed to decompose the overall mask pattern into a plurality of component mask patterns. Next, a design rule check is performed on the plurality of component mask patterns to identify tip-to-tip and tip-to-line violations in the plurality of component mask patterns. The method continues by modifying at least one of the plurality of component mask patterns in accordance with the identified violations to obtain a modified set of component mask patterns, wherein each mask pattern in the modified set of component mask patterns is void of tip-to-tip and tip-to-line violations. Photolithographic masks are then created for the modified set of component mask patterns. | 05-12-2011 |
20110111331 | METAL OPTICAL GRAYSCALE MASK AND MANUFACTURING METHOD THEREOF - A metal optical grayscale mask includes a layer of metal film which is deposited on transparent substrate, and different transparency pattern which is formed by laser writing on the surface of the metal film. The pattern is continuous, in type of array or random pattern. The grayscale is within 3.0 OD-0.05 OD. The thickness of the metal film is 5-100 nm. A manufacturing method of the metal optical grayscale mask includes that the selected transparent substrate is rinsed by the general semiconductor rinse process, the metal film is deposited on the transparent substrate then different transparency pattern is formed by laser writing on the surface of the metal film. The pattern is continuous, in type of array or the random pattern. The grayscale mask is low in price, antistatic electricity performance is good, the resolution can surpass optical diffraction limit. The manufacturing method is simple. There is a wide band application for micro-optical components and large-scale production of micro-electro-mechanical systems. | 05-12-2011 |
20110111332 | PHASE SHIFT MASK BLANK AND PHASE SHIFT MASK - The present invention provides a photomask blank used for producing a photomask to which an ArF excimer laser light is applied, wherein: a light-shielding film is provided on a light transmissive substrate; the light-shielding film has a laminated structure in which a lower layer, an interlayer and an upper layer are laminated in this order from the side close to the light transmissive substrate; the thickness of the entire light-shielding film is 60 nm or less; the lower layer is made of a film containing a metal and has a first etching rate; the upper layer is made of a film containing a metal and has a third etching rate; the interlayer is made of a film containing the same metal as that contained in the lower layer or the upper layer and has a second etching rate that is lower than the first etching rate and the third etching rate; and the thickness of the interlayer is 30% or less of the thickness of the entire light-shielding film. | 05-12-2011 |
20110117479 | REFLECTIVE EXPOSURE MASK, METHOD OF MANUFACTURING REFLECTIVE EXPOSURE MASK, AND METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE - A reflective exposure mask, a method of manufacturing the reflective exposure mask, and a method of manufacturing a semiconductor device for improving yield in an EUVL (extreme-ultraviolet lithography) using a reflective exposure mask formed to a reflective exposure mask blank are provided. A reflective exposure mask for EUVL includes a low-reflectivity conductor film, a multilayer reflecting film, and an absorber formed on a mask substrate in sequence. The low-reflectivity conductor film has a reflectivity lower than reflectivities of the multilayer reflecting film and the absorber. The absorber forms an absorber pattern in a pattern region of the mask substrate. The multilayer reflecting film has a light-shielding band formed by being removed in a portion surrounding an outer periphery of the pattern region in a groove-like shape. The low-reflectivity conductor film is exposed at a bottom portion of the light-shielding band in a groove-like shape. | 05-19-2011 |
20110117480 | TITANIA AND SULFUR CO-DOPED QUARTZ GLASS MEMBER AND MAKING METHOD - A titania and sulfur co-doped quartz glass member is provided. Due to co-doping of titania and sulfur, the quartz glass member undergoes zero expansion at a certain temperature and low thermal expansion over a wide temperature range, and is thus suited for use in a commercial EUV lithography tool. A manufacturing method and an optical member for EUV lithography are also provided. | 05-19-2011 |
20110117481 | PELLICLE FOR LITHOGRAPHY - A pellicle for lithography is provided that includes a pellicle frame provided with an atmospheric pressure adjustment hole that extends through from an outer peripheral face to an inner peripheral face, a ratio S/L of a cross-sectional area S (mm | 05-19-2011 |
20110117482 | PELLICLE FOR LITHOGRAPHY - A pellicle for lithography is provided that includes a pellicle frame provided with one or more atmospheric pressure adjustment holes having an inner peripheral face with a shape that opens out in going toward the inside of the pellicle frame. There is also provided a process for producing the pellicle for lithography, the process comprising a step of forming the pellicle for lithography and a step of spray-coating a pressure-sensitive adhesive composition from inside the pellicle frame. | 05-19-2011 |
20110123912 | MANUFACTURING METHOD OF TRANSPARENT SUBSTRATE FOR MASK BLANKS, MANUFACTURING METHOD OF MASK BLANKS, MANUFACTURING METHOD OF EXPOSURE MASKS, MANUFACTURING METHOD OF SEMICONDUCTOR DEVICES, MANUFACTURING METHOD OF LIQUID CRYSTAL DISPLAY DEVICES, AND DEFECT CORRECTION METHOD OF EXPOSURE MASKS - There are provided a manufacturing method of a transparent substrate for a mask blank, a mask blank, or an exposure mask adapted to prevent occurrence of a transfer pattern defect or a mask pattern defect, by correcting a recessed defect existing on the surface of the transparent substrate, and a defect correction method of an exposure mask. | 05-26-2011 |
20110129765 | NEGATIVE RESIST COMPOSITION AND PATTERNING PROCESS - There is disclosed a negative resist composition wherein a base resin contains at least repeating units represented by the following general formula (1) and general formula (2) and has a weight average molecular weight of 1,000 to 10,000, and the compound containing a nitrogen atom as a basic component contains one or more kinds of amine compounds having a carboxyl group and not having a hydrogen atom covalently bonded to a base-center nitrogen atom. There can be a negative resist composition in which a bridge hardly occurs, substrate dependence is low and a pattern with a high sensitivity and a high resolution can be formed, and a patterning process using the same. | 06-02-2011 |
20110129766 | LITHOGRAPHIC PELLICLE - A lithographic pellicle comprises a pellicle film ( | 06-02-2011 |
20110129767 | PELLICLE FOR LITHOGRAPHY - A pellicle | 06-02-2011 |
20110136048 | PHOTOMASK AND PATTERN FORMATION METHOD USING THE SAME - A photomask includes: a transparent substrate having a transparent property against exposing light; a first light-shielding pattern formed on the transparent substrate and having a first dimension; a second light-shielding pattern formed on the transparent substrate and having a second dimension larger than the first dimension; and an opening provided in part of the transparent substrate where the first light-shielding pattern and the second light-shielding pattern are not formed. The first light-shielding pattern includes a first semi-light-shielding portion and an auxiliary pattern which is arranged within the first semi-light-shielding portion and allows the exposing light to pass through in an opposite phase with respect to the first semi-light-shielding portion. The second light-shielding pattern includes a second semi-light-shielding portion and a light-shielding portion which does not substantially allow the exposing light to pass through. | 06-09-2011 |
20110143266 | NEGATIVE RESIST COMPOSITION AND PATTERNING PROCESS - There is disclosed a negative resist composition comprising at least: (A) a base polymer that is alkaline-soluble and is made alkaline-insoluble by action of an acid; (B) an acid generator; and (C) a basic component, wherein the base polymer at least contains a polymer including repeating units represented by the following general formula (1) and general formula (2) and having a weight average molecular weight of 1,000 to 10,000. There can be a negative resist composition hardly causing a bridge in forming a pattern and providing a high resolution and a patterning process using the same. | 06-16-2011 |
20110143267 | PHOTOMASK-FORMING GLASS SUBSTRATE AND MAKING METHOD - A photomask-forming glass substrate having a square major surface is provided wherein two strip regions are defined on the major surface near a pair of opposed sides such that each region spans between 2 mm and 10 mm inward of the side and excludes end portions extending 2 mm inward from the opposed ends of the side, a least squares plane is computed for each of the two strip regions, the angle included between normal lines to the least squares planes of two strip regions is within 10 seconds, and the height difference between two strip regions is up to 0.5 μm. | 06-16-2011 |
20110143268 | Scattering Bar OPC Application Method for Sub-Half Wavelength Lithography Patterning - A method of forming a mask having optical proximity correction features, which includes the steps of obtaining a target pattern of features to be imaged, expanding- the width of the features to be imaged, modifying the mask to include assist features which are placed adjacent the edges of the features to be imaged, where the assist features have a length corresponding to the expanded width of the features to be imaged, and returning the features to be imaged from the expanded width to a width corresponding to the target pattern. | 06-16-2011 |
20110143269 | RADIATION SOURCE, LITHOGRAPHIC APPARATUS, AND DEVICE MANUFACTURING METHOD - A spectral purity filter is configured to transmit extreme ultraviolet (EUV) radiation and deflect or absorb non-EUV secondary radiation. In an embodiment, the spectral purity filter includes a body of material highly transmissive of EUV radiation and a layer of material highly reflective of non-EUV secondary radiation located on a radiation incident side of the body. In an embodiment, the spectral purity filter includes a body of material highly transmissive of EUV radiation and a layer of high emissivity material on an end of the body. | 06-16-2011 |
20110151357 | EXPOSURE DOSE MONITORING METHOD AND METHOD OF MANUFACTURING EXPOSURE DOSE MONITORING MASK - According to one embodiment, a monitoring pattern is transferred to a wafer by irradiation with EUV light by using a reflective mask including the monitoring pattern. Then, the line width of the monitoring pattern transferred to the wafer is measured, and a flare intensity distribution to be generated on the wafer is calculated in accordance with the reflecting region area of the mask and the layout direction of the monitoring pattern. After that, the measured line width of the monitoring pattern is corrected based on the calculated flare intensity distribution. Finally, the exposure dose of the monitoring pattern on the wafer is obtained from the corrected line width. | 06-23-2011 |
20110151358 | REFLECTIVE EXPOSURE MASK, METHOD OF FABRICATING REFLECTIVE EXPOSURE MASK, METHOD OF INSPECTING REFLECTIVE EXPOSURE MASK, AND METHOD OF CLEANING REFLECTIVE EXPOSURE MASK - According to one embodiment, a reflective exposure mask comprises a first layer formed on a substrate and including a first light absorbing part which absorbs exposure light and a light reflecting part which reflects the exposure light, and a second layer formed on the light reflecting part and including a second light absorbing part which absorbs the exposure light. | 06-23-2011 |
20110151359 | INTEGRATED CIRCUIT LAYOUT DESIGN - Provided is a photolithography apparatus including a photomask. The photomask includes a pattern having a plurality, of features, in an example, dummy line features. The pattern includes a first region being in the form of a localized on-grid array and a second region where at least one of the features has an increased width. The apparatus may include a second photomask which may define an active region. The feature with an increased width may be adjacent, and outside, the defined active region. | 06-23-2011 |
20110151360 | PHOTOLITHOGRAPHIC METHOD AND MASK DEVICES UTILIZED FOR MULTIPLE EXPOSURES IN THE FIELD OF A FEATURE - A photolithographic mask set for creating a plurality of characters on a device includes a plurality of photolithographic masks, wherein each mask includes at least one mask character area and at least one mask character field area that surrounds said mask character area; wherein each said mask character field area has a radiation energy density transmission factor T | 06-23-2011 |
20110159410 | COST-EFFECTIVE METHOD FOR EXTREME ULTRAVIOLET (EUV) MASK PRODUCTION - The present disclosure provides for many different embodiments. An exemplary method can include providing a blank mask and a design layout to be patterned on the blank mask, the design layout including a critical area; inspecting the blank mask for defects and generating a defect distribution map associated with the blank mask; mapping the defect distribution map to the design layout; performing a mask making process; and performing a mask defect repair process based on the mapping. | 06-30-2011 |
20110159411 | Phase-shift photomask and patterning method - A phase shift photomask blank has a quartz substrate, a lower chrome layer, a light-absorbing MoSi layer, and an upper chrome layer. This mask can be patterned in various ways to form a patterned photomask with both phase shift and binary areas. | 06-30-2011 |
20110159412 | METHOD OF CORRECTING DEFECT IN EUV MASK - Provided is a method of correcting a defect in an extreme ultraviolet (EUV) mask. A monomolecular film is chemically bonded onto a surface of a repair tip. The repair tip is positioned over a defect disposed on the EUV mask, so that the monomolecular film bonded onto the repair tip can also bond to the defect. The repair tip then moved away from the EUV mask so that the defect is separated from the EUV mask and thus removing the defect in the EUV mask. | 06-30-2011 |
20110159413 | TITANIA-DOPED QUARTZ GLASS AND MAKING METHOD - A titania-doped quartz glass which experiences a reduction in OH group concentration of less than or equal to 100 ppm upon heat treatment at 900° C. for 100 hours is suitable as the EUV lithography member. | 06-30-2011 |
20110159414 | METHOD AND SYSTEM OF FABRICATING ALTERNATING PHASE SHIFT MASK - An alternating phase shift mask is fabricated by defining transparent regions by forming light blocking patterns over a transparent substrate and forming an etch stop layer within the transparent substrate of a phase shift region among the transparent regions. The transparent substrate of the phase shift region may be etched and the etching may be deemed to be completed based upon radiation detected in an area under the etch stop layer. | 06-30-2011 |
20110159415 | ETCHING APPARATUS AND METHOD FOR FABRICATING ALTERNATING PHASE SHIFT MASK USING THE SAME - An etching apparatus includes: an etching space including a chamber; a chuck in the chamber and on which a transparent object to be etched can be loaded; a light source configured to irradiate light onto the object to be etched in order to detect a degree of etching of the object to be etched; and a detector configured to detect an intensity of the light having transmitted through the object to be etched after being emitted from the light source. | 06-30-2011 |
20110159416 | BLANK MASK AND METHOD OF FABRICATING MASK USING THE SAME - A blank mask includes a substrate, a multilayer reflection layer disposed over the substrate, a capping layer disposed over the multilayer reflection layer, a self-assembled monolayer disposed over the capping layer, a buffer layer disposed over the self-assembled monolayer, and an absorption layer disposed over the buffer layer. | 06-30-2011 |
20110159417 | METHOD FOR MANUFACTURING A PHOTOMASK - A method for manufacturing a photomask based on design data includes the steps of forming a figure element group including a figure element in a layout pattern on the photomask and a figure element affecting the figure element due to the optical proximity effect, adding identical identification data to a data group indicating an identical figure element group, estimating an influence of the optical proximity effect on the figure element group, generating correction data indicating a corrected figure element in which the influence of the optical proximity effect is compensated for at the time of exposure, creating figure data by associating data having the identical identification data with correction data having the identical identification data, and forming a mask pattern on the photomask using figure data. Thus, the computation time for correction of the layout can be reduced, thereby reducing the production time of the photomask. | 06-30-2011 |
20110165502 | METHOD AND SYSTEM FOR FEATURE FUNCTION AWARE PRIORITY PRINTING - A method and system for photomask pattern generation is provided, and more specifically, a method and system for feature function aware priority printing is provided. The method of printing a photolithographic mask includes fracturing mask design data into write shapes that are multiples of a spot size and passing fractured mask design data to a write tool. Additionally, the method includes writing one or more non-critical shapes according to one or more time-saving rules. | 07-07-2011 |
20110165503 | METHOD OF GENERATING PHOTOMASK DATA, METHOD OF FABRICATING PHOTOMASK, MEMORY MEDIUM STORING PROGRAM FOR GENERATING PHOTOMASK DATA, METHOD OF MANUFACTURING SOLID-STATE IMAGE SENSOR HAVING MICROLENS ARRAY AND METHOD OF MANUFACTURING MICROLENS ARRAY - A method of generating photomask data for fabricating a microlens array, the photomask having a microlens pattern including light-shielding portions and non-light-shielding portions, in each rectangular region including a surrounding region having four sides of the rectangular region as outer edges and a primary region having boundaries that are inner edges of the surrounding region, the surrounding region being configured by four strip regions each including one of the four sides as its part, and a width between the outer edge and the inner edge being not more than 1/2 of a wavelength of exposure light, includes determining a layout of light-shielding portions and non-light-shielding portions in the surrounding region so that a density of light-shielding portions is set to fall within a range from 0% to 15%. | 07-07-2011 |
20110165504 | REFLECTIVE MASK BLANK FOR EUV LITHOGRAPHY, PROCESS FOR PRODUCING THE SAME AND MASK FOR EUV LITHOGRAPHY - Provision of an EUV mask whereby influence of EUV reflected light from an absorber film surface in the peripheral portion of a mask pattern region is suppressed at a time of carrying out EUV lithography; an EUV mask blank to be employed for producing the above EUV mask; and a process for producing the EUV mask blank. | 07-07-2011 |
20110165505 | Photomasks, Methods of Forming Photomasks, and Methods of Photolithographically-Patterning Substrates - Some embodiments include methods of forming photomasks. A stack of at least three different materials is formed over a base. Regions of the stack are removed to leave a mask pattern over the base. The mask pattern includes a pair of spaced-apart adjacent segments of the stack. A liner is formed to cover sidewalls of the segments. Some embodiments include photomasks. The photomasks may include a transparent base supporting a pair of spaced-apart adjacent features. The spaced-apart adjacent features may include sidewalls, with inner sidewalls of the spaced-apart features being adjacent one another, and spaced from one another by a gap. A coating layer of from about 5 Angstroms thick to about 50 Angstroms thick may be along the entirety of the sidewalls of the spaced-apart adjacent features. Some embodiments include methods of photolithographically patterning substrates. | 07-07-2011 |
20110165506 | Photomasks and Methods Of Forming Photomasks - Some embodiments include methods in which a mathematical representation of a photomask construction is defined, with such representation comprising a plurality of pillars that individually contain a plurality of distinct layers. Each of the layers has two or more characteristic parameters which are optimized through an optimization loop. Subsequently, specifications obtained from the optimization loop are utilized to form actual layers over an actual reticle base. Some embodiments include photomask constructions in which a radiation-patterning topography is across a reticle base, with such topography including multiple pillars that individually contain at least seven distinct layers. | 07-07-2011 |
20110171566 | REFLECTIVE MASK BLANK FOR EUV LITHOGRAPHY - A reflective mask blank for EUV lithography having an absorbing layer which has a low reflectivity with respect to wavelength regions of EUV light and pattern inspection light, and which is easily controllable to obtain desired film composition and film thickness. | 07-14-2011 |
20110171567 | PHASE SHIFT MASK BLANK, PHASE SHIFT MASK, AND METHOD FOR MANUFACTURING PHASE SHIFT MASK BLANK - Problem: There is a demand for a phase shift mask that makes it possible to decrease the film thickness of the phase shift film, can satisfy the requirement relating to pattern accuracy, without collapsing the OPC pattern, and enables control of optical characteristics and pattern defect inspection, and also for a phase shift mask blank as an original plate for such a phase shift mask. | 07-14-2011 |
20110171568 | MASK BLANK SUBSTRATE - Provided is a mask blank substrate that can reduce the change in flatness of a main surface thereof before and after chucking to make very small the position offset caused by a photomask and that can significantly reduce the difference in tendency of substrate deformation before and after chucking between photomasks. In a mask blank substrate having two main surfaces and four end faces, a central point is set on the main surface, a first axis of symmetry that passes through the central point and that is parallel to one of the end faces and a second axis of symmetry that passes through the central point and that is perpendicular to the first axis of symmetry are respectively set, measurement points are set in the form of a grid with respect to the first axis of symmetry and the second axis of symmetry so as to measure heights of the main surface from a reference plane at the measurement points, respectively, differences each between measured height values at those measurement points located at positions that are axisymmetric with respect to the first axis of symmetry are calculated, and those differences corresponding to at least 95% of the total number of the calculated differences between the measured height values are within a predetermined value. | 07-14-2011 |
20110177435 | Photomasks having sub-lithographic features to prevent undesired wafer patterning - A photomask that is used as a light filter in an exposure system is made of at least one layer of material comprising one or more transparent regions and one or more non-transparent regions. The difference between the transparent regions and the non-transparent regions defines the features that will be illuminated by the exposure system on a photoresist that will be exposed using the exposure system. The features comprise one or more device shapes and at least one sub-lithographic shape that will be exposed upon the photoresist. The sub-lithographic shape has an sub-lithographic shape size that is limited in such a way that the sub-lithographic shape causes a physical change only in a surface of the photoresist. Therefore, because the sub-lithographic shape is so small, it avoids forming an opening through the photoresist after the photoresist is developed and only causes a change on the surface of the photoresist. | 07-21-2011 |
20110177436 | MASK BLANK AND METHOD OF MANUFACTURING A TRANSFER MASK - A mask blank includes a transparent substrate and a light-shielding film formed on the transparent substrate. The light-shielding film is made of a material composed mainly of a metal that is dry-etchable with a chlorine-based gas. A resist film is used to form a transfer pattern in the light-shielding film. An etching mask film is formed on an upper surface of the light-shielding film and is made of a material containing a transition metal, silicon, and at least one of nitrogen and oxygen. A content ratio of the transition metal to a total of the transition metal and the silicon in the etching mask film is less than 9%. | 07-21-2011 |
20110177437 | Compensating Masks, Multi-Optical Systems Using the Masks, and Methods of Compensating for 3-D Mask Effect Using the Same - Provided are a compensating mask, a multi-optical system using the compensating mask, and a method of compensating for a 3-dimensional (3-D) mask effect using the compensating mask. Methods of compensating for a 3-D mask effect using a compensating mask may include generating a first kernel corresponding to a normal mask used for forming a minute pattern, generating a second kernel corresponding to a compensating mask, mixing the first kernel corresponding to the normal mask with the second kernel corresponding to the compensating mask, and generating a multi-optical system kernel corresponding to mixing the first kernel and the second kernel. | 07-21-2011 |
20110183239 | Photolithography Mask, Blank Photomask, Reflective Photomask, and Methods of Manufacturing the Same - Photolithography masks include an optically transparent substrate having a plurality of fiducial position aligning marks on sidewalls thereof. A reflective layer is also provided on an upper surface of the optically transparent substrate. The reflective layer includes a composite of a lower reflective layer of a first material and an upper reflective layer of a second material different from the first material, on the lower reflective layer. The lower reflective layer may include molybdenum and the upper reflective layer may include silicon. An anti-reflective layer is provided on the reflective layer. | 07-28-2011 |
20110183240 | MASK BLANK, MASK BLANK MANUFACTURING METHOD, TRANSFER MASK, AND TRANSFER MASK MANUFACTURING METHOD - According to certain embodiments, a mask blank for an electron beam writing is provided, capable of forming a resist pattern of a 3-dimensional topology through an one-time writing. The mask blank includes a substrate, a thin film formed on the substrate, and an electron beam resist film formed on the thin film. The electron beam resist film is made of a laminated film including at least a lower resist film and an upper resist film. The lower resist film and the upper resist film have different resist sensitivities with respect to an electron beam. | 07-28-2011 |
20110189592 | EXTREME ULTRAVIOLET MASK AND METHOD OF MANUFACTURING THE SAME - An extreme ultraviolet (EUV) mask includes a quartz substrate including an absorption region and a reflection region, first and second multi-layered thin films formed on the quartz substrate, and a structure pattern disposed between the first and second multi-layered thin films. | 08-04-2011 |
20110189593 | Defect repair apparatus and method for EUV mask - A defect repair apparatus for an EUV mask includes: a gas field ion source that generates a hydrogen ion beam; | 08-04-2011 |
20110189594 | PELLICLE FOR LITHOGRAPHY AND METHOD FOR MANUFACTURING THE SAME - A method for manufacturing a pellicle for lithography includes steps of providing a pellicle frame having one pair of long sides and one pair of short sides, each of the long sides | 08-04-2011 |
20110189595 | METHOD OF MANUFACTURING A SUBSTRATE FOR A MASK BLANK, METHOD OF MANUFACTURING A MASK BLANK, METHOD OF MANUFACTURING A TRANSFER MASK , AND METHOD OF MANUFACTURING A SEMICONDUCTOR DEVICE - In a simulation step, based on information of a main surface shape of a transparent substrate and shape information of a mask stage of an exposure apparatus and using a deflection differential equation taking into account a twist deformation, height information at a plurality of measurement points is obtained by simulating a state where the transparent substrate is set in the exposure apparatus. Based on the height information obtained through the simulation, a flatness of the transparent substrate when it is set in the exposure apparatus is calculated in a flatness calculation step. Then, by judging in a selection step whether or not the calculated flatness satisfies a specification, the transparent substrate whose flatness satisfies the specification is used as a substrate for a mask blank. | 08-04-2011 |
20110189596 | Method for Manufacturing a Surface and Integrated Circuit Using Variable Shaped Beam Lithography - A method is disclosed in which a plurality of variable shaped beam (VSB) shots is used to form a desired pattern on a surface. In this method some shots within the plurality of shots overlap each other. Additionally, the union of any subset of the plurality of shots differ from the desired pattern. In some embodiments, dosages of the shots vary with respect to each other. In other embodiments, an optimization technique may be used to minimize shot count. In yet other embodiments, the plurality of shots may be optionally selected from one or more pre-computed VSB shots or groups of VSB shots. The method of the present disclosure may be used, for example, in the process of manufacturing an integrated circuit by optical lithography using a reticle, or in the process of manufacturing an integrated circuit using direct write. | 08-04-2011 |
20110195348 | METHOD AND SYSTEM FOR AUTOMATED GENERATION OF MASKS FOR SPACER FORMATION FROM A DESIRED FINAL WAFER PATTERN - Methods and systems for generating masks for spacer formation are disclosed. As a part of a disclosed method, a predefined final wafer pattern is accessed, areas related to features in the predefined final wafer pattern are identified and a template mask is formed based on the identified areas for forming spacers on a wafer. Subsequently, a mask is formed for use in the removal of portions of the spacers to form an on wafer pattern that corresponds to the predefined final wafer pattern. | 08-11-2011 |
20110195349 | CHROMELESS PHASE-SHIFTING PHOTOMASK WITH UNDERCUT RIM-SHIFTING ELEMENT - A phase-shifting photomask with a self aligned undercut rim-shifting element and methods for its manufacture are provided. One embodiment of the invention provides a method of manufacturing a phase-shifting photomask having a self aligned rim-shifting element, the method comprising: applying a patterning film to a first portion of a transparent substrate; etching the substrate to a depth to remove a second portion of the substrate not beneath the patterning film; etching the first portion of the substrate to undercut an area beneath the patterning film; and removing the patterning film, wherein the etched substrate forms a self-aligned undercut rim-shifting element. | 08-11-2011 |
20110195350 | Pellicle for lithography - There is provided a pellicle in which the adhesive layer bearing the pellicle membrane is molded so flatly that the flatness of the pellicle as measured across the membrane is 10 micrometers or smaller, and this is preferably accompanied by an improved flatness of mask-bonding adhesive layer (agglutinant layer), which can be 15 micrometers to 10 micrometers or even smaller. | 08-11-2011 |
20110195351 | Pellicle for lithography - There is provided a pellicle in which the mask-bonding adhesive is formed to have a corner-rounded cross section in a shape akin to a trapezoid whose upper parallel side represents the face of the mask-bonding adhesive layer by which the adhesive layer is attached to the pellicle frame, and whose lower parallel side is not shorter than the upper parallel side, and the base angles of the trapezoid are 90 degrees or smaller but not smaller than 75 degrees, when the lower parallel side is assumed to be the base of the trapezoid. | 08-11-2011 |
20110195352 | MASK FOR LASER INDUCED THERMAL IMAGING AND METHOD OF FABRICATING ORGANIC ELECTRO-LUMINESCENCE DISPLAY DEVICE USING THE SAME - A mask for laser induced thermal imaging and a method of fabricating an organic electro-luminescence display device using the mask. A mask includes a transparent substrate including a transmitting region; a reflective layer pattern on a first surface of the transparent substrate other than the transmitting region; and a scattering unit on at least one of the first surface or a second surface opposite the first surface of the transparent substrate, and the scattering unit is at a position corresponding to the reflective layer pattern. The mask can accurately irradiate a laser to a donor substrate and prevent or reduce damage of the laser generator due to the laser beam being reflected by the mask. | 08-11-2011 |
20110200919 | CHEMICALLY AMPLIFIED POSITIVE RESIST COMPOSITION AND PATTERN FORMING PROCESS - A chemically amplified positive resist composition is provided comprising a polymer PB having an amine structure bound thereto and a polymer PA comprising recurring units having an acidic side chain protected with an acid labile protective group and recurring units having an acid generating moiety on a side chain. | 08-18-2011 |
20110200920 | REFLECTIVE MASK BLANK FOR EUV LITHOGRAPHY - A reflective mask blank for EUV lithography having a low-reflective layer which has a low reflectivity with respect to wavelengths of EUV light and a mask pattern inspection light and which satisfies a predetermined reflectivity (405 nm: <40%, 600 to 650 nm: 30 to 50%, 800 to 900 nm: >50%, 1,000 to 1,200 nm: <90%) in a wavelength region (400 to 1,200 nm) required for the mask production process and the pattern transcription process. | 08-18-2011 |
20110207030 | PELLICLE FOR LITHOGRAPHY AND METHOD FOR MANUFACTURING PELLICLE FILM - Provided are a pellicle for lithography which can prevent a haze from being generated on a photomask even if a short wave length laser such as an ArF excimer laser is used for a long period of time, and a method for producing a pellicle film to be attached to the pellicle for lithography. The pellicle | 08-25-2011 |
20110207031 | SUBSTRATE PROCESSING METHOD, MANUFACTURING METHOD OF EUV MASK, AND EUV MASK - According to the substrate processing method in the embodiments, as a mask substrate used for forming an EUV mask, a mask substrate in which a first film having a first hydrophilicity is formed on one main surface and a resist is applied to another main surface is exposed from a side of the resist. Then, a hydrophilic treatment is performed on a surface of the first film to make the surface of the first film have a second hydrophilicity larger than the first hydrophilicity. Then, a development treatment of the resist is performed with respect to the mask substrate in which the hydrophilic treatment is performed on the surface of the first film to have the second hydrophilicity. | 08-25-2011 |
20110207032 | OPTICALLY SEMITRANSMISSIVE FILM, PHOTOMASK BLANK AND PHOTOMASK, AND METHOD FOR DESIGNING OPTICALLY SEMITRANSMISSIVE FILM - The present invention provides an optically semitransmissive film that has a near-zero phase shift, has a desired transmissivity, and is relatively thin; a novel phase-shift mask that uses the optically semitransmissive film; a photomask blank that can [be used to] manufacture the phase-shift mask; and a method for designing the optically semitransmissive film. The film is formed on a translucent substrate and transmits a portion of light having a desired wavelength λ, wherein the film has at least one phase-difference reduction layer that fulfills the following functions. Specifically, the phase-difference reduction layer is a layer that has a refractive index n and a thickness d that satisfy the expression 008-25-2011 | |
20110207033 | METHOD AND STRUCTURE FOR FABRICATING DARK-PERIPHERY MASK FOR THE MANUFACTURE OF SEMICONDUCTOR WAFERS - A photo mask blank structure for transferring a mask to an integrated circuit includes a transparent substrate, which has a surface region. The photo mask structure further includes an opaque film overlying the surface region, a negative photoresist material overlying the opaque film, a stop layer overlying the negative photoresist material, and a positive photoresist material overlying the stop layer. The positive photoresist material includes a first opening pattern, and the stop layer includes a second opening pattern that is associated with the first opening pattern of the positive photoresist material. The negative photoresist material includes a third opening pattern that is associated with the first and second opening patterns. The stop layer provides a separation between the negative photoresist material and the positive photoresist material. | 08-25-2011 |
20110207034 | MATCHING METHOD OF PATTERN LAYOUTS FROM INVERSE LITHOGRAPHY - The present invention relates to a matching method of pattern layouts from inverse lithography, which makes the pattern cells in the same groups identical to avoid a repeated verification and to improve the yield. The method comprises the step of: analyzing a target designed layout by hierarchy; categorizing the pattern cells with the same shape into a group; inversing the target designed layout by inverse lithography; inspecting the inversed pattern cells in the group with each other and replacing the variant ones to make all the inversed pattern cells identical. | 08-25-2011 |
20110212388 | ADVANCED PHASE SHIFT LITHOGRAPHY AND ATTENUATED PHASE SHIFT MASK FOR NARROW TRACK WIDTH D WRITE POLE DEFINITION - A method for patterning a wafer using a phase shifting photolithography that can produce a critical symmetrical 2-dimensional structure such as a magnetic write pole of a magnetic write head. In one aspect of the invention, a photolithographic mask has an opaque portion with narrow, transparent phase shifting regions at either side of the opaque portion. A non-phase shifted region extends beyond the narrow phase shifted portion at either side of the structure. The phase shifted regions are symmetrical about the opaque region so that the image produced on the wafer is completely symmetrical. In another aspect of the invention, a phase shifted region in formed in a transparent medium with non-phase shifted regions at either side of the phase shifted region. The transition between the phase shifted region and non-phase shifted region alone defines a pattern on the wafer, without the need for an opaque structure on the mask. | 09-01-2011 |
20110212389 | FOCUS TEST MASK, FOCUS MEASUREMENT METHOD, EXPOSURE METHOD AND EXPOSURE APPARATUS - A focus test reticle for measuring focus information includes an outer pattern. The outer pattern has a line pattern composed of a light shielding film extending in the Y direction, a phase shift portion provided on a side in the +X direction of the line pattern and formed to have a line width narrower than the line pattern, a transmitting portion provided on a side in the −X direction of the line pattern and formed to have a line width narrower than the line pattern, a transmitting portion provided on a side in the +X direction of the phase shift portion, and a phase shift portion provided on a side in the −X direction of the transmitting portion. Focus information of a projection optical system is measured at a high measuring reproducibility and a high measuring efficiency. | 09-01-2011 |
20110212390 | CHEMICALLY AMPLIFIED NEGATIVE RESIST COMPOSITION AND PATTERNING PROCESS - A chemically amplified negative resist composition is provided comprising (A) an alkali-soluble base polymer, (B) an acid generator, and (C) a nitrogen-containing compound, the base polymer (A) turning alkali insoluble under the catalysis of acid. A polymer having a fluorinated carboxylic acid onium salt on a side chain is included as the base polymer. Processing the negative resist composition by a lithography process may form a resist pattern with advantages including uniform low diffusion of acid, improved LER, and reduced substrate poisoning. | 09-01-2011 |
20110212391 | POLYMER, CHEMICALLY AMPLIFIED POSITIVE RESIST COMPOSITION AND PATTERN FORMING PROCESS - A polymer comprising recurring units having a fluorinated carboxylic acid onium salt structure on a side chain is used to formulate a chemically amplified positive resist composition. When the composition is processed by lithography to form a positive pattern, the diffusion of acid in the resist film is uniform and slow, and the pattern is improved in LER. | 09-01-2011 |
20110212392 | PHOTOMASK BLANK, PHOTOMASK, AND METHODS OF MANUFACTURING THE SAME - A photomask blank is for use in manufacturing a photomask to be applied with exposure light having a wavelength of 200 nm or less. The photomask blank has a light-transmitting substrate and a light-shielding film formed thereon. The light-shielding film has a light-shielding layer containing a transition metal and silicon and a front-surface antireflection layer formed contiguously on the light-shielding layer and made of a material containing at least one of oxygen and nitrogen. The light-shielding film has a front-surface reflectance of a predetermined value or less for the exposure light and has a property capable of controlling the change width of the front-surface reflectance at the exposure wavelength to be within 2% when the thickness of the front-surface antireflection layer changes in the range of 2 nm. The material of the front-surface antireflection layer having a refractive index n and an extinction coefficient k capable of achieving such property is selected. | 09-01-2011 |
20110217630 | INTENSITY SELECTIVE EXPOSURE PHOTOMASK - An intensity selective exposure photomask, also describes as a gradated photomask, is provided. The photomask includes a first region including a first array of sub-resolution features. The first region blocks a first percentage of the incident radiation. The photomask also includes a second region including a second array of sub-resolution features. The second region blocks a second percentage of the incident radiation different that the first percentage. Each of the features of the first and second array includes an opening disposed in an area of attenuating material. | 09-08-2011 |
20110217631 | METHOD OF FABRICATING A HALFTONE MASK HAVING A SHIELDING PATTERN AND PLURAL OVERLAPPING HALFTONE PATTERNS OF DIFFERENT WIDTHS - A halftone mask includes a shielding pattern partially formed on a transparent substrate; a first halftone transmission pattern partially formed on the transparent substrate; and a second halftone transmission pattern formed on the first halftone transmission layer. | 09-08-2011 |
20110217632 | REDUCED LENS HEATING METHODS, APPARATUS, AND SYSTEMS - In one embodiment, a system is disclosed that includes an illuminator having a source that produces light waves having a first wavelength, and a mask. The mask includes at least one partly opaque area and at least one opening within the opaque area includes a slanted, sub-resolution feature that redistributes a portion of the light passing through the open area to an off-axis location. A method of forming a device by way of photolithography might include forming unresolvable features on a mask and projecting light through the mask. Other systems, methods, and apparatus are disclosed. | 09-08-2011 |
20110217633 | REFLECTIVE MASK BLANK AND METHOD OF MANUFACTURING A REFLECTIVE MASK - Provided are a reflective mask blank and a reflective mask which are capable of improving the contrast for inspection light having a wavelength of 200 nm or less in an inspection, capable of improving the contrast for exposure light in use of the mask, and capable of forming a high-resolution fine pattern. A reflective mask blank | 09-08-2011 |
20110217634 | MULTILAYER REFLECTIVE FILM COATED SUBSTRATE, REFLECTIVE MASK BLANK, AND METHOD OF MANUFACTURING A REFLECTIVE MASK - Provided is a reflective mask blank, wherein even if inspection light for defect inspection is irradiated onto an uppermost surface of a multilayer reflective film or of an absorber film formed over a reference point mark, sufficient contrast is obtained between a position of the reference point mark and its peripheral portion so that the position of the reference point mark can be identified with high accuracy. By forming a reference point mark ( | 09-08-2011 |
20110217635 | METHOD OF MANUFACTURING TRANSFER MASK AND METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE - The present invention is a method of manufacturing a transfer mask with use of a mask blank in which a thin film for pattern formation and a chromium-based thin film made of a material containing chromium are stacked on a transparent substrate in this order. The thin film for pattern formation is made of material containing silicon and a transition metal other than chromium. The chromium-based thin film is made of a material containing chromium. Exposure light having a wavelength of 200 nm or less is applied to the transfer mask. In the manufacturing method, the transfer mask is produced by performing, in the following order, a process of forming a resist film having a transfer pattern on the chromium-based thin film, a process of forming a transfer pattern in the chromium-based thin film with use of a mask of the resist film having the transfer pattern, a process of forming a transfer pattern in the thin film for pattern formation with use of a mask of the chromium-based thin film having the transfer pattern, and a process of removing the chromium-based thin film by etching. The manufacturing method further includes a cleaning process of at least one of alkali solution cleaning, hot water cleaning, and ozone-containing water cleaning on the produced transfer mask until a width of the transfer pattern of the thin film for pattern formation is reduced by 4 nm or a space width of the thin film for pattern formation is increased by 4 nm. | 09-08-2011 |
20110223524 | ON-TRACK PROCESS FOR PATTERNING HARDMASK BY MULTIPLE DARK FIELD EXPOSURES - This invention provides methods of creating via or trench structures on a developer-soluble hardmask layer using a multiple exposure-development process. The hardmask layer is patterned while the imaging layer is developed. After the imaging layer is stripped using organic solvents, the same hardmask can be further patterned using subsequent exposure-development processes. Eventually, the pattern can be transferred to the substrate using an etching process. | 09-15-2011 |
20110229804 | MICROLITHOGRAPHY MASKS INCLUDING IMAGE REVERSAL ASSIST FEATURES, MICROLITHOGRAPHY SYSTEMS INCLUDING SUCH MASKS, AND METHODS OF FORMING SUCH MASKS - Microlithography masks are disclosed, such as those that include one or more image reversal assist features disposed between at least two primary mask features. The one or more image reversal assist features may be defined by a patterned relatively non-transparent material on a mask substrate. Microlithography systems include such masks. Methods of forming microlithography masks are also disclosed, such as those that include patterning a relatively non-transparent material on a mask substrate to form at least one image reversal assist feature located between at least two primary features. | 09-22-2011 |
20110229805 | PHOTOMASK WITH ASSIST FEATURES - A photomask for exposure of a semiconductor wafer using dipole illumination and method of manufacturing the same is disclosed. A method of forming a pattern on a semiconductor using the photomask is also disclosed. The photomask may have an array of islands that are used for printing lines using dipole illumination. The photomask may have sub-resolution assist features (SRAF) to assist in printing the lines. The SRAF may include an array of holes. | 09-22-2011 |
20110229806 | PHASE MASK AND METHOD OF FABRICATION - A method of fabricating a two-layer phase mask comprises subjecting a photoresist material to two overlapping laser beams that create light and dark fringes in the overlapping beam regions. The photoresist can comprise a liquid crystal and a photo-sensitive material, including, for example, a photo-sensitive monomer and/or polymer. The two laser beams can be first directed towards one side of the photoresist. In the areas subjected to lighter fringes, the polymer molecules can link together and force the liquid crystal into the areas subjected to the darker fringes. This can leave an alternating pattern of linear strips of polymer-rich and liquid crystal-rich regions. The exposure time can be limited so that the strips are formed only partially through the thickness of the photoresist. The photoresist can be then rotated 90 degrees and the overlapping laser beams directed towards the opposite side of the photoresist. Alternating strips of polymer-rich and liquid crystal-rich regions can be formed that extend partially through the photoresist. These strips can be arranged orthogonally to the strips formed on the opposite side of the photoresist. The material in liquid crystal-rich regions can be washed out when the photoresist is developed. A two-layer, integrated phase mask can therefore be produced. Exemplary methods eliminate the need for complicated alignment techniques. | 09-22-2011 |
20110229807 | PHOTOMASK BLANK, PHOTOMASK, METHOD OF MANUFACTURING THE SAME, AND METHOD OF MANUFACTURING A SEMICONDUCTOR DEVICE - [Object] A photomask blank for use in producing a photomask for exposure with an ArF excimer laser. The photomask blank is intended to be applied to the 32-nm DRAM half-pitch (hp) and succeeding generations in the semiconductor design rule. | 09-22-2011 |
20110236805 | MEMS lithography mask with improved tungsten deposition topography and method for the same - The present invention discloses a MEMS lithography mask with improved tungsten deposition topography and a method for making the same. The MEMS lithography mask includes: a pattern including at least two sections forming a conjunction with each other, each of the at least two sections having a width not less than a minimum width, the conjunction having a center and a plurality of corners, wherein at least one of the corners is inwardly recessed to reduce a width of the conjunction, the sections being for defining trenches on a substrate to be filled with tungsten as apart of a MEMS device, whereby the lowest height of the tungsten surface is not lower than 80% of the trench height. | 09-29-2011 |
20110236806 | DC VOLTAGE CHARGING OF CATHODE FOR PLASMA STRIKING - Methods for processing photomasks are provided herein. In some embodiments, a method for processing a photomask may include providing a photomask to a substrate support within a process chamber; providing a process gas to the process chamber having the photomask disposed therein; providing a negative or zero voltage to a substrate support cathode having the photomask disposed thereon; providing a source RF power to an anode coupled to the process chamber to ignite the process gas to form a plasma; and processing the photomask. | 09-29-2011 |
20110236807 | Pellicle for lithography - There is provided a pellicle for lithography which is capable of preventing or at least restricting the outgas from its mask-bonding agglutinant layer from entering the hollow of the pellicle by virtue of a unique structure of the mask-boding agglutinant layer, that is, the agglutinant layer is formed of two or more juxtaposed endless belts of which the inner-most belt that faces the pellicle hollow is made of a substance which is non-agglutinant while at least one of the other belts is agglutinant; when the outer-most belt is also made of a non-agglutinant substance, the outgas is completely prevented from escapting from the pellicle. | 09-29-2011 |
20110236808 | Method and Apparatus for Performing Dark Field Double Dipole Lithography (DDL) - A method of generating complementary masks for use in a dark field double dipole imaging process. The method includes the steps of identifying a target pattern having a plurality of features, including horizontal and vertical features; generating a horizontal mask based on the target pattern, where the horizontal mask includes low contrast vertical features. The generation of the horizontal mask includes the steps of optimizing the bias of the low contrast vertical features contained in the horizontal mask; and applying assist features to the horizontal mask. The method further includes generating a vertical mask based on the target pattern, where the vertical mask contains low contrast horizontal features. The generation of the vertical mask includes the steps of optimizing the bias of low contrast horizontal features contained in the vertical mask; and applying assist features to the vertical mask. | 09-29-2011 |
20110244373 | MASK BLANK, TRANSFER MASK, AND METHODS OF MANUFACTURING THE SAME - In a mask blank for manufacturing a transfer mask adapted to exposure light having a wavelength of 200 nm or less, the mask blank has a light-shielding film on a transparent substrate. The light-shielding film is made of a material containing tantalum as a main metal component and includes a highly oxidized layer which has an oxygen content of 60 at % or more and which is formed as a surface layer of the light-shielding film, that is placed on a side opposite to a transparent substrate side. | 10-06-2011 |
20110244374 | Methods of Correcting Optical Parameters in Photomasks - A method of correcting an optical parameter in a photomask is provided. The method includes providing a photomask, exposing the photomask, detecting an aerial image to estimate the photomask, and irradiating gas cluster ion beams to the photomask based on an estimation result to correct the optical parameter in the photomask in relation to the aerial image. The gas cluster ion beams may be irradiated to a front surface of the photomask on which a mask pattern is formed or a rear surface of the photomask on which the mask pattern is not formed. | 10-06-2011 |
20110244375 | MASK BLANK, TRANSFER MASK, METHODS OF MANUFACTURING THE SAME AND METHOD OF MANUFACTURING A SEMICONDUCTOR DEVICE - In a mask blank for manufacturing a transfer mask, the mask blank has a light-shielding film on a transparent substrate. The light-shielding film is made of a material containing tantalum as a main metal component and includes a highly oxidized layer which has an oxygen content of 60 at % or more and which is formed as a surface layer of the light-shielding film. The highly oxidized layer is placed on a side opposite to a transparent substrate side. | 10-06-2011 |
20110244376 | PHOTOMASK USING SEPARATED EXPOSURE TECHNIQUE, METHOD OF FABRICATING PHOTOMASK, AND APPARATUS FOR FABRICATING PHOTOMASK BY USING THE METHOD - A method of fabricating a photomask may include forming a light-shielding layer and a first resist film on a substrate, forming a first resist pattern by exposing first exposed regions of the first resist film to a first exposure source that may have a first energy, forming a first light shielding pattern by etching the selectively exposed light-shielding layer by using the first resist pattern as an etching mask, removing the first resist pattern, forming a second resist film on the first light-shielding layer, exposing second exposed regions of the second resist film that may have a desired pattern shape to a second exposure source that may have a second energy, forming a second light shielding pattern by etching the selectively exposed first light shielding pattern by using the second resist pattern as an etching mask, and removing the second resist pattern. | 10-06-2011 |
20110244377 | FLUORINE-PASSIVATED RETICLES FOR USE IN LITHOGRAPHY AND METHODS FOR FABRICATING THE SAME - Fluorine-passivated reticles for use in lithography and methods for fabricating and using such reticles are provided. According to one embodiment, a method for performing photolithography comprises placing a fluorine-passivated reticle between an illumination source and a target semiconductor wafer and causing electromagnetic radiation to pass from the illumination source through the fluorine-passivated reticle to the target semiconductor wafer. In another embodiment, a fluorine-passivated reticle comprises a substrate and a patterned fluorine-passivated absorber material layer overlying the substrate. According to another embodiment, a method for fabricating a reticle for use in photolithography comprises providing a substrate and forming a fluorine-passivated absorber material layer overlying the substrate. | 10-06-2011 |
20110244378 | DEVICE AND METHOD FOR PROVIDING WAVELENGTH REDUCTION WITH A PHOTOMASK - Disclosed is a photomask having a wavelength-reducing material that may be used during photolithographic processing. In one example, the photomask includes a transparent substrate, an absorption layer having at least one opening, and a layer of wavelength-reducing material (WRM) placed into the opening. The thickness of the WRM may range from approximately a thickness of the absorption layer to approximately ten times the wavelength of light used during the photolithographic processing. In another example, the photomask includes at least one antireflection coating (ARC) layer. | 10-06-2011 |
20110244379 | METHOD FOR FORMING CONVEX PATTERN, EXPOSURE APPARATUS AND PHOTOMASK - The present invention is a photomask | 10-06-2011 |
20110250527 | MASK LAYOUT - A mask layout is disclosed. The mask layout includes a mask body, a mask pattern disposed on a surface of the mask body, and a cover disposed on the mask body. Preferably, the mask body and the cover include a medium therebetween and the cover includes no filter. | 10-13-2011 |
20110250528 | METHOD FOR CORRECTING IMAGE PLACEMENT ERROR IN PHOTOMASK - A method for correcting an image placement error in a photomask includes, forming a photomask including a light absorbing layer formed on a frame region of a substrate and a mask pattern formed on a field region inside the frame region, measuring a first registration error of the photomask, and etching a portion of the light absorbing layer on the frame region to induce a second registration error for compensating the first registration error. | 10-13-2011 |
20110250529 | PHOTOMASK BLANK, PHOTOMASK, AND METHODS OF MANUFACTURING THE SAME - A photomask blank is for manufacturing a phase shift mask having a light-transmitting substrate provided with a phase shift portion adapted to give a predetermined phase difference to transmitted exposure light. The phase shift portion is a dug-down part that is dug down from a surface of the light-transmitting substrate to a digging depth adapted to produce the predetermined phase difference with respect to exposure light transmitted through the light-transmitting substrate at a portion where the phase shift portion is not provided. The photomask blank includes, on the digging-side surface of the light-transmitting substrate, an etching mask film that is made of a material being dry-etchable with a chlorine-based gas, but not dry-etchable with a fluorine-based gas, and serves as an etching mask at least until, when forming the dug-down part by dry etching, the dry etching reaches the digging depth. The photomask blank further includes, on a surface of the etching mask film, a light-shielding film that is made of a material mainly containing tantalum and has a thickness so as to be removable during the dry etching for forming the dug-down part of the light-transmitting substrate. | 10-13-2011 |
20110250530 | Semiconductor Devices and Methods of Manufacturing Thereof - Semiconductor devices and methods of manufacturing thereof are disclosed. A plurality of features is formed on a workpiece, the plurality of features being located in a first region and a second region of the workpiece. Features in the first region have a first lateral dimension, and features in the second region have a second lateral dimension, wherein the second lateral dimension is greater than the first lateral dimension. The first region is masked, and the second lateral dimension of features in the second region is reduced. | 10-13-2011 |
20110256473 | MASK BLANK SUBSTRATE SET AND MASK BLANK SET - A substrate set is a mask blank substrate set including a plurality of substrates each for use in a mask blank for producing a photomask to be chucked on a mask stage of an exposure apparatus. In each of the substrates in the mask blank substrate set, a main surface, on the side where a thin film for forming a transfer pattern is to be formed, has a convex shape being relatively high at its center and relatively low at its peripheral portion. In each substrate, the flatness in a 142 mm square area, including a central portion, of the main surface is 0.3 μm or less and the difference upon fitting to a reference main surface of a reference substrate is 40 nm or less. | 10-20-2011 |
20110262846 | METHODS OF MANUFACTURING A MASK BLANK SUBSTRATE, A MASK BLANK, A PHOTOMASK, AND A SEMICONDUCTOR DEVICE - A before-chucking main surface shape is measured in an actual measurement region of a main surface of a substrate which has been precision-polished and, based on the before-chucking main surface shape of the substrate and a shape of a mask stage ( | 10-27-2011 |
20110262847 | MASK BLANK PROVIDING SYSTEM, MASK BLANK PROVIDING METHOD, MASK BLANK TRANSPARENT SUBSTRATE MANUFACTURING METHOD, MASK BLANK MANUFACTURING METHOD, AND MASK MANUFACTURING METHOD - A mask blank manufacturing department manufactures a mask blank by forming a thin film to be a mask pattern on a mask blank transparent substrate. When providing the mask blank to a mask manufacturing department, the mask blank manufacturing department provides optical characteristic information (transmittance variation) of the mask blank transparent substrate and optical characteristic information (transmittance variation and/or phase difference variation) of the mask blank to the mask manufacturing department. The optical characteristic information of the mask blank transparent substrate is provided to the mask blank manufacturing department from a materials processing department that manufactures mask blank transparent substrates. | 10-27-2011 |
20110262848 | MANUFACTURING METHOD FOR EXPOSURE MASK, GENERATING METHOD FOR MASK SUBSTRATE INFORMATION, MASK SUBSTRATE, EXPOSURE MASK, MANUFACTURING METHOD FOR SEMICONDUCTOR DEVICE AND SERVER - There is disclosed a manufacturing method for exposure mask, which comprises acquiring a first information showing surface shape of surface of each of a plurality of mask substrates, and a second information showing the flatness of the surface of each of mask substrates before and after chucked on a mask stage of an exposure apparatus, forming a corresponding relation of each mask substrate, the first information and the second information, selecting the second information showing a desired flatness among the second information of the corresponding relation, and preparing another mask substrate, having the same surface shape as the surface shape indicated by the first information in the corresponding relation with the selected second information, and forming a desired pattern on the above-mentioned another mask substrate. | 10-27-2011 |
20110262849 | PHOTOMASK AND PATTERN FORMATION METHOD USING THE SAME - A photomask includes a transparent substrate having a transparent property against exposing light, a semi-light-shielding portion formed on the transparent substrate, a first opening formed in the semi-light-shielding portion and having a first dimension and a second opening formed in the semi-light-shielding portion and having a second dimension lager than the first dimension. A phase-shifting portion which transmits the exposing light in an opposite phase with respect to the first opening is formed on the transparent substrate around the first opening. A light-shielding portion is formed on the transparent substrate around the second opening. | 10-27-2011 |
20110269060 | Photomask - A photomask is provided, with which the roundness of a corner portion of a resist mask can be reduced in a photolithography step. Further, a method for manufacturing a semiconductor device with less variation is provided. A photomask includes an auxiliary pattern at a corner portion of a light-blocking portion, and (k+1) sides (k is a natural number of 3 or more) form k obtuse angles in the auxiliary pattern. Alternatively, a photomask includes an auxiliary pattern at a corner portion of a light-blocking portion, and the auxiliary pattern includes a zigzag curve. | 11-03-2011 |
20110275012 | Pellicle - There is provided a pellicle in which the agglutinant, that is, the mask-bonding adhesive, is designed to have a light transmission of no greater than 70 percents; preferably the agglutinant is black in color. | 11-10-2011 |
20110275013 | Reflective Extreme Ultraviolet Mask - According to example embodiments, a reflective EUV mask may include a mask substrate, a patterned structure and a non-patterned structure on the mask substrate. At least one of the patterned structure and the non-patterned structure may include a thermally treated region configured to reduce a reflectivity of the respective patterned and non-patterned structure. | 11-10-2011 |
20110275014 | EXPOSURE MASK WITH DOUBLE PATTERNING TECHNOLOGY AND METHOD FOR FABRICATING SEMICONDUCTOR DEVICE USING THE SAME - An exposure mask for forming a G-type active region with a double patterning technology includes a bar shaped first light-blocking pattern to define an I-type active region, and an island shaped second light-blocking pattern to define a bit line contact region. The first light-blocking pattern and the second light-blocking pattern are arranged alternately. | 11-10-2011 |
20110281206 | EXPOSURE APPARATUS, MASK PLATE AND EXPOSING METHOD - An exposure apparatus comprises: a loading stage for supporting a substrate; a mask plate parallel to the loading stage and above the loading stage, the mask plate including a light transmitting region and a light absorbing region on its lower surface, a light reflecting region being provided in the light absorbing region; a lens device provided between the mask plate and the loading stage; a first illumination light source, light from which vertically striking on the upper surface of the mask plate from above, passing through the mask plate and striking on the loading stage via the lens device; a light reflecting device provided in the lens device; and a second illumination light source, light from which being reflected onto the lower surface of the mask plate by the light reflecting device located in the lens device, the light being reflected by the light reflecting region on the lower surface of the mask plate and striking on the loading stage via the lens device. | 11-17-2011 |
20110281207 | REFLECTIVE MASK BLANK AND METHOD OF MANUFACTURING A REFLECTIVE MASK - Disclosed is a reflective mask blank ( | 11-17-2011 |
20110281208 | Hybrid Multi-Layer Mask - A hybrid mask set for exposing a plurality of layers on a semiconductor substrate to create an integrated circuit device is disclosed. The hybrid mask set includes a first group of one or more multi-layer masks (MLMs) for a first subset of the plurality of layers. Each MLM includes a plurality of different images for different layers, the images being separated by a relatively wide image spacer. The hybrid mask set also includes a first group of one or more production-ready masks for a second subset of the plurality of layers. Each production-ready mask includes a plurality of similar images for a common layer, each image being separated by a relatively narrow scribe street. | 11-17-2011 |
20110287344 | REFLECTIVE PHOTOMASK, MANUFACTURING METHOD OF THE PHOTOMASK, AND PATTERN FORMATION METHOD - A reflective photomask includes a phase shift portion, a reflective portion located outside the phase shift portion; and a semi-light-absorbing portion located between the phase shift portion and the reflective portion. The semi-light-absorbing portion includes a first multilayer film reflective to exposure light, a first interlayer film, a second multilayer film, a second interlayer film, and a third multilayer film. The phase shift portion is the first multilayer film exposed from the third multilayer film, the second interlayer film, the second multilayer film, the first interlayer film. The reflective portion is the second multilayer film exposed from the third multilayer film and the second interlayer film. | 11-24-2011 |
20110287345 | ELECTRON BEAM DRAWING APPARATUS, ELECTRON BEAM DRAWING METHOD, SEMICONDUCTOR DEVICE MANUFACTURING MASK MANUFACTURING METHOD, AND SEMICONDUCTOR DEVICE MANUFACTURING TEMPLATE MANUFACTURING METHOD - According to one embodiment, there is provided a electron beam drawing apparatus includes an irradiation module which irradiates a resist coated onto a substrate with a electron beam, and a control module which controls the irradiation module and which acquires the relationship between an irradiation dose of the electron beam and a positional shift amount of a pattern, acquires a reference irradiation dose of the electron beam necessary to form a pattern on the resist, acquires an allowable positional shift amount allowed for the pattern, acquires a limit irradiation dose of the electron beam corresponding to the allowable positional shift amount on the basis of the relationship, acquires a saturated irradiation dose corresponding to a saturated positional shift amount on the basis of the relationship, and controls the irradiation module so as to irradiate all the divided pattern regions with a electron beam sequentially at least once. | 11-24-2011 |
20110287346 | MASK BLANK MANUFACTURING METHOD, TRANSFER MASK MANUFACTURING METHOD, MASK BLANK, AND TRANSFER MASK - Provided is a method of manufacturing a mask blank having a thin film on a transparent substrate. The method includes forming the thin film made of a material containing a transition metal on the transparent substrate and applying a superheated steam treatment to the thin film. | 11-24-2011 |
20110287347 | THIN FILM EVALUATION METHOD, MASK BLANK, AND TRANSFER MASK - Provided is a thin film evaluation method for a transfer mask which is adapted to be applied with ArF excimer laser exposure light and comprises a thin film formed with a pattern on a transparent substrate. The method includes intermittently irradiating pulsed laser light onto the thin film to thereby evaluate the irradiation durability of the thin film. | 11-24-2011 |
20110287348 | LASER-REFLECTIVE MASK AND METHOD FOR MANUFACTURING SAME - There are provided a laser reflective mask and a fabricating method thereof, in which reflective layers with different reflectances are sequentially and repeatedly laminated on top of a base substrate which has a reflective layer filling groove having a predetermined depth in a reflection region for a laser beam and then the remaining reflective layer laminated on the other region except for the portion filled in the reflective layer filling groove are removed through a chemical mechanical polishing (CMP) process, or a lift-off process using irradiation with the laser beam or an etchant, so that a reflective layer pattern configured to be filled in the reflective layer filling groove may be formed, thereby capable of not only facilitating a fabricating process of the laser reflective mask but also forming a more precise reflective layer pattern. A fabricating method of a laser reflective mask includes the steps of forming a sacrificial layer on top of a base substrate; recessing the sacrificial layer and the base substrate through an etching process of a region prearranged as a reflection region for a laser beam in the base substrate to form a sacrificial layer pattern and a reflective layer filling groove having a predetermined depth; alternately and repeatedly laminating first and second reflective layers having different reflectances on top of the base substrate on which the sacrificial layer pattern and the reflective layer filling groove are formed until the reflective layer filling groove is completely filled; removing the sacrificial layer pattern and the first and second reflective layers laminated on top of the sacrificial layer pattern through a laser lift-off process of irradiating the base substrate with the laser beam from a bottom surface of the base substrate to form a reflective layer pattern configured to be filled in the reflective layer filling groove; and removing the sacrificial layer pattern remaining on top of the base substrate. | 11-24-2011 |
20110287349 | MULTI-CHIP RETICLE PHOTOMASKS - A multi-chip reticle, methods of designing and fabricating multi-chip reticles, a system for designing a multi-chip reticle, and a method of fabricating integrated circuit chips using the multi-chip reticle. The multi-chip reticle includes a transparent substrate having two or more separate chip images arranged in an array, each chip image of said two or more chip images having only one type of reticle image, wherein at least two of said two more chip images have different types of reticle images. | 11-24-2011 |
20110294045 | PHOTOMASK AND METHODS FOR MANUFACTURING AND CORRECTING PHOTOMASK - The present invention provides a halftone mask comprising an assist pattern and a manufacturing method of the halftone mask, which uses an ArF excimer laser as an exposing source, is used for a projection exposure by an off axis illumination, does not resolve the assist pattern while keeping the focal depth magnification effect as the assist pattern, and may form a transferred image having high contrast of a main pattern. A photomask is a photomask comprising the main pattern which is transferred to a transfer-target surface by the projection exposure and the assist pattern which is formed nearby the main pattern and not transferred, characterized in that the main pattern and the assist pattern are each constituted from a semi-transparent film made of the same material, a retardation of 180° is generated between the light transmitting through the main pattern and the light transmitting through a transparent region of a transparent substrate, and a predetermined retardation within the scope of 70° to 115° is generated between the light transmitting through the assist pattern and the light transmitting through the transparent region of the transparent substrate. | 12-01-2011 |
20110294046 | PHOTO MASK AND METHOD FOR FABRICATING IMAGE SENSORS - A method for fabricating an image sensor includes forming an insulation layer over a substrate in a logic circuit region and a pixel region, forming a photoresist over the insulation layer, patterning the photoresist to form a photoresist pattern where the insulation layer in the pixel region is exposed and the insulation layer in the logic circuit region is not exposed, wherein a thickness of the photoresist pattern is gradually decreased in an interfacial region between the pixel region and the logic circuit region in a direction of the logic circuit region to the pixel region, and performing an etch back process over the insulation layer and the photoresist pattern in conditions that an etch rate of the photoresist pattern are substantially the same as that of the insulation layer. | 12-01-2011 |
20110294047 | PHOTOMASK BLANK, RESIST PATTERN FORMING PROCESS, AND PHOTOMASK PREPARATION PROCESS - A photomask blank has a resist film comprising (A) a base resin, (B) an acid generator, and (C) a basic compound. The resist film further comprises (D) a polymer comprising recurring units having a side chain having a fluorinated hydrocarbon group which contains a carbon atom to which a hydroxyl group is bonded and vicinal carbon atoms bonded thereto, the vicinal carbon atoms having in total at least two fluorine atoms bonded thereto. Addition of polymer (D) ensures uniform development throughout the resist film, enabling to form a resist pattern having high CD uniformity. | 12-01-2011 |
20110294048 | MOUNTING A PELLICLE TO A FRAME - A pellicle membrane is mounted between an outer frame and an inner frame. At least one of the frames is attached to the reticle without using conventional adhesives. The pellicle and reticle may be used in a lithography system. The pellicle allows radiation to pass through the pellicle to the reticle and may prevent particles from passing through the pellicle. | 12-01-2011 |
20110300472 | Exposure Apparatus Inspection Mask and Exposure Apparatus Inspection Method - According to one embodiment, an exposure apparatus inspection mask includes a substrate and a first pattern portion. The substrate has a major surface reflective to exposure light. The first pattern portion is provided on the major surface. The first pattern portion includes a first lower layer and a plurality of first reflection layers. The first lower layer is provided on the major surface and includes a plurality of first absorption layers periodically arranged at a prescribed pitch along a first direction parallel to the major surface and is absorptive to the exposure light. The plurality of first reflection layers are provided on a side of the first lower layer opposite to the substrate, are periodically arranged at the pitch along the first direction, expose at least part of each of the plurality of first absorption layers, and have higher reflectance for the exposure light than the first absorption layers. | 12-08-2011 |
20110300473 | METHOD FOR NANOPATTERNING USING NANOMASKS AND LIGHT EXPOSURE - A nanomask for generating an illumination pattern includes a layer having a first surface and a second surface and a plurality of resonant nano-features disposed on at least a selected one of the first surface and the second surface. The nanomask is configured to provide an illumination pattern adjacent to the second surface. The illumination pattern has dimensions smaller than a wavelength λ of electromagnetic radiation used to illuminate the first surface of the layer in a single illumination. A nanopatterning method is also described. | 12-08-2011 |
20110305977 | OPTICAL PROXIMITY CORRECTION PROCESS - An optical proximity correction process for designing a mask according to a target exposure intensity of each edge of a pattern is provided. Each edge is at a corresponding current edge position which corresponds to a current exposure intensity. The process comprises repeating a convergence process on each edge to determine an adjusted position for the edge until an adjusted exposure intensity of the edge is equal to the target exposure intensity. For each edge, the convergence process comprises comparing the target exposure intensity with the current exposure intensity to determine an in-position correlating to a first exposure intensity and an out-position correlating to a second exposure intensity, wherein the target exposure intensity is within a range between the first and the second exposure intensities. An interpolation is performed to obtain the adjusted position according to the target exposure intensity. The pattern is updated according to the adjusted position. | 12-15-2011 |
20110305978 | PHOTOMASK BLANK, PHOTOMASK, AND METHOD OF MANUFACTURING PHOTOMASK BLANK - The present invention provides a photomask blank for producing a photomask to which an ArF excimer laser light is applied, wherein: a thin film having a multilayer structure is provided on a light transmissive substrate; and the uppermost layer of the thin film has an amorphous structure made of a material comprising chromium and at least one of nitrogen, oxygen and carbon. | 12-15-2011 |
20110305979 | RESIST TOP COAT COMPOSITION AND PATTERNING PROCESS - The invention provides a resist top coat composition wherein the composition contains polymer (P1-1) with a weight-average molecular weight of 1,000 to 500,000, having at least repeating units represented by the following general formulae (1a), (1b-1), and (1c). There can be a resist top coat composition having excellent water repellent and water sliding properties with fewer development defects and with a good resist pattern profile after development, and a patterning process using this composition. | 12-15-2011 |
20110318672 | MASK DESIGN AND OPC FOR DEVICE MANUFACTURE - Described herein is mask design and modeling for a set of masks to be successively imaged to print a composite pattern on a substrate, such as a semiconductor wafer. Further described herein is a method of double patterning a substrate with the set of masks. Also described herein is a method of correcting a drawn pattern of one of the mask levels based on a predicted pattern contour of the other of the mask levels. Also described herein is a method of modeling a resist profile contour for a mask level in which photoresist is applied onto a inhomogeneous substrate, as well as method of predicting a resist profile of a Boolean operation of two masks. | 12-29-2011 |
20110318673 | SYSTEM AND METHOD FOR TEST PATTERN FOR LITHOGRAPHY PROCESS - A method for performing a photolithography process includes providing a reticle on a projection apparatus, the reticle having a test pattern defined thereon, the test pattern including a plurality of one-dimensional structures and a plurality of two-dimensional structures. The test pattern defined on the reticle is transferred to at least one area on a wafer. The projection apparatus is focused on the test pattern transferred on the wafer during a photolithography process to perform a process monitoring. | 12-29-2011 |
20110318674 | PHOTOMASK BLANK, PHOTOMASK, AND METHODS OF MANUFACTURING THE SAME - A photomask blank has a light shieldable film formed on a light transmitting substrate. The light shieldable film has a light shielding layer which is formed of molybdenum silicide metal containing molybdenum in a content greater than 20 atomic % and not greater than 40 atomic % and which has a thickness smaller than 40 nm, an antireflection layer formed on the light shielding layer in contact with the light shielding layer and formed of a molybdenum silicide compound containing at least one of oxygen and nitrogen, and a low reflection layer formed under the light shielding layer in contact with the light shielding layer. | 12-29-2011 |
20120003573 | Photomasks - Some embodiments include methods of forming photomasks. A stack of at least three different materials is formed over a base. Regions of the stack are removed to leave a mask pattern over the base. The mask pattern includes a pair of spaced-apart adjacent segments of the stack. A liner is formed to cover sidewalls of the segments. Some embodiments include photomasks. The photomasks may include a transparent base supporting a pair of spaced-apart adjacent features. The spaced-apart adjacent features may include sidewalls, with inner sidewalls of the spaced-apart features being adjacent one another, and spaced from one another by a gap. A coating layer of from about 5 Angstroms thick to about 50 Angstroms thick may be along the entirety of the sidewalls of the spaced-apart adjacent features. Some embodiments include methods of photolithographically patterning substrates. | 01-05-2012 |
20120009509 | GENERATION METHOD, CREATION METHOD, EXPOSURE METHOD, DEVICE FABRICATION METHOD, STORAGE MEDIUM, AND GENERATION APPARATUS - The present invention provides a generation method that obtains a position at which an auxiliary pattern is to be placed and generates a mask pattern (its data), which achieves excellent imaging performance, even when a halftone mask is used as an original. | 01-12-2012 |
20120009510 | LITHOGRAPHY MASK AND METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE - A lithography mask is disclosed. The lithography mask is for use with an exposure apparatus which forms an unpatterned first region and a patterned second region that includes groups of desired patterns in a photosensitive layer. The lithography mask includes a transparent substrate; and a patterned light blocking layer that is formed above the transparent substrate and that is configured to block or partially transmit incident light. The patterned light blocking layer includes a first mask pattern that exposes the first region. The first mask pattern includes a periodic pattern having a sub-resolution pitch that is given by an exposure condition of the exposure apparatus. | 01-12-2012 |
20120009511 | METHOD AND APPARATUS FOR CORRECTING ERRORS OF A PHOTOLITHOGRAPHIC MASK - A method for correcting a plurality of errors of a photolithographic mask, comprising optimizing first parameters of a imaging transformation of the photolithographic mask and second parameters of a laser beam locally directed onto the photolithographic mask, and correcting the plurality of errors by applying an imaging transformation using optimized first parameters and locally directing the laser beam onto the photolithographic mask using optimized second parameters, wherein the first and the second parameters are simultaneously optimized in a joint optimization process. | 01-12-2012 |
20120009512 | EXTREME ULTRAVIOLET PHOTOMASK - A method of manufacturing a photomask includes forming an upper layer on a photomask substrate, and patterning the upper layer to form an upper pattern having an inclined sidewall, wherein patterning the upper layer includes anisotropically etching the upper layer using charged particles moving in parallel to a first direction inclined toward a top surface of the upper layer. | 01-12-2012 |
20120015286 | MASK BLANK SUBSTRATE, MASK BLANK, PHOTOMASK, AND METHODS OF MANUFACTURING THE SAME - A mask blank substrate for a photomask is chucked on a mask stage of an exposure apparatus. A main surface, on the side where a thin film for a transfer pattern is to be formed, of the mask blank substrate has a flatness of 0.3 μm or less in a 142 mm square area including its central portion and has a convex shape being relatively high at its central portion and relatively low at its peripheral portion. The difference upon fitting, to the main surface of the mask blank substrate, a virtual reference main surface, having a spherical shape in a 132 mm square area, of a virtual reference substrate is 40 nm or less. | 01-19-2012 |
20120015287 | Method of fabricating a half tone mask having a shielding pattern and plural overlapping halftone patterns of different widths - A halftone mask includes a shielding pattern partially formed on a transparent substrate; a first halftone transmission pattern partially formed on the transparent substrate; and a second halftone transmission pattern formed on the first halftone transmission layer. | 01-19-2012 |
20120015288 | MEMBER FOR MASKING FILM, PROCESS FOR PRODUCING MASKING FILM USING THE SAME, AND PROCESS FOR PRODUCING PHOTOSENSITIVE RESIN PRINTING PLATE - A member for masking films which includes a base film transparent to ultraviolet rays and, on one surface thereof, an ultraviolet-shielding resin layer capable of being removed by irradiation with laser light beams and having an average thickness of 0.1 to 30 μm, wherein the ultraviolet-shielding resin layer is a multilayer structure composed of two or more layers including a resin layer (A) having a high carbon black content and a resin layer (B) having a low carbon black content, the layer (A) and the layer (B) being located on the base-film side and on the printing-plate side of ultraviolet-shielding resin layer, respectively, and the ultraviolet-shielding resin layer has specific optical properties. This member for masking films has the following features: the accurate and precise removal of the ultraviolet-shielding resin layer using a low-energy laser beam gives areas with uniform light transmittance; the ultraviolet-shielding resin is resistant to scratches; and positioning is easy, and when making close contact with a plate, air trapping is less likely to occur so that the entire surface easily comes into close contact with a printing material. | 01-19-2012 |
20120021341 | SUBSTRATE TO BE PROCESSED HAVING LAMINATED THEREON RESIST FILM FOR ELECTRON BEAM AND ORGANIC CONDUCTIVE FILM, METHOD FOR MANUFACTURING THE SAME, AND RESIST PATTERNING PROCESS - There is disclosed a substrate to be processed having laminated thereon a resist film for electron beam and an organic conductive film, in which at least a resist film for electron beam and an organic conductive film are laminated in order on a substrate to be processed having a conductive inorganic thin film as its surface layer, wherein a surface to be processed of the substrate to be processed has an area of direct contact between the organic conductive film and the conductive inorganic thin film in part thereof. There can be a substrate to be processed capable of forming a resist pattern stably and accurately with efficient removal of electricity even when an electron beam with high current density is irradiated. | 01-26-2012 |
20120021342 | PHOTOMASK HAVING TRANSCRIBING PATTERN AND METHOD OF FORMING PHOTORESIST PATTERN USING THE SAME - A photomask for a proximate type exposure apparatus includes: a transparent substrate; and a transcribing pattern and a peripheral region surrounding the transcribing pattern on the transparent substrate, the transcribing pattern having at least one bar including a plurality of discontinuous regions and a plurality of light controlling regions between the two adjacent discontinuous regions, the plurality of discontinuous regions capable of forming at least one continuous photoresist bar by exposing and developing a photoresist material. | 01-26-2012 |
20120021343 | Process Window Signature Patterns for Lithography Process Control - A method for identifying process window signature patterns in a device area of a mask is disclosed. The signature patterns collectively provide a unique response to changes in a set of process condition parameters to the lithography process. The signature patterns enable monitoring of associated process condition parameters for signs of process drift, analyzing of the process condition parameters to determine which are limiting and affecting the chip yields, analyzing the changes in the process condition parameters to determine the corrections that should be fed back into the lithography process or forwarded to an etch process, identifying specific masks that do not transfer the intended pattern to wafers as intended, and identifying groups of masks that share common characteristics and behave in a similar manner with respect to changes in process condition parameters when transferring the pattern to the wafer. | 01-26-2012 |
20120021344 | REFLECTIVE PHOTOMASK AND REFLECTIVE PHOTOMASK BLANK - Provided is a reflective photomask reflecting an EUV light and used to irradiate a reflected light to a transfer sample, the reflective photomask including: a substrate; a high reflection part formed on the substrate; and a low reflection part formed on the high reflection part and being patterned, wherein the low reflection part, being patterned, includes at least one or more layers being stacked; and at least one layer of the low reflection part, being patterned, includes a layer including an Sn and an oxygen. | 01-26-2012 |
20120034551 | BINARY PHOTOMASK BLANK AND BINARY PHOTOMASK MAKING METHOD - A binary photomask blank has on a transparent substrate a light-shielding film including substrate-side and surface-side compositionally graded layers, having a thickness of 35-60 nm, and composed of a silicon base material containing a transition metal and N and/or O. The substrate-side compositionally graded layer has a thickness of 10-58.5 nm, and a N+O content of 25-40 at % at its lower surface and 10-23 at % at its upper surface. The surface-side compositionally graded layer has a thickness of 1.5-8 nm, and a N+O content of 10-45 at % at its lower surface and 45-55 at % at its upper surface. | 02-09-2012 |
20120034552 | METHOD OF MANUFACTURING A PHOTOMASK - A thin film composed of a material containing a metal and silicon is formed on a transparent substrate, and a thin film pattern is formed by patterning the thin film. Then, the main surface and the side walls of the thin film pattern are previously modified so as to prevent the transfer characteristics of the thin film pattern from changing more than predetermined even in the case where exposure light with a wavelength of 200 nm or less is cumulatively applied onto the thin film pattern which has been formed. The main surface and the side walls are modified by, for instance, performing heat treatment to the main surface and the side walls at 450-900° C. in the atmosphere containing oxygen. | 02-09-2012 |
20120034553 | Photomask Blank, Photomask, and Pattern Transfer Method Using Photomask - A low reflective photomask blank suitable for shortened exposure wavelengths is disclosed. A photomask blank ( | 02-09-2012 |
20120034554 | Method for Fracturing and Forming a Pattern Using Circular Characters with Charged Particle Beam Lithography - In the field of semiconductor production using shaped charged particle beam lithography, a method and system for fracturing or mask data preparation or proximity effect correction is disclosed, wherein a plurality of shots of circular or nearly-circular character projection characters, having at least two shots that overlap, can form a non-circular pattern on a surface. Methods for manufacturing a reticle and for manufacturing a substrate such as a silicon wafer by forming non-circular patterns on a surface using a plurality of circular or nearly-circular character projection shots, where at least two shots overlap, is also disclosed. | 02-09-2012 |
20120040276 | METHOD OF FORMING AND USING PHOTOLITHOGRAPHY MASK HAVING A SCATTERING BAR STRUCTURE - A method of forming a photolithography mask including forming a first linear non-dense feature on the mask and forming a plurality of parallel linear assist features disposed substantially perpendicular to the at least one linear non-dense design feature. In an embodiment, the photolithography mask further includes a first transverse linear assist feature disposed substantially transverse to the plurality of parallel linear assist features. | 02-16-2012 |
20120040277 | DAMASCENE RETICLE AND METHOD OF MANUFACTURE THEREOF - A reticle carrier for a polishing tool capable of accommodating a reticle includes a base plate with an obverse and reverse surfaces, a retaining ring secured to the obverse surface of the base plate forming a recess defined by the obverse surface of the rigid base plate and internal edges of the retaining ring. A reticle pad supports a reticle in the recess. The base plate and the reticle pad having an array of matching, aligned passageway holes therethrough for exhaustion of air from space between the base plate and a the reticle and for supply of air to that space so a vacuum can retain a the reticle in place on the reticle carrier under vacuum conditions and application of air under pressure can eject a reticle from the reticle carrier. | 02-16-2012 |
20120040278 | INTENSITY SELECTIVE EXPOSURE PHOTOMASK - An intensity selective exposure photomask, also describes as a gradated photomask, is provided. The photomask includes a first region including a first array of sub-resolution features. The first region blocks a first percentage of the incident radiation. The photomask also includes a second region including a second array of sub-resolution features. The second region blocks a second percentage of the incident radiation different that the first percentage. | 02-16-2012 |
20120040279 | METHOD, DEVICE, AND SYSTEM FOR FORMING CIRCULAR PATTERNS ON A SURFACE - A stencil for character projection (CP) charged particle beam lithography and a method for manufacturing the stencil is disclosed, where the stencil contains two circular characters, where each character is capable of forming patterns on a surface in a range of sizes by using different dosages, and where the size ranges for the two characters is continuous. A method for forming circular patterns on a surface using variable-shaped beam (VSB) shots of different dosages is also disclosed. A method for forming circular patterns on a surface using a set of shots, where all of the shots comprise dosages, is also disclosed. | 02-16-2012 |
20120045712 | EXTREME ULTRAVIOLET LIGHT (EUV) PHOTOMASKS, AND FABRICATION METHODS THEREOF - Embodiments of EUV photomasks and methods for forming a EUV photomask are provided. The method comprises providing a substrate, a reflective layer, a capping layer, a hard mask layer, and forming an opening therein. An absorber layer is then filled in the opening and over the top surface of the hard mask layer. A planarizing process is provided to remove the absorber layer above the top surface of the hard mask layer and form an absorber in the opening, wherein the absorber is substantially co-planar with the top surface of the hard mask layer. | 02-23-2012 |
20120045713 | PHOTOMASK BLANK, METHOD OF MANUFACTURING THE SAME, PHOTOMASK, AND METHOD OF MANUFACTURING THE SAME - A photomask blank for use in the manufacture of a photomask adapted to be applied with exposure light having a wavelength of 200 nm or less has a thin film on a transparent substrate. The thin film is made of a material containing a transition metal, silicon, and carbon and comprising silicon carbide and/or a transition metal carbide. | 02-23-2012 |
20120045714 | PELLICLE FOR LITHOGRAPHY AND MANUFACTURING METHOD THEREOF - A pellicle for lithography according to the present invention comprises a pellicle film ( | 02-23-2012 |
20120058419 | TITANIA-DOPED QUARTZ GLASS AND MAKING METHOD - A titania-doped quartz glass suited as an EUV lithographic member is prepared by feeding a silicon-providing reactant gas and a titanium-providing reactant gas through a burner along with hydrogen and oxygen, subjecting the reactant gases to oxidation or flame hydrolysis to form synthetic silica-titania fine particles, depositing the particles on a rotating target, and concurrently melting and vitrifying the deposited particles to grow an ingot of titania-doped quartz glass. The target is retracted such that the growth front of the ingot may be spaced a distance of at least 250 mm from the burner tip. | 03-08-2012 |
20120058420 | Mask for Forming Patterns of Semiconductor Device - A mask for forming patterns of a semiconductor device is provided. The mask includes first and second main patterns disposed to be spaced apart from each other about a cross point and extending in first and second directions different from each other, a third main pattern disposed spaced apart from the first and second main patterns while being disposed between the first and second main patterns so as to overlap the cross point, and at least one auxiliary pattern spaced apart from the third main pattern in the periphery of a portion of the third main pattern, which is not adjacent with the first and second main patterns. | 03-08-2012 |
20120058421 | PHASE SHIFT MASK AND METHOD FOR MANUFACTURING THE SAME, AND METHOD FOR MANUFACTURING INTEGRATED CIRCUIT - A phase shift mask is provided which includes: a substrate that is transparent to irradiation light, a shielding region formed on the substrate and in which a line pattern is formed, and a first transparent region and a second transparent region located on respective opposite sides of the shielding region on the substrate, wherein a phase shifter is formed under the first transparent region, and the phase shifter has a side wall including an outward protruding bent portion. The phase shifter can be formed by, for example, irradiating and scanning a predetermined region of the substrate with femtosecond pulse laser light applied from above the substrate. | 03-08-2012 |
20120064438 | PHOTOMASK BLANK AND MAKING METHOD, PHOTOMASK, LIGHT PATTERN EXPOSURE METHOD, AND DESIGN METHOD OF TRANSITION METAL/SILICON BASE MATERIAL FILM - A photomask blank has a film of a transition metal/silicon base material comprising a transition metal, silicon, oxygen and nitrogen, having an oxygen content of at least 3 atom %, and satisfying the formula: 4×C | 03-15-2012 |
20120064439 | OPTICAL COMPENSATION DEVICES, SYSTEMS, AND METHODS - Photolithographic apparatus, systems, and methods that make use of optical compensation devices are disclosed. In various embodiments, an imaging mask includes an optically transmissive substrate. A first patterned region is formed on the substrate, and a second patterned region is formed on the substrate that is proximate to the first patterned region, the first patterned region and the second patterned region each having a plurality of optically transmissive and optically attenuating regions formed on the mask. An optical compensation region is positioned proximate to at least one of the first patterned region and the second patterned region that is configured to change a phase of the illumination radiation incident on the at least one of the first patterned region and the second region by altering an optical property of the substrate. | 03-15-2012 |
20120064440 | METHOD FOR DESIGN AND MANUFACTURE OF DIAGONAL PATTERNS WITH VARIABLE SHAPED BEAM LITHOGRAPHY - In the field of semiconductor device production, a method for manufacturing a surface using two-dimensional dosage maps is disclosed. A set of charged particle beam shots for creating an image on the surface is determined by combining dosage information such as dosage maps for a plurality of shots into the dosage map for the surface. A similar method is disclosed for fracturing or mask data preparation of a reticle image. | 03-15-2012 |
20120064441 | METHOD FOR PHOTO-ALIGNMENT TREATMENT, MASK FOR PHOTO-ALIGNMENT TREATMENT, AND METHOD FOR PRODUCING ALIGNMENT FILM - A method for photo-alignment treatment in which at least the number of kinds of photo masks or the number of exposure that are necessary for domain division can be reduced. The method for forming domains | 03-15-2012 |
20120070767 | SET OF MASKS, METHOD OF GENERATING MASK DATA AND METHOD FOR FORMING A PATTERN - A method of generating mask data, for a set of masks used to transfer a pattern for delineating a circuit pattern of a semiconductor integrated circuit, includes preparing design data having a design pattern corresponding to the pattern to be transferred on a semiconductor substrate; generating resized data by enlarging the design data by a resizing quantity; generating first mask data by filling a space area having a space width of a space quantity or less of the resized data; and generating second mask data, to be aligned with the first mask data, having a window portion for selectively exposing an area determined by enlarging the space area by the resizing quantity. | 03-22-2012 |
20120070768 | RETICLES WITH SUBDIVIDED BLOCKING REGIONS AND METHODS OF FABRICATION - Methods for designing, fabricating, and using attenuated phase shift reticles, or photomasks are disclosed. Methods are also disclosed for subdividing the radiation blocking regions of previously fabricated reticles of previously existing designs. The methods may include forming radiation blocking regions that are subdivided, by cut lines, into discrete, spaced apart sections with dimensions (e.g., surface area, etc.) configured to minimize or eliminate the buildup of electrostatic energy by the radiation blocking regions and/or the discharge of electrostatic energy from the radiation blocking regions and the damage that may be caused by such electrostatic discharge. The methods may include configuring the reticle to prevent radiation from passing through the cut lines between adjacent sections of a subdivided radiation blocking region. | 03-22-2012 |
20120077114 | Method, Program Product and Apparatus for Model Based Geometry Decomposition for Use in a Multiple Exposure Process - A method of decomposing a target pattern having features to be imaged on a substrate so as to allow said features to be imaged in a multi-exposure process. The method includes the steps of: segmenting a plurality of the features into a plurality of polygons; determining the image log slope (ILS) value for each of the plurality of polygons; determining the polygon having the minimum ILS value, and defining a mask containing the polygon; convolving the defined mask with an eigen function of a transmission cross coefficient so as to generate an interference map, where the transmission cross coefficient defines the illumination system to be utilized to image the target pattern; and, assigning a phase to the polygon based on the value of the interference map at a location corresponding to the polygon, where the phase defines which exposure in said multi-exposure process the polygon is assigned. | 03-29-2012 |
20120082923 | PHOTOMASK THROUGHPUT BY REDUCING EXPOSURE SHOT COUNT FOR NON-CRITICAL ELEMENTS - A solution for improving photomask fabrication time and yield, through the reduction in the number of exposure shots used for a given photomask pattern to be written on the photomask. In one embodiment, non-critical elements can be configured into a shape that the write tool can write with less exposure shots, while maintaining the original intent of the non-critical element. In another embodiment, the pattern of non-critical elements can be configured such that the non-critical elements are aligned with the grid lines of the operational grid of the write tool to further reduce shot count. In another embodiment, the manufacturing parameters and placement of non-critical elements can be modifying, e.g., by identifying which elements are critical and which are non-critical, and then printing non-critical elements with a first exposure parameter (e.g. a single pass exposure) while critical elements are printed with a second exposure parameter (e.g., a multi pass exposure). | 04-05-2012 |
20120082924 | MASK BLANK, TRANSFER MASK, METHOD OF MANUFACTURING A TRANSFER MASK, AND METHOD OF MANUFACTURING A SEMICONDUCTOR DEVICE - A mask blank for use in the manufacture of a binary mask adapted to be applied with ArF excimer laser exposure light has, on a transparent substrate, a light-shielding film for forming a transfer pattern. The light-shielding film has a laminated structure of a lower layer and an upper layer and has an optical density of 2.8 or more for exposure light and a thickness of 45 nm or less. The lower layer is made of a material in which the total content of a transition metal and silicon is 90 at % or more, and has a thickness of 30 nm or more. The upper layer has a thickness of 3 nm or more and 6 nm or less. The phase difference between exposure light transmitted through the light-shielding film and exposure light transmitted in air for a distance equal to the thickness of the light-shielding film is 30 degrees or less. | 04-05-2012 |
20120094219 | Method for Integrated Circuit Manufacturing and Mask Data Preparation Using Curvilinear Patterns - A method for manufacturing a semiconductor device is disclosed, wherein during the physical design process, a curvilinear path is designed to represent an interconnecting wire on the fabricated semiconductor device. A method for fracturing or mask data preparation (MDP) is also disclosed in which a manhattan path which is part of the physical design of an integrated circuit is modified to create a curvilinear pattern, and where a set of charged particle beam shots is generated, where the set of shots is capable of forming the curvilinear pattern on a resist-coated surface. | 04-19-2012 |
20120094220 | PHOTO MASK, PHOTOLITHOGRAPHY METHOD, SUBSTRATE PRODUCTION METHOD AND DISPLAY PANEL PRODUCTION METHOD - Disclosed are an exposure mask, a photolithography method, a method of manufacturing a substrate and a display panel which can reduce the number of exposure masks required. The photolithography method uses an exposure mask | 04-19-2012 |
20120100464 | GLASS SUBSTRATE-HOLDING TOOL - To provide a glass substrate-holding tool which is capable of avoiding scratching to the deposition surface of a glass substrate and dusting thereby caused as well as scratching and deposition of foreign substances at a center portion of the rear surface of the substrate and which is capable of suppressing dusting from the holding tool itself at the time of forming a multi-layered reflection film and an absorptive layer. | 04-26-2012 |
20120100465 | PHOTOMASKS AND METHODS OF FABRICATING THE SAME - A photomask includes a pattern area and a blind area, a first opaque pattern disposed on the blind area and having a first thickness, and a second opaque pattern disposed on the pattern area and having a second thickness smaller than the first thickness. The first and second opaque patterns are formed of the same material. | 04-26-2012 |
20120100466 | MASK BLANK AND TRANSFER MASK - A mask blank and transfer mask that overcomes problems caused by an electromagnetic field (EMF) effect when the DRAM half pitch (hp) specified in semiconductor device design specifications is 32 nm or less. The mask blank is used in manufacturing a transfer mask to which ArF exposure light is applied, and includes a light shielding film | 04-26-2012 |
20120100467 | SPUTTERING TARGET MATERIAL, SILICON-CONTAINING FILM FORMING METHOD, AND PHOTOMASK BLANK - Provided is a silicon target material in which particles are not easily generated during a sputtering process and to form a low-defect (high quality) silicon-containing film. A silicon target material having a specific resistance of 20 Ω·cm or more at room temperature is used for forming a silicon-containing film. The silicon target material may be polycrystalline or noncrystalline. However, when the silicon target material is single-crystalline, a more stable discharge state can be obtained. Also, a single-crystal silicon in which crystals are grown by an FZ method is a preferable material as a highly-pure silicon target material because its content of oxygen is low. Further, a target material having n-type conductivity and containing donor impurities is preferable to obtain stable discharge characteristics. Only a single or a plurality of silicon target materials according to the present invention may be used for sputtering film formation of the silicon-containing film. | 04-26-2012 |
20120100468 | METHODS OF FABRICATING A PHOTOMASK AND USE THEREOF - A method of correcting patterns includes attaining a correcting amount distribution map using a photo mask, the photo mask including a transparent substrate having first and second surfaces opposite to each other and a mask pattern on the first surface, attaining a plurality of shadowing maps based on the correction amount distribution map, each of the shadowing maps including a unit section having a different plane area, and forming a plurality of shadowing regions with shadowing elements in the transparent substrate of the photo mask using respective shadowing maps. | 04-26-2012 |
20120100469 | EXPOSURE MASK AND METHOD FOR FORMING SEMICONDUCTOR DEVICE BY USING THE SAME - The present invention is the thing about exposure mask and manufacturing method of semiconductor device using the same | 04-26-2012 |
20120100470 | MASK BLANK, TRANSFER MASK, AND METHOD OF MANUFACTURING A TRANSFER MASK - Provided is a mask blank which enables EB defect correction to be suitably applied and which further enables a reduction in the thickness of a light-shielding film. A mask blank | 04-26-2012 |
20120107729 | GATE CD CONTROL USING LOCAL DESIGN ON BOTH SIDES OF NEIGHBORING DUMMY GATE LEVEL FEATURES - A method of forming an IC including MOS transistors includes using a gate mask to form a first active gate feature having a line width W | 05-03-2012 |
20120107730 | RECORDING MEDIUM RECORDING PROGRAM FOR GENERATING MASK DATA, METHOD FOR MANUFACTURING MASK, AND EXPOSURE METHOD - A mask includes a main pattern for resolving a target pattern to be formed on a substrate and an auxiliary pattern not resolving. Values of parameters of the main pattern and the auxiliary pattern are set. An image is calculated that is formed when the main pattern and the auxiliary pattern determined by the values of the parameters of the main pattern and the auxiliary pattern are projected by a projection optical system. Based on a result of the calculation that is performed by modifying the values of the parameters of the main pattern and the auxiliary pattern, the values of the parameters of the main pattern and the auxiliary pattern are determined to generate data of the mask including the main pattern and the auxiliary pattern determined. | 05-03-2012 |
20120107731 | PHOTOMASK - A photomask includes a transparent substrate for passage of an exposure light, and a plurality of photomask pattern units formed on a surface of the transparent substrate. Each of the photomask pattern units includes a first light-blocking layer connected to the surface of the transparent substrate, and a second light-blocking layer formed on a surface of the first light-blocking layer opposite to the transparent substrate. The first and second light-blocking layers block the exposure light, or permit passage of light energy lower than threshold energy of photoresist on the substrate. | 05-03-2012 |
20120107732 | CURABLE COMPOSITIONS, METHOD OF COATING A PHOTOTOOL, AND COATED PHOTOTOOL - A curable composition comprises: an epoxy silane represented by formula X—Si(OR | 05-03-2012 |
20120107733 | REFLECTIVE MASK BLANK FOR EUV LITHOGRAPHY - Provision of a reflective mask blank for EUV lithography having an absorber layer having optical constants suitable for reducing the film thickness. | 05-03-2012 |
20120115073 | SUB-RESOLUTION ROD IN THE TRANSITION REGION - The present disclosure provides a photomask. The photomask includes a first integrated circuit (IC) feature formed on a substrate; and a second IC feature formed on the substrate and configured proximate to the first IC feature. The first and second IC features define a dense pattern having a first pattern density. The second IC feature is further extended from the dense pattern, forming an isolated pattern having a second pattern density less than the first pattern density. A transition region is defined from the dense pattern to the isolated pattern. The photomask further includes a sub-resolution rod (SRR) formed on the substrate, disposed in the transition region, and connected with the first IC feature. | 05-10-2012 |
20120115074 | Methods Of Forming Patterned Masks - Some embodiments include methods in which spaced-apart first features are formed from a first material having a reflow temperature. Second material is formed along sidewalls of the first features, and third material is formed over the second material and the first features. The third material may be formed at a temperature above the reflow temperature of the first material, and the second material may support the first features so that the first features do not collapse even though they are exposed to such temperature. In some embodiments the third material has an undulating topography. Fourth material may be formed within the valleys of the undulating topography, and subsequently the first features may be removed together with at least some of the third material to leave a pattern comprising second features formed from the second material and pedestals formed from the fourth material. | 05-10-2012 |
20120115075 | MASK BLANK, TRANSFER MASK, METHOD OF MANUFACTURING A TRANSFER MASK, AND METHOD OF MANUFACTURING A SEMICONDUCTOR DEVICE - A mask blank for use in the manufacture of a transfer mask adapted to be applied with ArF exposure light is disclosed. The mask blank has a light-shielding film on a transparent substrate. The light-shielding film has an at least two-layer structure comprising a lower layer composed mainly of a material containing a transition metal, silicon, and nitrogen and an upper layer composed mainly of a material containing a transition metal, silicon, and nitrogen. The ratio of the etching rate of the lower layer to that of the upper layer is 1.0 or more and 5.0 or less in etching which is carried out by supplying a fluorine-containing substance to a target portion and irradiating charged particles to the target portion. | 05-10-2012 |
20120122022 | REFLECTIVE PHOTOMASK AND METHOD OF FABRICATING THE SAME - A reflective photomask comprises a photomask substrate, a photomask pattern, formed on an upper surface of the photomask substrate, at least one alignment mark, formed on the upper surface of the photomask substrate, for aligning the reflective photomask with an exposure apparatus, and at least one fiducial mark, formed on a lower surface of the photomask substrate, for determining locations of defects in the photomask pattern. | 05-17-2012 |
20120122023 | Method and Apparatus for Performing Model-Based OPC for Pattern Decomposed Features - A method for decomposing a target circuit pattern containing features to be imaged into multiple patterns. The process includes the steps of separating the features to be printed into a first pattern and a second pattern; performing a first optical proximity correction process on the first pattern and the second pattern; determining an imaging performance of the first pattern and the second pattern; determining a first error between the first pattern and the imaging performance of the first pattern, and a second error between the second pattern and the imaging performance of said second pattern; utilizing the first error to adjust the first pattern to generate a modified first pattern; utilizing the second error to adjust the second pattern to generate a modified second pattern; and applying a second optical proximity correction process to the modified first pattern and the modified second pattern. | 05-17-2012 |
20120122024 | Pellicle for lithography - There is provided a pellicle in which the mask-bonding agglutinant layer has the adhesion strength of 1 N/m through 100 N/m, preferably 4 N/m through 80 N/m, and more preferably the agglutinant layer has a facial flatness of 15 micrometers or smaller, and still more preferably the membrane-bonding adhesive layer has a facial flatness of 15 micrometers or smaller: for the purpose of better preventing the pellicle frame from affecting the mask to deform. | 05-17-2012 |
20120122025 | PELLICLE FRAME AND PELLICLE CONTAINING SAME - Provided is a pellicle that has appropriate membrane strength, high resistance to chemicals, and a low degree of sulfate ion generation and outgassing. A provided pellicle frame supports the outer rim of a pellicle membrane, and an epoxy resin coating is formed on the surface of the pellicle frame. In the infrared absorption spectrum of said epoxy resin coating, the ratio of the absorbance of a peak in the range between 1450 cm | 05-17-2012 |
20120129082 | METHOD OF ADHERING LITHOGRAPHIC PELLICLE AND ADHERING APPARATUS THEREFOR - A method of adhering a lithographic pellicle includes steps of pressing the pellicle frame | 05-24-2012 |
20120129083 | METHOD FOR MANUFACTURING REFLECTIVE MASK AND APPARATUS FOR MANUFACTURING REFLECTIVE MASK - According to one embodiment, a method is disclosed for manufacturing a reflective mask. The method can include forming a reflection layer on a major surface of a substrate. The method can include forming an absorption layer on the reflection layer. The method can include forming a pattern region in the absorption layer. In addition, the method can include forming a light blocking region surrounding the pattern region in the absorption layer and the reflection layer. The forming the light blocking region includes etching-processing the reflection layer using a gas containing chlorine and oxygen. | 05-24-2012 |
20120129084 | PHOTOMASK BLANK AND PRODUCTION METHOD THEREOF, AND PHOTOMASK PRODUCTION METHOD, AND SEMICONDUCTOR DEVICE PRODUCTION METHOD - A photomask blank which is capable of preventing static buildup caused by electron beam pattern drawing for forming a resist pattern. The photomask blank provides a good pattern accuracy through optimization of the dry etching rate along the depth direction of the shielding film, and is capable of reducing the dry etching time by increasing the dry etching rate of the shielding film. The photomask blank includes a translucent substrate having thereon a shielding film composed mainly of chromium and the shielding film contains hydrogen. The shielding film is formed in such a manner that the film formation rate of the layer at the surface side is lower than the film formation rate of the layer at the translucent substrate side of the shielding film. The dry etching rate of the shielding film is lower at the translucent substrate side than at the surface side. | 05-24-2012 |
20120135339 | REFLECTIVE EXTREME ULTRAVIOLET MASK AND METHOD OF MANUFACTURING THE SAME - A reflective extreme ultraviolet mask includes a mask substrate having an exposing region and a peripheral region, the mask substrate including a light-scattering portion in the peripheral region, a reflective layer on an upper surface of the mask substrate, the reflective layer having a first opening exposing the light-scattering portion, and an absorbing layer pattern on the reflective layer, the absorbing layer pattern having a second opening in fluid communication with the first opening. | 05-31-2012 |
20120135340 | PHOTOMASK AND FORMATION METHOD THEREOF - A method for forming a photomask includes detecting a defect of the photomask which has a mirror layer formed on a first surface of a substrate, and forming a recess groove on a first layer which is formed on a second surface of the substrate, wherein the coordinate of the recess groove corresponds to the coordinate of the defect. | 05-31-2012 |
20120135341 | METHOD FOR DOUBLE PATTERNING LITHOGRAPHY AND PHOTOMASK LAYOUT - A method for double patterning lithography which is applied to a semiconductor substrate to form a plurality of trenches, includes a pattern formation process. In the pattern formation process, a plurality of predetermined patterns corresponding to the trenches are formed by using a graphic data system. A first pattern file and second pattern file are respectively formed. The first pattern file and the second pattern file respectively include a plurality of first patterns and a plurality of second patterns. The first patterns and the second patterns are intersected with each other to define a plurality of overlapped regions corresponding to the predetermined patterns. At least one of the first pattern file and the second file includes a plurality of dummy patterns therebeside. A photomask layout for double patterning lithography is also provided. | 05-31-2012 |
20120141922 | Method and Apparatus for EUV Mask Having Diffusion Barrier - A photomask is provide. The photomask includes a substrate having a multi-layer stack disposed over the substrate. The multilayer stack has alternating first second and third layers disposed over each other, wherein the first, second and third layers are composed of first, second and third materials, respectively, and wherein at least the second layer is formed through an atomic layer deposition process. A capping layer is disposed over the multilayer stack; and an absorber layer disposed over the capping layer. A method for evaluating materials, unit processes, and process sequences for manufacturing a photomask is also provided. | 06-07-2012 |
20120141923 | Protective Cap for Extreme Ultraviolet Lithography Masks - An extreme ultraviolet (EUV) lithography mask is provided. The EUV lithography mask includes a reflective layer and an absorptive layer deposited over the reflective layer. The absorptive layer is patterned so as to define absorptive regions of the mask for absorbing EUV radiation and reflective regions of the mask for reflecting EUV radiation. The EUV lithography mask further includes a protective capping layer which is deposited over both the absorptive regions and the reflective regions of the mask. | 06-07-2012 |
20120141924 | Multiresolution Mask Writing - Mask writing techniques that employ multiple masking writing passes. A first writing pass is made to write a first shot pattern having a first resolution. A second writing pass is then made to write a second shot pattern having a second resolution finer than the first resolution, such that the second shot pattern substantially overlaps with the first shot pattern on the mask substrate. | 06-07-2012 |
20120141925 | Photo-Mask and Method for Manufacturing Liquid Crystal Display Device Using the Same - A photo-mask is capable of preventing stain defects and a method for fabricating a liquid crystal display device using the photo-mask which achieves the same capability. The photo-mask includes a transparent substrate configured to transmit ultraviolet light and a light shielding layer configured to block ultraviolet light on a surface of the transparent substrate. The light shielding layer includes an absorption layer configured to absorb ultraviolet light. | 06-07-2012 |
20120141926 | LCD PANEL PHOTOLITHOGRAPHY PROCESS AND MASK - Disclosed is an LCD panel photolithography process, employed in a lithography system for manufacturing a plurality of LCD panel, comprising steps of: performing photolithography to a glass substrate with a first mask, and the first mask comprises a plurality of sets of alignment marks corresponding to a plurality of following masks thereafter, and a plurality of sets of alignment marks corresponding to the plurality of following masks thereafter are formed on the glass substrate; and employing the plurality of sets of alignment marks on the glass substrate respectively, to perform alignment procedure and photolithography for the plurality of following masks with the plurality of sets of alignment marks on the glass substrate to form patterns; wherein corresponding to the same LCD panel area, the plurality of sets of alignment marks on the glass substrate have different position coordinates respectively. | 06-07-2012 |
20120141927 | REFLECTIVE MASK AND METHOD FOR MANUFACTURING THE SAME - According to one embodiment, a method for manufacturing a reflective mask includes producing a reflective mask includes a substrate, a reflection layer provided on a front surface of the substrate and configured to reflect exposure light, an absorption layer provided on the reflection layer and configured to absorb the exposure light, and a conductive layer provided on a back surface of the substrate and held on an electrostatic chuck of an exposure apparatus, transferring a mask pattern of the reflective mask to a wafer, measuring misalignment between a basic pattern of the wafer and a transfer pattern transferred to the wafer, and recessing the conductive layer within a range smaller than a thickness of the conductive layer so as to reduce the misalignment. | 06-07-2012 |
20120141928 | METHOS AND DEVICE FOR KEEPING MASK DIMENSIONS CONSTANT - The present application describes a method and a device for keeping the mask dimensions of a mask ( | 06-07-2012 |
20120141929 | METHOD FOR MANUFACTURING HALF-TONE MASK, AND HALF-TONE MASK - Provided is a method of manufacturing a half-tone mask that can reduce the number of film forming steps. The method according to the present invention is for manufacturing a half-tone mask to be interposed between a light source and a photosensitive layer so as to form plural kinds of exposure patterns that have different exposure levels on the photosensitive layer, the half-tone mask including a transparent substrate | 06-07-2012 |
20120148942 | DIAGONAL INTERCONNECT FOR IMPROVED PROCESS MARGIN WITH OFF-AXIS ILLUMINATION - Mask or reticle methods and structures having pattern feature segments formed at oblique angles to each other. When illuminated using off-axis illumination techniques, a mask or reticle according to the present teachings can result in a more accurately reproduced feature within a photosensitive layer. | 06-14-2012 |
20120148943 | Method for Determining A Grey Level Etch Mask - A method for determining, by means of a computer, a photolithography mask for the manufacturing a microstructure by grey level etching of a resist layer, this mask including a plurality of elementary cells, each including an opaque area arranged, in top view, in a non-peripheral portion of a transparent region or, conversely, in a transparent area arranged, in top view, in a non-peripheral portion of an opaque region, comprising the steps of:
| 06-14-2012 |
20120148944 | PHOTOMASKS AND METHODS OF MANUFACTURING THE SAME - In a method of manufacturing a photomask pattern, a light-shielding layer pattern and an anti-reflective layer pattern are formed sequentially on a transparent substrate. Oxidation and nitridation processes are performed on a sidewall of the light-shielding layer pattern to form a protection layer pattern on a lateral portion of the light-shielding layer pattern. | 06-14-2012 |
20120148945 | RESIST COMPOSITION AND PATTERNING PROCESS - A polymer having a partial structure —C(CF | 06-14-2012 |
20120156592 | USE OF PATTERNED UV SOURCE FOR PHOTOLITHOGRAPHY - A system and method of exposing photoresist on the surface of the solar cell to light so as to create an appropriate mask is disclosed. A microcavity array is used to expose the photoresist to UV light in a pattern that matches the desired pattern on the solar cell. Microcavity arrays consist of an array of cavities, which may include tens of thousands of cavities. When an appropriate potential is applied to an electrode, a plasma is formed in the activated cavity. If the cavity contains a suitable gaseous environment, these activated cavities will emit light in the near ultraviolet spectrum. By properly configuring the locations of the activated cavities, a UV source may be created that exposes the photoresist in a desired pattern. The desired pattern can be created by selectively activating cavities, disabling certain cavities, or filling certain cavities so that they cannot create a plasma. | 06-21-2012 |
20120156593 | METHOD FOR PATTERNING TRENCHES WITH VARYING DIMENSION - Methods for patterning integrated circuit (IC) features with varying dimensions are provided. In an example, a method includes forming a first patterned radiation-sensitive resist layer over a device substrate using a first mask, wherein the first patterned radiation-sensitive resist layer includes a first portion of an IC pattern; using the patterned first radiation-sensitive resist layer as a mask to form the first portion of the IC pattern in the device substrate; forming a second patterned radiation-sensitive resist layer over the device substrate using a second mask, wherein the second patterned radiation-sensitive resist layer includes a second portion of the IC pattern; and using the patterned second radiation-sensitive resist layer as a mask to form the second portion of the IC pattern in the device substrate. The combined first and second portions of the IC pattern in the device substrate form an IC feature having a dimension greater than dimensions of the first and second portions. | 06-21-2012 |
20120156594 | PHOTOMASK INCLUDING SUPER LENS AND MANUFACTURING METHOD THEREOF - A photomask includes a substrate, a mask pattern layer and a super lens. The substrate includes a pattern which includes protruding portions, and open portions between the protruding portions. The mask pattern layer is in the open portions of the pattern and fills the open portions of the pattern. The super lens is on the substrate and the mask pattern layer. | 06-21-2012 |
20120156595 | COMPOSITIONS COMPRISING SUGAR COMPONENT AND PROCESSES FOR PHOTOLITHOGRAPHY - New photoresist compositions are provided that are useful for immersion lithography. Preferred photoresist compositions of the invention comprise one or more materials that have sugar substitution. Particularly preferred photoresists of the invention can exhibit reduced leaching of resist materials into an immersion fluid contacting the resist layer during immersion lithography processing. | 06-21-2012 |
20120156596 | MASK BLANK, TRANSFER MASK, METHOD OF MANUFACTURING A TRANSFER MASK, AND METHOD OF MANUFACTURING A SEMICONDUCTOR DEVICE - A mask blank for use in the manufacture of a transfer mask adapted to be applied with ArF excimer laser exposure light is disclosed. The mask blank has, on a transparent substrate, a light-shielding film for forming a transfer pattern. The light-shielding film has an at least two-layer structure including a lower layer and an upper layer from the transparent substrate side. The lower layer is made of a material composed of a transition metal, silicon, and nitrogen and having a nitrogen content of 21 at % or more and a refractive index n of 1.9 or less. The upper layer is made of a material composed of a transition metal, silicon, and nitrogen and having a refractive index n of 2.1 or less. A surface layer of the upper layer contains oxygen and has a nitrogen content of 14 at % or more. | 06-21-2012 |
20120156597 | LITHOGRAPHIC MASK AND MANUFACTURING METHOD THEREOF - Cleaning is carried out by using a sulfuric acid type detergent at a resist stripping and cleaning step (step | 06-21-2012 |
20120164562 | LITHOGRAPHY MASK HAVING SUB-RESOLUTION PHASED ASSIST FEATURES - Techniques are disclosed for using sub-resolution phased assist features (SPAF) in a lithography mask to improve through process pattern fidelity and/or mitigate inverted aerial image problems. The technique also may be used to improve image contrast in non-inverted weak image sites. The use of SPAF in accordance with some such embodiments requires no adjustment to existing design rules, although adjustments can be made to enable compliance with mask inspection constraints. The use of SPAF also does not require changing existing fab or manufacturing processes, especially if such processes already comprehend phased shift mask capabilities. The SPAFs can be used to enhance aerial image contrast, without the SPAFs themselves printing. | 06-28-2012 |
20120164563 | HIGH RESOLUTION PHASE SHIFT MASK - Techniques are disclosed for fabricating lithography masks, which include a first level process comprising lithography and etching to form mask frame and in-die areas, and a second level process comprising lithography and etching to form one or more mask features in the in-die area. At least one of the mask features has a smallest dimension in the nanometer range (e.g., 32 nm technology node, or smaller). The techniques may be embodied, for example, in a lithography mask for fabricating semiconductor circuits. In one such example case, the mask includes a frame area and an in-die area formed after the frame area. The in-die area includes one or more mask features, at least one of which has a smallest dimension of less than 100 nm. The mask has a critical dimension bias of less than 20 nm and a structure that comprises a substrate and an absorber layer. | 06-28-2012 |
20120164564 | ADVANCED PHOTOMASK REPAIR - Additive repair of advanced photomasks with low temperature or optical curing via direct write lithographic printing with sharp tips and cantilevers. The optical properties of the materials formed from the ink can be tuned (e.g., n and k values). Sol gel inks, including silsesquioxane inks, can be used to form MoSi compositions. The repaired photomasks are resistant to washing under normal photomask washing conditions. AFM instrumentation can be used to perform the additive repair to provide the high resolution and registration. | 06-28-2012 |
20120164565 | FLUORINATED COATING AND PHOTOTOOLS MADE THEREWITH - A coating including an oligomer is disclosed, the oligomer being the reaction product of an epoxy silane, a multi-functional (meth)acrylate; and a polymerizable fluorochemical. The polymerizable fluorochemical can be fluorinated (meth)acrylate or a polymerizable fluorinated urethane. Phototools having a layer of the coating on a substrate can be made. A method of making a printed assembly such as a printed circuit board is also disclosed. | 06-28-2012 |
20120164566 | PATTERNING MASK AND METHOD OF FORMATION OF MASK USING STEP DOUBLE PATTERNING - A method of forming a mask for use in fabricating an integrated circuit includes forming first non-removable portions of a photoresist material through a mask having a plurality of apertures, shifting the mask, forming second non-removable second portions of the photoresist material overlapping the first portions, and removing removable portions of the photoresist material arranged between the first and second portions. The formed photoresist mask may be used to form vias in an integrated circuit. The pattern of vias produced have the capability to exceed the current imaging resolution of a single exposure treatment. | 06-28-2012 |
20120183889 | MULTIPLE LITHOGRAPHIC SYSTEM MASK SHAPE SLEEVING - A mask fabrication method can include receiving a mask design, sending first exposure parameters to a first exposure machine, sending second exposure parameters to a second exposure machine, sending a first exposure generation command to the first machine based on the first exposure parameters and sending a second exposure generation command to the second machine based on the second exposure parameters. | 07-19-2012 |
20120183890 | Method for fabricating a mask - A method for making a mask, in which, an imprinting lithography process is employed to form a pattern in a first region of a mask substrate, and an E-beam writing process is employed to form another pattern in a second region of the mask substrate. Furthermore, these two patterns may be well stitched through an optical alignment process in an E-beam writing chamber. | 07-19-2012 |
20120183891 | MANUFACTURING METHOD OF PHOTOMASK, METHOD FOR OPTICAL PROXIMITY CORRECTION, AND MANUFACTURING METHOD OF SEMICONDUCTOR DEVICE - A manufacturing method of a photomask by which a resist pattern corresponding to a pattern with designed values can be formed, a method for optical proximity correction, and a manufacturing method of a semiconductor device are provided. Proximity design features that are close to each other and estimated to violate a mask rule check are extracted. In the proximity design features, correction prohibited regions where optical proximity correction is not carried out are set based on the distance between the features obtained from the extracted proximity design features and the resolution of an exposure device. Optical proximity correction is carried out on the proximity design features with the correction prohibited regions excluded to obtain corrected proximity patterns. A predetermined mask material is patterned by carrying out electron beam lithography based on the corrected proximity pattern data. | 07-19-2012 |
20120183892 | RESIST COMPOSITION AND PATTERNING PROCESS - The present invention relates to: a resist composition such as a chemically amplified resist composition for providing an excellent pattern profile even at a substrate-side boundary face of resist, in addition to a higher resolution in photolithography for micro-fabrication, and particularly in photolithography adopting, as an exposure source, KrF laser, ArF laser, F | 07-19-2012 |
20120183893 | RESIST COMPOSITION AND PATTERNING PROCESS - The present invention relates to: a resist composition such as a chemically amplified resist composition for providing an excellent pattern profile even at a substrate-side boundary face of resist, in addition to a higher resolution in photolithography for micro-fabrication, and particularly in photolithography adopting, as an exposure source, KrF laser, ArF laser, F | 07-19-2012 |
20120189946 | MASK BLANK AND TRANSFER MASK - Provided is a mask blank which enables EB defect correction to be suitably applied and which further enables a reduction in the thickness of a light-shielding film. A mask blank | 07-26-2012 |
20120196209 | L-shaped Feature, Method of Making an L-shaped Feature and Method of Making an L-shaped Structure - In accordance with an embodiment of the present invention, a method for making a semiconductor device comprises forming a photo sensitive layer on a semiconductive substrate, and forming an L-shaped structure in the photo sensitive layer by exposing the photo sensitive layer to light via a reticle, wherein the reticle comprises an L-shapes feature having a first non-orthogonal edge at an intersection of two legs of the L-shaped feature. | 08-02-2012 |
20120196210 | Determining manufacturability of lithographic mask based on manufacturing shape penalty of aspect ratio of edge that takes into account pair of connected edges of the edge - The manufacturability of a lithographic mask employed in fabricating instances of a semiconductor device is determined. Target edge pairs are selected from mask layout data of the mask, for determining a manufacturing penalty in making the mask. The manufacturability of the mask, including the manufacturing penalty in making the mask, is determined based on the target edge pairs as selected, and is dependent on the manufacturing penalty in making the mask. Determining the manufacturability of the mask includes, for a selected edge pair having first and second edges that are at least substantially parallel to one another, determining a manufacturing shape penalty owing to an aspect ratio of the first edge relative to a size of a gap between the first edge and the second edge. This penalty takes into account a pair of connected edges of the first edge that are at least substantially parallel to the first edge. | 08-02-2012 |
20120196211 | RESIST PATTERN FORMING PROCESS - A resist pattern is formed by coating a chemically amplified positive resist composition onto a substrate and prebaking to form a resist film, exposing to high-energy radiation, baking and developing with a developer to form a resist pattern, and heating the pattern for profile correction to such an extent that the line width may not undergo a change of at least 10%. An amount of a softening accelerator having a molecular weight of up to 800 is added to the resist composition comprising (A) a base resin, (B) an acid generator, (C) a nitrogen-containing compound, and (D) an organic solvent. | 08-02-2012 |
20120196212 | METHOD AND APPARATUS FOR SUB-PELLICLE DEFECT REDUCTION ON PHOTOMASKS - In one embodiment, the invention is a method and apparatus for sub-pellicle defect reduction on photomasks. One embodiment of a photomask for use in photolithography includes a substrate on which a pattern is formed, the substrate having a frontside and an opposite backside, and a protective coating formed on at least one of the frontside and the backside, the protective coating comprising silicon-based compound. | 08-02-2012 |
20120202138 | Single Field Zero Mask For Increased Alignment Accuracy in Field Stitching - A single field photomask includes a first set of targets formed on a first side of the photomask, and a second set of targets formed on a second side of the photomask, opposite the first side. In operation, the photomask is to be applied to a wafer without any alignment marks. The photomask forms a first set of alignment marks in the wafer from the first set of targets, and the photomask further forms a second set of alignment marks in the wafer from the second set of targets. The first set of alignment marks is used to align to a first field mask and the second set of alignment marks is used to align to a second field mask to stitch an image of the first field mask to an image of the second field mask. | 08-09-2012 |
20120202139 | Boundary Layer Formation and Resultant Structures - A method for forming anti-boundary layer patterns includes patterning a first masking layer on a chrome layer, etching to remove portions of the chrome layer and expose portions of a first quartz layer, removing the first masking layer, patterning a second masking layer on portions of the chrome layer and the first quartz layer, and etching to remove exposed portions of the first quartz layer and to expose portions of an etch stop layer to define anti-boundary layers defined by the first quartz layer and the etch stop layer. | 08-09-2012 |
20120202140 | FLARE PREDICTION METHOD, PHOTOMASK MANUFACTURING METHOD, SEMICONDUCTOR DEVICE MANUFACTURING METHOD, AND COMPUTER-READABLE MEDIUM - According to one embodiment, a flare prediction method in photolithography includes determining a pattern density distribution of a pattern layout, determining an inclination of a variation in the pattern density distribution, and performing a flare calculation in a plurality of partition sizes based on the inclination of a variation in the pattern density distribution. | 08-09-2012 |
20120202141 | CHEMICAL AMPLIFICATION TYPE POSITIVE RESIST COMPOSITION, AND RESIST FILM, RESIST COATED MASK BLANKS AND RESIST PATTERN FORMING METHOD USING THE COMPOSITION - The object of the present invention is to solve the technical problems in the microfabrication of photomasks or semiconductors and is, in particular, to provide a chemical amplification type positive resist film, and a resist film, resist coated mask blanks and a method of forming a resist pattern using the composition, which satisfy at the same time all of high sensitivity, high resolution (for example, high resolving power), good exposure latitude (EL), and good line edge roughness (LER). | 08-09-2012 |
20120202142 | MANUFACTURING METHOD OF EXPOSURE MASK, SHIPMENT JUDGMENT METHOD AND MANUFACTURING METHOD OF SEMICONDUCTOR DEVICE USING EXPOSURE MASK - A manufacturing method of a semiconductor device that produces a first mask having a first pattern including a alignment shift measuring pattern after exposure and a pattern inside a body integrated circuit, measures a position shift of the alignment shift measuring pattern after exposure and the position shift of the pattern inside the body integrated circuit to calculate a first difference, which is a difference of these position shifts, and reflects the first difference in a alignment parameter used when exposing treatment is provided to a wafer by using the first mask, and a shipment judgment method and a production method of an exposure mask. | 08-09-2012 |
20120202143 | REDUCED LENS HEATING METHODS, APPARATUS, AND SYSTEMS - In one embodiment, a system is disclosed that includes an illuminator having a source that produces light waves having a first wavelength, and a mask. The mask includes at least one partly opaque area and at least one opening within the opaque area includes a slanted, sub-resolution feature that redistributes a portion of the light passing through the open area to an off-axis location. A method of forming a device by way of photolithography might include forming unresolvable features on a mask and projecting light through the mask. Other systems, methods, and apparatus are disclosed. | 08-09-2012 |
20120202144 | PELLICLE AND MASK ADHESIVE THEREFOR - Disclosed is a pellicle having a mask adhesive layer having appropriate softness, having a small adhesive residue after being peeled off from a mask, and having good handling characteristics; and a pellicle for preventing position deviation of patterns, in particular in double patterning. The pellicle of the present invention includes a pellicle frame, a pellicle membrane disposed on one end surface of the pellicle frame, and a mask adhesive layer disposed on other end surface of the pellicle frame; wherein the mask adhesive layer includes 35 to 170 weight parts of a hardness adjuster (B) containing a polypropylene (b1) and a propylene based elastomer (b2) per 100 weight parts of a styrene resin (A); and in an electron microscopic photograph of the mask adhesive layer, a phase-separated structure of a continuous phase of the styrene resin (A) and a discontinuous phase of the hardness adjuster (B) is observed. | 08-09-2012 |
20120208111 | METHOD OF MANUFACTURING PHOTO-MASK - Provided is a method of manufacturing a photo-mask having a micro pattern. The method includes providing an analyzing design layout, dividing the analyzing design layout into a two-dimensionally repeated portion, a one-dimensionally repeated portion, and a non-repeated portion, forming a first corrected layout by performing optical proximity correction (OPC) in the two-dimensionally repeated portion, forming a second corrected layout, taking account of the first corrected layout, by performing OPC in the one-dimensionally repeated portion, forming a third corrected layout, taking account of the first corrected layout and the second corrected layout, by performing OPC in the non-repeated portion, and forming a photo-mask based on the first through third corrected layouts. | 08-16-2012 |
20120208112 | METHODS OF MANUFACTURING A MASK BLANK SUBSTRATE, A MASK BLANK, A PHOTOMASK, AND A SEMICONDUCTOR DEVICE - A before-chucking main surface shape is measured in an actual measurement region of a main surface of a substrate which has been precision-polished. Based on that shape and a shape of a mask stage ( | 08-16-2012 |
20120208113 | Lithographic Processing Method, and Device Manufactured Thereby - A method of splitting a lithographic pattern into two sub-patterns, includes generating test structures corresponding to structures of interest in the lithographic pattern, varying the test structures through a selected range of dimensions, simulating an image of the test structures, determining an image quality metric for the simulated image, analyzing the determined image quality metric to determine pitch ranges for which split improves the image quality metric and ranges for which split does not improve the image quality metric, and generating the two sub-patterns in accordance with the determined pitch ranges. | 08-16-2012 |
20120214091 | RESIST FILM, RESIST COATED MASK BLANKS AND METHOD OF FORMING RESIST PATTERN USING THE RESIST FILM, AND CHEMICAL AMPLIFICATION TYPE RESIST COMPOSITION - A resist film formed by using a chemical amplification type resist composition containing (A) a high molecular compound having a structure wherein a hydrogen atom of a phenolic hydroxyl group is substituted by a group represented by the following general formula (I), (B) a compound generating an acid upon irradiation with actinic rays or radiation, and an organic solvent, and the film thickness is 10 to 200 nm. | 08-23-2012 |
20120214092 | METHOD OF MANUFACTURING A PHOTOMASK - A method for correcting a position error of a lithography apparatus comprises inputting position data of exposure pattern, irradiating laser light onto a position reference mask from a position measurement laser system, calculating actual position data of the laser light irradiated onto the position reference mask, and comparing the position data of the exposure pattern with the actual position data of the laser light irradiated onto the position reference mask. With this method, circuit patterns can be accurately formed at predetermined positions on a photomask, and the circuit patterns on the photomask can be accurately formed at predetermined positions on a wafer. | 08-23-2012 |
20120214093 | PHOTOMASK BLANK, PHOTOMASK BLANK MANUFACTURING METHOD, AND PHOTOMASK MANUFACTURING METHOD - A photomask blank manufacturing method that forms, on a light-transmissive substrate, a thin film for forming a transfer pattern, thereby producing a thin-film coated substrate and then presses the thin-film coated substrate. The pressing is carried out, for example, by a cold isostatic pressing method in a range of 1000 to 10000 atmospheric pressure. | 08-23-2012 |
20120219886 | METHOD AND SYSTEM FOR FORMING PATTERNS USING CHARGED PARTICLE BEAM LITHOGRAPHY WITH VARIABLE PATTERN DOSAGE - A method and system for fracturing or mask data preparation or optical proximity correction or proximity effect correction or mask process correction is disclosed in which a set of shaped beam shots is determined that is capable of forming a pattern on a surface, where the set of shots provides different dosages to different parts of the pattern, and where the dose margin from the set of shots is calculated. A method for forming patterns on a surface is also disclosed. | 08-30-2012 |
20120219887 | CHEMICALLY AMPLIFIED NEGATIVE RESIST COMPOSITION AND PATTERNING PROCESS - A polymer comprising 0.5-10 mol % of recurring units having acid generating capability and 50-99.5 mol % of recurring units providing for dissolution in alkaline developer is used to formulate a chemically amplified negative resist composition. When used in a lithography process, the composition ensures an effective sensitivity, makes more uniform the distribution and diffusion of the acid generating component in a resist film, and suppresses deactivation of acid at the substrate interface. The pattern can be formed to a profile which is improved in LER and undercut. | 08-30-2012 |
20120219888 | CHEMICALLY AMPLIFIED NEGATIVE RESIST COMPOSITION AND PATTERNING PROCESS - A polymer comprising 0.5-10 mol % of recurring units having acid generating capability and 50-99.5 mol % of recurring units providing for dissolution in alkaline developer is used to formulate a chemically amplified negative resist composition. When used in a lithography process, the composition exhibits a high resolution and forms a negative resist pattern of a profile with minimized LER and undercut. | 08-30-2012 |
20120219889 | PHOTOMASK BLANK, PHOTOMASK, AND PHOTOMASK MANUFACTURING METHOD - A photomask blank has a light-shielding film composed of at least two layers on a transparent substrate. The light-shielding film includes a light-shielding layer made of a material mainly containing tantalum nitride and containing less than 62 at % nitrogen. The material is capable of being dry-etched with a chlorine-based gas containing no oxygen. The light-shielding film further includes a front-surface antireflection layer formed on the light-shielding layer and made of a material not capable of being dry-etched with a chlorine-based gas, but capable of being dry-etched with a fluorine-based gas. | 08-30-2012 |
20120219890 | OPTICAL MEMBER FOR EUV LITHOGRAPHY, AND PROCESS FOR PRODUCTION OF REFLECTIVE LAYER-EQUIPPED SUBSTRATE - There are provided an EUV optical member, in which deterioration in the reflectivity due to oxidation of the Ru protective layer is prevented, a functional film-equipped substrate to be employed for production of the EUV optical member, and a process for producing the functional film-equipped substrate. A reflective layer-equipped substrate for EUV lithography comprising a substrate, and a reflective layer for reflecting EUV light and a protective layer for protecting the reflective layer, formed in this order on the substrate, wherein the reflective layer is a Mo/Si multilayer reflective film, the protective layer is a Ru layer or a Ru compound layer, and an intermediate layer containing from 0.5 to 20 at % of oxygen and from 80 to 99.5 at % of Si is formed between the reflective layer and the protective layer. | 08-30-2012 |
20120225374 | PHOTOMASK AND METHOD FOR MANUFACTURING THE SAME - According to one embodiment, a photomask includes a substrate, a film portion, a pattern, and a plurality of detection marks. The film portion is provided on a surface of the substrate. The film portion has a light transmittance lower than light transmittance of the substrate. The pattern is provided in a surface of the film portion. The pattern is configured to be transferred to a transfer target. The plurality of detection marks is provided in the film portion, with intensity of light transmitted through the detection marks being suppressed so as to suppress transfer the detection marks to the transfer target. | 09-06-2012 |
20120225375 | OPTICAL MEMBER FOR EUV LITHOGRAPHY - There are provided an EUV optical member, in which deterioration in the reflectivity due to oxidation of the Ru protective layer is prevented, a functional film-equipped substrate to be employed for production of the EUV optical member. A reflective layer-equipped substrate for EUV lithography comprising a substrate, and a reflective layer for reflecting EUV light and a protective layer for protecting the reflective layer, formed in this order on the substrate, wherein the reflective layer is a Mo/Si multilayer reflective film, the protective layer has a three-layer structure wherein a first layer made of a Ru layer or a Ru compound layer, a second layer made of a Mo layer and a third layer made of a Ru layer or a Ru compound layer are laminated in this order on the reflective layer. | 09-06-2012 |
20120231378 | REFLECTIVE LAYER-EQUIPPED SUBSTRATE FOR EUV LITHOGRAPHY, REFLECTIVE MASK BLANK FOR EUV LITHOGRAPHY, REFLECTIVE MASK FOR EUV LITHOGRAPHY, AND PROCESS FOR PRODUCTION OF THE REFLECTIVE LAYER-EQUIPPED SUBSTRATE - Provided are an EUV mask blank in which deterioration in reflectivity due to oxidation of a Ru protective layer is prevented, a reflective layer-equipped substrate to be used for producing the EUV mask blank, and a process for producing the reflective layer-equipped substrate. A reflective layer-equipped substrate for EUV lithography comprising a substrate, and a reflective layer for reflecting EUV light and a protective layer for protecting the reflective layer, formed in this order on the substrate, wherein the reflective layer is a Mo/Si multilayer reflective film, the protective layer is a Ru layer or a Ru compound layer, and an intermediate layer containing from 0.5 to 25 at % of nitrogen and from 75 to 99.5 at % of Si is formed between the reflective layer and the protective layer. | 09-13-2012 |
20120237857 | PHOTOMASK AND METHOD FOR FORMING OVERLAY MARK USING THE SAME - The present invention relates to a photomask and a method for forming an overlay mark in a substrate using the same. The photomask comprises a plurality of patterns. At least one of the patterns comprises a plurality of ring areas and a plurality of inner areas enclosed by the ring areas, wherein the light transmittancy of the ring areas is different from that of the inner areas. When the photomask is applied in a photolithography process, the formed overlay mark has a large thickness. Therefore, the contrast is high when a metrology process is performed, and it is easy to find the overlay mark. | 09-20-2012 |
20120237858 | PHOTOMASK AND A METHOD FOR DETERMINING A PATTERN OF A PHOTOMASK - The present invention relates to a photomask and a method for determining a pattern of the photomask. The photomask includes a base and a plurality of square areas, wherein the light transmittancy of the square areas is different from that of the base. The square areas are arranged on the base with an array arrangement, and the gaps between adjacent square areas are not even. Whereby, the photomask has better normalized image log-slope (NILS) or depth of focus (DOF). | 09-20-2012 |
20120237859 | METHOD OF APPROXIMATING VALUE OF CRITICAL DIMENSION OF PATTERN FORMED BY PHOTOLITHOGRAPHY AND METHOD OF FABRICATING PHOTOMASK INCLUDING OPC BASED ON APPROXIMATED VALUE OF A CD OF A PATTERN - A method of fabricating a photomask includes OPC of a mask pattern based on an approximated (i.e., a predicted) critical dimension (CD) of a film pattern formed using the photomask. First, a photomask is provided, a photosensitive film pattern is formed by a lithographic process using the photomask, a CD of the photosensitive film pattern is determined using a scanning electron microscope (SEM), and a value of the CD of the photosensitive film pattern, at a point in time before the film pattern has been shrunk by the SEM, is approximated by measuring the CD using a reference microscope (e.g., an AFM) and the SEM or just by using the SEM in several sequences. | 09-20-2012 |
20120237860 | REFLECTIVE EXTREME ULTRAVIOLET MASK AND METHOD OF MANUFACTURING THE SAME - A reflective EUV mask and a method of manufacturing the same, the reflective EUV mask including a mask substrate having an exposing region and a peripheral region, the mask substrate including a light scattering crystalline portion that scatters light incident to the peripheral region and that decreases reflectivity of the peripheral region; a reflective layer on an upper surface of the mask substrate, the reflective layer having a first opening that exposes the crystalline portion; and an absorbing layer pattern on an upper surface of the reflective layer, the absorbing layer pattern having a second opening in fluidic communication with the first opening. | 09-20-2012 |
20120237861 | PHOTOMASK AND PHOTOMASK SUBSTRATE WITH REDUCED LIGHT SCATTERING PROPERTIES - A mask substrate, photomask and method for forming the same are provided. The photomask includes a substantially light transparent substrate and a circuitry pattern disposed over the light transparent substrate. The circuitry pattern includes a phase shifting layer disposed over the substantially light transparent substrate. A substantially light shielding layer is disposed over the phase shifting layer. At least one barrier layer is disposed over the substantially light shielding layer. An uppermost portion of the substantially light shielding layer does not comprise anti-reflective properties and the at least one barrier layer comprises an uppermost hardmask layer and an underlying anti-reflective layer. | 09-20-2012 |
20120244458 | ETCH PROCESS FOR CONTROLLING PATTERN CD AND INTEGRITY IN MULTI-LAYER MASKS - A method of patterning a multi-layer mask is described. The method includes preparing a multi-layer mask on a substrate, wherein the multi-layer mask includes a lithographic layer and an intermediate mask layer underlying the lithographic layer, and wherein the intermediate mask layer comprises a carbon-containing compound. The method further includes: establishing an etch process recipe for transferring a pattern, that is formed in the lithographic layer and characterized by an initial pattern critical dimension (CD), to the intermediate mask layer; establishing at least one parametric relationship between an intermediate pattern CD to be formed in the intermediate mask layer and at least one process parameter, wherein the at least one parametric relationship provides process conditions capable of increasing and decreasing the initial pattern CD to the intermediate pattern CD; selecting a target process condition to achieve a target CD adjustment between the initial pattern CD and the intermediate pattern CD; and transferring the pattern from the lithographic layer to the intermediate mask layer using the target process condition. | 09-27-2012 |
20120244459 | METHOD FOR EVALUATING OVERLAY ERROR AND MASK FOR THE SAME - A mask for evaluating overlay error comprises a plurality of replicate device regions and an overlay mark. The plurality of replicate device regions are disposed uniformly on the mask, wherein each comprises a plurality of device patterns; and a plurality of current layer check patterns are formed adjacent to the plurality of device patterns. The overlay mark is formed on the corner of the mask's peripheral region. In particular, the current layer check patterns are configured to evaluate the pattern offset of a current mask, and the overlay mark and the current layer check patterns are configured to evaluate the overlay error by performing an exposure process using the current mask and a next mask. | 09-27-2012 |
20120244460 | MECHANISMS FOR PATTERNING FINE FEATURES - The embodiments described provide mechanisms for patterning features for advanced technology nodes with extreme ultraviolet lithography (EUVL) tools. One or more EUV pre-masks are generated by using a mask writer to form an EUV mask with an EUV scanner. The wafers are then patterned by using the EUV mask. The demagnification factor of the EUV scanner(s) used in preparing the EUV mask by exposing the EUV pre-mask(s) enable the wafers prepared by such mechanisms to meet the requirements for the advanced technology nodes. | 09-27-2012 |
20120251928 | METHOD OF MANUFACTURING A TRANSFER MASK AND METHOD OF MANUFACTURING A SEMICONDUCTOR DEVICE - An internal defect or the like of a transfer mask is detected using transmitted light quantity distribution data of an inspection apparatus. Using a die-to-die comparison inspection method, inspection light is irradiated to a first region of a thin film to obtain a first transmitted light quantity distribution, the inspection light is also irradiated to a second region of the thin film to obtain a second transmitted light quantity distribution, a predetermined-range difference distribution is produced by plotting coordinates at which difference light quantity values calculated from a comparison between the first transmitted light quantity distribution and the second transmitted light quantity distribution are each not less than a first threshold value and less than a second threshold value, and a selection is made of a transfer mask in which a region with high density of plotting is not detected in the predetermined-range difference distribution. | 10-04-2012 |
20120251929 | PHASE SHIFT MASK BLANK, PHASE SHIFT MASK, AND METHOD FOR MANUFACTURING PHASE SHIFT MASK BLANK - A phase shift mask blank having, on a transparent substrate, a phase shift film including, as main components, a metal, silicon (Si) and nitrogen (N), having optical characteristics of a transmittance of equal to or greater than 9% and equal to or less than 30% with respect to a wavelength of the ArF excimer laser beam and a phase difference of equal to or greater than 150° and less than 180°, and a light-shielding film formed on the phase shift film. A thickness of the phase shift film is equal to or less than 80 nrn, a refractive index (n) with respect to the wavelength of the ArF excimer laser beam is equal to or greater than 2.3, and an extinction coefficient (k) is equal to or greater than 0.28. | 10-04-2012 |
20120251930 | PHOTOMASK BLANK AND METHOD FOR MANUFACTURING PHOTOMASK - According to one embodiment, a photomask blank wherein a second film is stacked on a first film, the first film containing chromium and which is not substantially etched by the dry etching using fluorine and which is etchable by the dry etching using oxygen-containing chlorine, and the second film containing no chromium and which is etchable by dry etching using fluorine and dry etching using oxygen-containing chlorine. | 10-04-2012 |
20120251931 | TRANSFER MASK, METHOD OF MANUFACTURING A TRANSFER MASK, AND METHOD OF MANUFACTURING A SEMICONDUCTOR DEVICE - Provided is a transfer mask which has a transfer pattern formed in a pattern-forming thin film provided on a transparent substrate and is adapted to be applied with exposure light having a wavelength of 200 nm or less. The pattern-forming thin film is made of a material containing silicon an a transition metal other than chromium and the chromium content in the film is less than 1.0×10 | 10-04-2012 |
20120258386 | MODEL OF DEFINING A PHOTORESIST PATTERN COLLAPSE RULE, AND PHOTOMASK LAYOUT, SEMICONDUCTOR SUBSTRATE AND METHOD FOR IMPROVING PHOTORESIST PATTERN COLLAPSE - A model of defining a photoresist pattern collapse rule is provided. A portion of the photoresist pattern which corresponds to a second line pattern of a photomask layout is defined as non-collapse if d≧5a and c≧1.5b or if 5a>d≧3a and c≧1.2b, wherein b is the widths of two first line patterns, c is the width of a second line pattern of the photomask layout, and a and d are distances between the second line pattern and the two first line patterns. Accordingly, a photomask layout, a semiconductor substrate and a method for improving photoresist pattern collapse for post-optical proximity correction are also provided. | 10-11-2012 |
20120258387 | METHOD AND MASK FOR ENHANCING THE RESOLUTION OF PATTERNING 2-ROW HOLES - A photolithography mask including a plurality of mask features. Adjacent mask features are separated by a gap and are offset from each other such that individual mask features have one-side dense portions and two-side dense portions. Also a photolithography method that includes a step of providing a substantially opaque mask having N stepped rows of offset, substantially transparent, rectangular mask features, where N is an integer and N≧2. The method also includes illuminating a photoresist layer located over an underlying material with dipole illumination through the substantially transparent, rectangular mask features in the substantially opaque mask to form 2N rows of exposed regions in the photoresist layer. The exposed regions have a substantially elliptical or substantially circular shape when viewed from above the photoresist layer. | 10-11-2012 |
20120258388 | SURFACE TREATMENT METHOD FOR A MASK BLANK, METHOD OF MANUFACTURING A MASK BLANK, AND METHOD OF MANUFACTURING A MASK - Provided is a mask blank surface treatment method for surface-treating, using a treatment liquid, a surface of a thin film, to be formed into a transfer pattern, of a mask blank having the thin film on a substrate. The thin film is made of a material that can be etched by ion-based dry etching. The concentration of an etching inhibitor contained in the treatment liquid is 0.3 ppb or less. | 10-11-2012 |
20120258389 | TITANIA-DOPED QUARTZ GLASS AND MAKING METHOD - On an EUV light-reflecting surface of titania-doped quartz glass, an angle (θ) included between a straight line connecting an origin (O) at the center of the reflecting surface to a birefringence measurement point (A) and a fast axis of birefringence at the measurement point (A) has an average value of more than 45 degrees. Since fast axes of birefringence are distributed in a concentric fashion, a titania-doped quartz glass substrate having a high flatness is obtainable which is suited for use in the EUV lithography. | 10-11-2012 |
20120276473 | PELLICLE FOR LITHOGRAPHY - A pellicle for lithography, in which an agglutinant layer is so controlled that the deformation of the pellicle frame is prevented from transferring to an exposure original plate to which the pellicle is attached so that pattern transferred scarcely undergoes deformation; in particular the agglutinant layer has a Young's modulus of 0.02 to 0.08 MPa and a tensile bond strength of 0.04 to 0.08 N/mm | 11-01-2012 |
20120276474 | METHOD OF CLEANING SUBSTRATE - The present invention is a method of cleaning a substrate, comprising cleaning at least one surface of a substrate located in a liquid by injecting pressurized cleaning liquid containing bubbles or cleaning particles from a injection nozzle to at least one surface of the substrate. | 11-01-2012 |
20120276475 | BLANK SUBSTRATES FOR EXTREME ULTRA VIOLET PHOTO MASKS AND METHODS OF FABRICATING AN EXTREME ULTRA VIOLET PHOTO MASK USING THE SAME - Blank substrates for an extreme ultraviolet (EUV) photo mask are provided. The blank substrate includes a substrate, a reflection layer on the substrate, an absorption layer on the reflection layer opposite to the substrate, and a critical dimension (CD) compensation layer on the absorption layer opposite to the reflection layer. Methods of forming an extreme ultraviolet (EUV) photo mask using the blank substrate are also provided. | 11-01-2012 |
20120288786 | RC EXTRACTION FOR SINGLE PATTERNING SPACER TECHNIQUE - A method includes performing a place and route operation using an electronic design automation tool to generate a preliminary layout for a photomask to be used to form a circuit pattern of a semiconductor device. The place and route operation is constrained by a plurality of single patterning spacer technique (SPST) routing rules. Dummy conductive fill patterns are emulated within the EDA tool using an RC extraction tool to predict locations and sizes of dummy conductive fill patterns to be added to the preliminary layout of the photomask. An RC timing analysis of the circuit pattern is performed within the EDA tool, based on the preliminary layout and the emulated dummy conductive fill patterns. | 11-15-2012 |
20120288787 | Beam Exposure Systems and Methods of Forming a Reticle Using the Same - In a method of forming a reticle and electron beam exposure system, first electron beams are irradiated onto a first region of a blank reticle having a light shielding layer and a photosensitive layer, to form first shot patterns. Second electron beams having a cross-sectional area larger than the first electron beams are irradiated onto a second region of the blank reticle. The photosensitive layer is developed to form first and second mask patterns at the first and second regions, respectively. The light shielding layer is etched off using the first and second mask patterns as an etching mask, thereby forming the mother pattern including a first pattern in the first region and a second pattern in the second region. Accordingly, the enlargement of the second electron beams reduces the scan time for the blank reticle, thereby reducing the process time. | 11-15-2012 |
20120295186 | DOUBLE PATTERNING MASK SET AND METHOD OF FORMING THEREOF - A double patterning mask set includes a first mask having a first set of via patterns, and a second mask having a second set of via patterns. The first set of via patterns includes at least two via patterns arranged along a diagonal direction, each of the at least two via patterns has at least a truncated corner. The first set of via patterns and the second set of via patterns are interlacedly arranged along a horizontal direction and a vertical direction. | 11-22-2012 |
20120295187 | DUMMY PATTERNS AND METHOD FOR GENERATING DUMMY PATTERNS - A method for generating dummy patterns includes providing a layout region having a layout pattern with a first density, inserting a plurality of first dummy patterns with a second density corresponding to the first density in the layout pattern, dividing the layout region into a plurality of sub-regions with a third density, adjusting a size of the first dummy pattern according to a difference between the second density and the third density, and outputting the layout pattern and the first dummy patterns on a photomask. | 11-22-2012 |
20120301817 | ACTINIC RAY-SENSITIVE OR RADIATION-SENSITIVE RESIN COMPOSITION, RESIST FILM USING THE COMPOSITION AND PATTERN FORMING METHOD - An actinic ray-sensitive or radiation-sensitive resin composition comprising (P) a resin having a repeating unit represented by the following formula (1), a resist film using the composition, and a pattern forming method. | 11-29-2012 |
20120308919 | Manufacturing Method for Color Filter Substrate, Photomask and Photoreactive Layer - A manufacturing method for a color filter substrate is disclosed in the present disclosure, which comprises the following steps of: providing a substrate; providing a photoreactive layer that covers the substrate; providing a photomask disposed above the photoreactive layer; and providing light rays of different frequency bands for irradiating the photoreactive layer through the photomask so as to form color resist regions and black matrix regions on the photoreactive layer respectively. A photomask and a photoreactive layer for preparing a color resist layer on a color filter substrate are also provided in the present disclosure. Thereby, the present disclosure can advantageously shorten the production cycle, and improve the aperture ratio and the contrast ratio. | 12-06-2012 |
20120308920 | SULFONIUM SALT, POLYMER, CHEMICALLY AMPLIFIED RESIST COMPOSITION USING SAID POLYMER, AND RESIST PATTERNING PROCESS - There is disclosed a sulfonium salt shown by the following general formula (1). There can be a sulfonium salt capable of introducing an acid-generating unit generating an acid having an appropriate acid strength and not impairing adhesion with a substrate into a base polymer; a polymer using the said sulfonium salt; a chemically amplified resist composition using the said polymer as a base polymer; and a patterning process using the said chemically amplified resist composition. | 12-06-2012 |
20120308921 | METHOD OF OPTIMIZING A DIE SIZE, METHOD OF DESIGNING A PATTERN DEVICE MANUFACTURING METHOD, AND COMPUTER PROGRAM PRODUCT - A method of optimizing a die size in a method of manufacturing devices using a lithographic apparatus, wherein the lithographic apparatus is arranged to expose an image field of variable size in a single exposure step, the image field having a certain maximum size, the method comprising: receiving a desired area for the die; and calculating a target aspect ratio for the die, wherein the target aspect ratio is determined so as to maximize the number of good dies that can be imaged per hour using the lithographic apparatus. Desirably, calculating a target aspect ratio comprises finding a first target aspect ratio that maximizes a figure of merit MF, where MF is the ratio of the number of dies exposed in each image field divided by the number of exposures on each substrate. | 12-06-2012 |
20120308922 | PELLICLE MOUNTING APPARATUS AND ASSEMBLY WITH PELLICLE MOUNTED ON MASK - An apparatus is provided for mounting a pellicle to a photomask. A chamber has at least one port for filling the chamber with extreme clean dry air (XCDA) or an inert gas. A pellicle mounter is provided within the chamber. The mask is irradiated with a vacuum ultra violet (VUV) light in an atmosphere of the XCDA or inert gas, and the pellicle is mounted to the mask while the mask is in the atmosphere of the XCDA or inert gas and exposed to the VUV light. An assembly includes the mask attached to a pellicle frame by a pressure sensitive adhesive; and a pellicle joined to the pellicle frame, forming a sealed enclosure, the sealed enclosure being filled with extreme clean dry air (XCDA) or inert gas. | 12-06-2012 |
20120321999 | GLASS SUBSTRATE-HOLDING TOOL AND METHOD FOR PRODUCING AN EUV MASK BLANK BY EMPLOYING THE SAME - A glass substrate-holding tool, adapted to be employed during the production of a reflective mask blank for EUV lithography (EUVL), includes an electrostatic chuck and a supporting member. The chuck attracts a rear surface of a glass substrate in a non-contact manner by electrostatic attractive force. The supporting member partly supports the rear surface. An area ratio of a projected area of an active surface of the chuck for providing the electrostatic force to an area of a quality-guaranteed region of the rear surface is from 0.5 to 1.0. The active surface is apart from the rear surface by more than 20 μm. The supporting member is configured to support only a region including at least two of four sides defining an outer portion outside the quality-guaranteed region. | 12-20-2012 |
20120322000 | REFLECTIVE MASK BLANK FOR EUV LITHOGRAPHY AND PROCESS FOR PRODUCING THE SAME - To provide an EUV mask blank provided with a low reflective layer, which has excellent properties as an EUV mask blank. A reflective mask blank for EUV lithography comprising a substrate, and a reflective layer for reflecting EUV light, an absorber layer for absorbing EUV light and a low reflective layer to an inspection light (wavelength: 190 to 260 nm) for a mask pattern, formed in this order on the substrate, wherein the low reflective layer has a stacked structure having a first layer containing at least 95 at % in total of silicon (Si) and nitrogen (N), and a second layer containing at least 95 at % in total of tantalum (Ta), oxygen (O) and nitrogen (N) or a second layer containing at least 95 at % in total of tantalum (Ta) and nitrogen (N), stacked in this order from the absorber layer side. | 12-20-2012 |
20120328974 | PHOTOMASK DEFECT CORRECTING METHOD AND DEVICE - A photomask defect correction method and device correct an opaque or a clear defect of a photomask. An opaque or clear defect in a portion of a photomask to be corrected is observed and information of the observed defect for performing correction of the defect is acquired. The observed defect is corrected in accordance with the acquired defect information by irradiating the observed defect with a focused ion beam from an ion beam irradiation system having a gas field ion source that generates gas ions for forming the focused ion beam. The gas ions may be hydrogen ions, nitrogen ions, oxygen ions, fluorine ions or chlorine ions. | 12-27-2012 |
20130004888 | ACTINIC RAY-SENSITIVE OR RADIATION-SENSITIVE RESIN COMPOSITION, AND ACTINIC RAY-SENSITIVE OR RADIATION-SENSITIVE FILM AND PATTERN FORMING METHOD USING THE COMPOSITION - An object of the present invention is to provide an actinic ray-sensitive or radiation-sensitive resin composition that can form independent line patterns with high resolution and excellent shapes and shows excellent resist performances including roughness characteristics, and to provide an actinic ray-sensitive or radiation-sensitive film and a pattern forming method using the composition. | 01-03-2013 |
20130004889 | Methods of Forming Patterned Masks - Some embodiments include methods in which spaced-apart first features are formed from a first material having a reflow temperature. Second material is formed along sidewalls of the first features, and third material is formed over the second material and the first features. The third material may be formed at a temperature above the reflow temperature of the first material, and the second material may support the first features so that the first features do not collapse even though they are exposed to such temperature. In some embodiments the third material has an undulating topography. Fourth material may be formed within the valleys of the undulating topography, and subsequently the first features may be removed together with at least some of the third material to leave a pattern comprising second features formed from the second material and pedestals formed from the fourth material. | 01-03-2013 |
20130011772 | OPTICALLY SEMITRANSMISSIVE FILM, PHOTOMASK BLANK AND PHOTOMASK, AND METHOD FOR DESIGNING OPTICALLY SEMITRANSMISSIVE FILM - A photomask blank includes a transparent substrate and a film containing at least two layers having at least a first layer and a second layer formed on the transparent substrate. The first layer is made of a material containing one or more materials selected from the group Ta, Hf, Si, Cr, Ag, Au, Cu, Al, and Mo. The second layer is made of MoSiN, MoSiO, MoSiON, SiN, SiO, or SiON. A phase difference of the film containing at least two layers is from −30° to +30°. | 01-10-2013 |
20130011773 | OPTICAL MEMBER BASE MATERIAL FOR EUV LITHOGRAPHY, AND METHOD FOR PRODUCING SAME - The present invention relates to a method for producing an optical member base material for EUVL, comprising performing the following in this order to obtain an optical member base material for EUVL: a preliminary-polishing step of preliminarily polishing a film forming surface and a back surface of the film forming surface of a glass substrate; a measuring step of measuring a total thickness distribution and a flatness of the glass substrate; and a corrective-polishing step of locally polishing only the back surface of the glass substrate depending on the measurement result of the measuring step. | 01-10-2013 |
20130017474 | METHOD OF FORMING ASSIST FEATURE PATTERNSAANM Chiang; Yi-ChihAACI Tainan CityAACO TWAAGP Chiang; Yi-Chih Tainan City TWAANM Pai; Yuan-ChiAACI Tainan CityAACO TWAAGP Pai; Yuan-Chi Tainan City TWAANM Lee; Sho-ShenAACI New Taipei CityAACO TWAAGP Lee; Sho-Shen New Taipei City TWAANM Chen; Yi-TingAACI Kaohsiung CityAACO TWAAGP Chen; Yi-Ting Kaohsiung City TWAANM Yu; Tuan-YenAACI Tainan CityAACO TWAAGP Yu; Tuan-Yen Tainan City TW - A method of forming assist feature patterns includes providing an original layout pattern having at least a first region defined therein, the first region having a first light transmission rate larger than 0%; performing a search step to the original layout pattern to define at least a second region having a second light transmission rate equal to 0% in the original layout pattern; forming a plurality of assist features in the second region to increase the second light transmission rate to larger than 0%; and outputting the original layout pattern and the assist features to a reticle blank. | 01-17-2013 |
20130017475 | METHOD OF INSPECTING MASK, MASK INSPECTION DEVICE, AND METHOD OF MANUFACTURING MASKAANM TERASAWA; TsuneoAACI KanagawaAACO JPAAGP TERASAWA; Tsuneo Kanagawa JPAANM Suga; OsamuAACI KanagawaAACO JPAAGP Suga; Osamu Kanagawa JP - There is provided a method of high-sensitively detecting both of a phase defect existing in a mask blank and a phase defect remaining after manufacturing an EUVL mask. When the mask blank is inspected, EUV light having illumination NA to be within an inner NA but a larger value is irradiated. When the EUVL mask is inspected, by using a dark-field imaging optical system including a center shielding portion for shielding EUV light and a linear shielding portion for shielding the EUV light whose width is smaller than a diameter of the center shielding portion, the center shielding portion and the linear shielding portion being included in a pupil plane, the EUV light having illumination NA as large as or smaller than the width of the linear shielding portion is irradiated. | 01-17-2013 |
20130022900 | METHOD OF MANUFACTURING A MASK BLANK SUBSTRATE, METHOD OF MANUFACTURING A MASK BLANK, METHOD OF MANUFACTURING A TRANSFER MASK, AND METHOD OF MANUFACTURING A SEMICONDUCTOR DEVICE - In a simulation step of simulating a surface configuration of a substrate which is used for a mask blank and which is set to an exposure apparatus, height information from a reference plane is derived from a plurality of measurement points on a main surface of the substrate. From the height information, a curved surface of fourth, fifth, or sixth order is approximated which is represented by a polynomial specified by a plurality of terms and coefficients of the terms. The coefficients are stored as coefficient information in association with the substrate. | 01-24-2013 |
20130029253 | EXTREME ULTRAVIOLET MASKS HAVING ANNEALED LIGHT-ABSORPTIVE BORDERS AND ASSOCIATED FABRICATION METHODS - Embodiments of a method for fabricating an extreme ultraviolet (EUV) mask having a die pattern area are provided, as are embodiments of a method for fabricating an integrated circuit utilizing an EUV mask and embodiments of an EUV mask. In one embodiment, the EUV mask fabrication method includes obtaining an EUV mask blank including a substrate and a multi-layer (ML) reflector disposed over the substrate, and annealing localized portions of the ML reflector to produce an EUV light-absorptive border extending at least partially around an outer perimeter of the die pattern area. | 01-31-2013 |
20130029254 | CHEMICAL AMPLIFICATION RESIST COMPOSITION, AND RESIST FILM, RESIST-COATED MASK BLANK, RESIST PATTERN FORMING METHOD AND PHOTOMASK EACH USING THE COMPOSITION - A chemical amplification resist composition contains: (A) a polymer compound having a phenolic hydroxyl group and a group formed by substituting a substituent for a hydrogen atom of a hydroxyl group in a phenolic hydroxyl group and satisfying the following (a) to (c) at the same time: (a) the polydispersity is 1.2 or less, (b) the weight average molecular weight is from 2,000 to 6,500, and (c) the glass transition temperature (Tg) is 140° C. or more. | 01-31-2013 |
20130029255 | ACTINIC RAY-SENSITIVE OR RADIATION-SENSITIVE RESIN COMPOSITION, AND ACTINIC RAY-SENSITIVE OR RADIATION-SENSITIVE FILM AND PATTERN FORMING METHOD USING THE COMPOSITION - Provided is an actinic ray-sensitive or radiation-sensitive resin composition containing a compound (A) which contains at least one phenolic hydroxyl group and at least one group where a hydrogen atom in a phenolic hydroxyl group is substituted by a group represented by the following General Formula (1). | 01-31-2013 |
20130034806 | PHOTOMASK MAKING METHOD, PHOTOMASK BLANK AND DRY ETCHING METHOD - A photomask is manufactured by providing a photomask blank comprising a transparent substrate, a phase shift film, and a light-shielding film, the phase shift film and the light-shielding film including silicon base material layers, a N+O content in the silicon base material layer of the phase shift film differing from that of the light-shielding film, and chlorine dry etching the blank with oxygen-containing chlorine gas in a selected O/Cl ratio for selectively etching away the silicon base material layer of the light-shielding film. | 02-07-2013 |
20130034807 | Method and System for Design of a Reticle to be Manufactured Using Variable Shaped Beam Lithography - A method for fracturing or mask data preparation or proximity effect correction of a pattern to be formed on a surface is disclosed in which a plurality of variable shaped beam (VSB) shots are determined, and in which charged particle beam simulation is used to calculate the pattern which the plurality of VSB shots will form on the surface. At least two shots in the plurality of VSB shots overlap each other. In some embodiments, assigned dosages of at least two shots differ before proximity effect correction (PEC). In other embodiments an optimization technique may be used. | 02-07-2013 |
20130040230 | METHOD OF DETERMINING FOCUS AND DOSE OF AN APPARATUS OF OPTICAL MICRO-LITHOGRAPHY - In one or more embodiments, the disclosure relates to a method of setting a photolithography exposure machine, comprising: forming on a photolithography mask test patterns and circuit patterns, transferring the patterns to a resin layer covering a wafer, measuring a critical dimension of each test pattern transferred, and determining a focus setting error value of the photolithography machine from the measure of the critical dimension of each pattern, the test patterns formed on the mask comprising a first reference test pattern and a second test pattern forming for a photon beam emitted by the photolithography machine and going through the mask, an optical path having a length different from an optical path formed by the first test pattern and the circuit patterns formed on the mask. | 02-14-2013 |
20130040231 | METHOD FOR ETCHING A MOLYBDENUM LAYER SUITABLE FOR PHOTOMASK FABRICATION - Methods for fabricating a photomask are disclosed herein. In one embodiment, a method for fabricating a photomask includes providing a filmstack having a molybdenum layer and a light-shielding layer in a processing chamber, patterning a first resist layer on the light-shielding layer, etching the light-shielding layer using the first resist layer as an etch mask, and etching the molybdenum layer using the patterned light-shielding layer and the patterned first resist layer as a composite mask. | 02-14-2013 |
20130040232 | Method of Patterning NAND Strings Using Perpendicular SRAF - A lithography mask includes a plurality of patterning features formed on a mask substrate and a first plurality of sub-resolution assist features (SRAFs) formed substantially perpendicular to the patterning features on the mask substrate. | 02-14-2013 |
20130045439 | TITANIA-DOPED QUARTZ GLASS AND MAKING METHOD - Titania-doped quartz glass is manufactured by mixing a silicon-providing reactant gas and a titanium-providing reactant gas, preheating the reactant gas mixture at 200-400° C., and subjecting the mixture to oxidation or flame hydrolysis. A substrate of the glass is free of concave defects having a volume of at least 30,000 nm | 02-21-2013 |
20130045440 | RESIST PATTERN FORMING METHOD, RESIST PATTERN, CROSSLINKING NEGATIVE CHEMICAL-AMPLIFICATION RESIST COMPOSITION FOR ORGANIC SOLVENT DEVELOPMENT, NANOIMPRINT MOLD, AND PHOTOMASK - A resist pattern forming method contains, in order: (1) a step of forming a resist film by using a negative chemical-amplification resist composition containing: (A) polymer compound having a repeating unit represented by the specific formula, (B) a phenolic compound being capable of crosslinking the polymer compound (A) by the action of an acid and having two or more benzene rings and four or more alkoxymethyl groups, and (C) a compound capable of generating an acid upon irradiation with an actinic ray or radiation, (2) a step of exposing the film, and (4) a step of, after exposure, developing the film by using a developer containing an ester-based solvent having a carbon number of 7 or 8. | 02-21-2013 |
20130052566 | LITHOGRAPHY METHODS, METHODS FOR FORMING PATTERNING TOOLS AND PATTERNING TOOLS - Methods of lithography, methods for forming patterning tools, and patterning tools are described. One such patterning tool include an active region that forms a first diffraction image on a lens when in use, and an inactive region that forms a second diffraction image on a lens when in use. The inactive region includes a pattern of phase shifting features formed in a substantially transparent material of the patterning tool. Patterning tools and methods, as described, can be used to compensate for lens distortion from effects such as localized heating. | 02-28-2013 |
20130052567 | RESIST PATTERN FORMING METHOD, RESIST PATTERN, CROSSLINKABLE NEGATIVE RESIST COMPOSITION, NANOIMPRINT MOLD AND PHOTOMASK - A resist pattern forming method includes: in the following order, (1) a step of forming a film on a substrate by using a negative resist composition capable of undergoing negative conversion by a crosslinking reaction; (2) a step of exposing the film; and (4) a step of performing development by using an alkali developer after the exposing, wherein the negative resist composition contains (A) a polymer compound having a repeating unit represented by the following formula (I) as defined in the specification, a thickness of the film formed in the step (1) is from 15 to 40 nm, and an alkali component concentration in the alkali developer is from 0.5 to 1.1 mass %. | 02-28-2013 |
20130052568 | RESIST PATTERN FORMING METHOD, RESIST PATTERN, POSITIVE RESIST COMPOSITION, NANOIMPRINT MOLD AND PHOTOMASK - A resist pattern forming method includes: in the following order, (1) a step of forming a film on a substrate by using a positive resist composition; (2) a step of exposing the film; and (4) a step of performing development by using an alkali developer after the exposing, wherein the positive resist composition contains (A) a polymer compound having a repeating unit represented by the following formula (I) as defined in the specification, a thickness of the film formed in the step (1) is from 15 to 40 nm, and an alkali component concentration in the alkali developer is from 0.5 to 1.1 mass %. | 02-28-2013 |
20130052569 | EXPOSURE APPARATUS FOR FORMING A RETICLE AND METHOD OF FORMING A RETICLE USING THE SAME - A method including loading a blank reticle; projecting an electron beam; moving a second aperture plate having a first and second pattern aperture so the first pattern aperture is overlapped by a first aperture of a first aperture plate, the electron beam passing through the first pattern aperture after passing the first aperture; exposing the blank reticle with the electron beam that passes the first pattern aperture to form a first exposure pattern; moving the second aperture plate so the second pattern aperture is overlapped by the first aperture of the first aperture plate, the electron beam passing through the second pattern aperture after passing the first aperture; exposing the blank reticle with the electron beam after passing the second pattern aperture, to form a second exposure pattern; and developing the blank reticle having the first and second exposure patterns to form the reticle having first and second patterns. | 02-28-2013 |
20130059234 | EXPOSURE METHOD AND EXPOSURE MASK - According to one embodiment, an exposure method comprises exposing a desired pattern on a sample by use of a first reflection type mask on which the desired pattern to be exposed on the sample is formed and a defect is partially formed, and exposing a correction pattern on the sample by use of a second reflection type mask having the correction pattern of a reflection film formed at a position corresponding to the defect of the first reflection type mask. | 03-07-2013 |
20130059235 | PHOTOMASK BLANK, PHOTOMASK, AND MAKING METHOD - In a photomask blank comprising a transparent substrate, an optical film of material containing a transition metal and silicon, and a hard mask film, the hard mask film is a multilayer film including a first layer of a chromium-based material containing 20-60 atom % of oxygen and a second layer of a chromium-based material containing at least 50 atom % of chromium and less than 20 atom % of oxygen. The hard mask film having a thickness of 2.0 nm to less than 10 nm is resistant to fluorine dry etching. | 03-07-2013 |
20130059236 | MASK BLANK, TRANSFER MASK AND PROCESS FOR MANUFACTURING SEMICONDUCTOR DEVICES - The present invention is the mask blank includes a glass substrate and a thin film formed on a main surface of the glass substrate, the thin film includes a material containing tantalum and substantially no hydrogen, and the mask blank has a invasion suppressive film between the main surface of the glass substrate and the thin film which suppresses hydrogen from being invaded from the glass substrate into the thin film. | 03-07-2013 |
20130059237 | METHOD FOR FABRICATING A PHOTOMASK FOR EUV LITHOGRAPHY - A photomask for extreme ultraviolet (EUV) lithography includes: a substrate; a reflection layer disposed over the substrate and reflecting EUV light incident thereto; and an absorber layer pattern disposed over the reflection layer to expose a portion of the reflection layer and comprising a material having an extinction coefficient (k) to EUV radiation higher than that tantalum (Ta). | 03-07-2013 |
20130059238 | REVERSE OPTICAL PROXIMITY CORRECTION METHOD - A method for fabricating an anti-fuse memory cell having a semiconductor structure with a minimized area. The method includes providing a reference pattern for the semiconductor structure, and applying a reverse OPC technique that includes inverting selected corners of the reference pattern. The reverse OPC technique uses photolithographic distortions to provide a resulting fabricated pattern that is intentionally distorted relative to the reference pattern. By inverting corners of a geometric reference pattern, the resulting distorted pattern will have an area that is reduced relative to the original reference pattern. This technique is advantageous for reducing the area of a selected region of a semiconductor structure which may otherwise not be possible through normal design parameters. | 03-07-2013 |
20130065160 | REMOVABLE TRANSPARENT MEMBRANE FOR A PELLICLE - According to one embodiment, a pellicle includes first and second frame members that are selectively removable from one another. The second frame member has an annular shape similar to and is physically coupled to an outer periphery of a transparent membrane. The second frame member configured to be selectively coupled to the first frame member from a engaged position adjacent to the first frame member to a disengaged position in which the second frame member is separated from the first frame member. | 03-14-2013 |
20130065161 | WAVE-SHAPED MASK OF FABRICATING NANO-SCALED STRUCTURE - A wave-shaped mask for fabricating a nano-scale structure is disclosed. The wave-shaped mask comprises an elastomeric transparent substrate having an upper surface and a lower surface, and a light-penetrable thin film layer disposed on the upper surface of the elastomeric transparent substrate. The upper surface of the elastomeric transparent substrate and the light-penetrable thin film layer are in a periodic wave shape, and the lower surface of the elastomeric transparent substrate is in a plate shape. | 03-14-2013 |
20130065162 | METHOD OF FABRICATING WAVE-SHAPED MASK AND EXPOSURE METHOD OF FABRICATING NANO-SCALED STRUCTURE USING THE WAVE-SHAPED MASK - A method of fabricating wave-shaped mask is disclosed. The method of fabricating wave-shaped mask comprises the steps of providing an elastomeric transparent substrate comprising an upper surface and a lower surface, applying a stable force to the elastomeric transparent substrate for deforming the elastomeric transparent substrate, forming a light-penetrable thin film layer on the upper surface of the elastomeric transparent substrate, and removing the force applying to the elastomeric transparent substrate, whereby the upper surface of the elastomeric transparent substrate and the light-penetrable thin film layer are in a periodic wave shape and the lower surface of the elastomeric transparent substrate is in a plate shape. | 03-14-2013 |
20130065163 | METHOD OF MANUFACTURING EUV MASK - Techniques for easily fabricating defect-free EUV masks with good yield are provided. A method of manufacturing an EUV mask according to the present invention includes the steps of: carrying out a defect inspection after depositing a multilayer film on a substrate; if a defect is found in the defect inspection, determining whether the defect is a recessed defect, a protruded defect, or defects in which the recessed defect and the protruded defect are mixed, and if the defects are the mixed defects of the recessed defect and the protruded defect, determining the relation in size between the defects; and depositing an additional multilayer film on the multilayer film while changing a film forming method in accordance with the results of the determination. | 03-14-2013 |
20130065164 | PELLICLE FOR LITHOGRAPHY AND A METHOD OF MAKING THEREOF - A pellicle is proposed wherein the mask-bonding agglutinant layer coated on one annular face of the pellicle frame is designed to have a cross-section which is trapezoidal (including the case of rectangle) so that the angle alpha (α) included between the side wall of the agglutinant layer and said annular face is 90 degrees or smaller. | 03-14-2013 |
20130065165 | MASK BLANK, TRANSFER MASK, METHOD OF MANUFACTURING A TRANSFER MASK, AND METHOD OF MANUFACTURING A SEMICONDUCTOR DEVICE - A mask blank is used for manufacturing a binary mask adapted to be applied with ArF excimer laser exposure light and has a light-shielding film for forming a transfer pattern on a transparent substrate. The light-shielding film has a laminated structure of a lower layer and an upper layer and has an optical density of 2.8 or more for the exposure light. The lower layer is made of a material containing tantalum and nitrogen and has a thickness of 33 nm or more. The upper layer is made of a material containing tantalum and oxygen and has a thickness of 3 nm or more. The phase difference between the exposure light transmitted through the light-shielding film and the exposure light transmitted in air for a distance equal to the thickness of the light-shielding film is 60 degrees or less. | 03-14-2013 |
20130065166 | PHASE SHIFT MASK BLANK AND PHASE SHIFT MASK - The present invention provides a photomask blank used for producing a photomask to which an ArF excimer laser light is applied, wherein: a light-shielding film is provided on a light transmissive substrate; the light-shielding film has a laminated structure in which a lower layer, an interlayer and an upper layer are laminated in this order from the side close to the light transmissive substrate; the thickness of the entire light-shielding film is 60 nm or less; the lower layer is made of a film containing a metal and has a first etching rate; the upper layer is made of a film containing a metal and has a third etching rate; the interlayer is made of a film containing the same metal as that contained in the lower layer or the upper layer and has a second etching rate that is lower than the first etching rate and the third etching rate; and the thickness of the interlayer is 30% or less of the thickness of the entire light-shielding film. | 03-14-2013 |
20130071775 | Method of Manufacturing a Mask - A method of manufacturing a mask may include forming initial ribs and removing edge portions of the initial ribs to form final ribs, each of which has a top width smaller than that of the initial rib. A space between the initial ribs may be smaller than a width of a slit limited by the final ribs. | 03-21-2013 |
20130071776 | Generalization Of Shot Definitions For Mask And Wafer Writing Tools - Techniques for reducing the number of shots required by a radiation beam writing tool to write a pattern, such as fractured layout design, onto a substrate. One or more apertures are employed by a radiation beam writing tool to write a desired pattern onto a substrate using L-shaped images, T-shaped images, or some combination of both. By reducing the number of shots required to write a pattern onto a substrate, various implementations of the invention may reduce the write time and/or write complexity of the write process. | 03-21-2013 |
20130071777 | PHASE SHIFT MASK BLANK, METHOD OF MANUFACTURING THE SAME, AND PHASE SHIFT MASK - Provided are a phase shift mask blank that is improved in the irradiation durability of a light-semitransmissive film (phase shift film), made of a material containing mainly a transition metal, silicon, and nitrogen, to exposure light having a wavelength of 200 nm or less and thus can improve the mask lifetime, a method of manufacturing such a phase shift mask blank, and a phase shift mask. The phase shift mask blank is used for manufacturing a phase shift mask adapted to be applied with ArF excimer laser exposure light. The phase shift mask blank has a light-semitransmissive film on a transparent substrate. | 03-21-2013 |
20130071778 | EXTREME ULTRAVIOLET MASK AND METHOD OF MANUFACTURING THE SAME - An extreme ultraviolet (EUV) mask includes a quartz substrate including an absorption region and a reflection region, first and second multi-layered thin films formed on the quartz substrate, and a structure pattern disposed between the first and second multi-layered thin films. | 03-21-2013 |
20130071779 | REFLECTIVE MASK BLANK AND METHOD OF MANUFACTURING A REFLECTIVE MASK - A reflective mask blank and a reflective mask that can improve the contrast for EUV exposure light in use of the mask and further can improve the pattern resolution at a pattern edge portion of the mask, thereby enabling high-resolution pattern transfer. The reflective mask blank has a substrate and, further, a multilayer reflective film adapted to reflect the EUV exposure light and an absorber film adapted to absorb the EUV exposure light, which are formed in this order over the substrate. The absorber film is made of a material containing Ta and has a film density of 6.0 to 16.0 g/cm | 03-21-2013 |
20130078552 | Dedicated Mask and Production Method thereof, LCD Panel Production Method - The present invention discloses a dedicated mask, a production method thereof, and a production method of a liquid crystal display (LCD) panel. The production method of an LCD panel comprises the following steps: coating a sealant around display areas of the LCD panel; laying a mask used for producing the LCD panel on the LCD panel, wherein the shape of a shading film of the mask used for producing the LCD panel is consistent with the shape of the display areas formed by the sealant; arranging the shading film in the position corresponding to an upper part of the display areas; and irradiating the sealant of the LCD panel exposed outside the shading film using a light source. The present invention can reduce the production cost, enhance the cost reduction, and effectively prevents the disturbance from a light source. | 03-28-2013 |
20130078553 | MASK BLANK, TRANSFER MASK, METHOD OF MANUFACTURING TRANSFER MASK AND METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE - The present invention is a mask blank used to fabricate a transfer mask, which has a laminated structure of a light shielding film and an etching mask film in this order on a transparent substrate, wherein the etching mask film comprises a material containing chromium, the light shielding film comprises a material containing tantalum, a highly oxidized layer is formed on the surface layer of the light shielding film on the opposite side from the transparent substrate, and a Ta 4 f narrow spectrum of the highly oxidized layer analyzed by X-ray photoelectron spectroscopy has a maximum peak at a binding energy of more than 23 eV. | 03-28-2013 |
20130078554 | REFLECTIVE MASK BLANK, REFLECTIVE MASK AND METHOD OF MANUFACTURING REFLECTIVE MASK - The present invention is a reflective mask blank used to fabricate a reflective mask, which has a laminated structure of a multilayer reflective film, an absorber film and an etching mask film in this order on a substrate, wherein the etching mask film comprises a material containing chromium, the absorber film comprises a material containing tantalum, a highly oxidized layer is formed on the surface layer of the absorber film on the opposite side from the substrate, and a Ta 4f narrow spectrum of the highly oxidized layer when analyzed by X-ray photoelectron spectroscopy has a maximum peak at a binding energy of more than 23 eV. | 03-28-2013 |
20130078555 | MASK BLANK GLASS SUBSTRATE, MULTILAYER REFLECTIVE FILM COATED SUBSTRATE, MASK BLANK, MASK, AND METHODS OF MANUFACTURING THE SAME - Provided is a mask blank glass substrate that has high surface smoothness, that is formed with a fiducial mark capable of improving the detection accuracy of a defect position or the like, and that enables reuse or recycling of a glass substrate included therein. An underlayer is formed on a main surface, on the side where a transfer pattern is to be formed, of a glass substrate for a mask blank. The underlayer serves to reduce surface roughness of the main surface of the glass substrate or to reduce defects of the main surface of the glass substrate. A surface of the underlayer is a precision-polished surface. A fiducial mark which provides a reference for a defect position in defect information is formed on the underlayer. | 03-28-2013 |
20130084517 | RESIST PROTECTIVE FILM-FORMING COMPOSITION AND PATTERNING PROCESS - A protective film-forming composition comprising a polymer comprising fluorinated alcohol units of the structure: —C(CF | 04-04-2013 |
20130084518 | NEGATIVE CHEMICAL AMPLIFICATION RESIST COMPOSITION, RESIST FILM, AND, RESIST-COATED MASK BLANKS, METHOD FOR FORMING RESIST PATTERN, AND PHOTOMASK, EACH USING THE SAME - Disclosed is a negative chemical amplification resist composition including (A) a polymer compound having a repeating unit (P) represented by the following formula (I) which is stable in acids and alkalis, and a repeating unit (Q) having a phenolic hydroxyl group; (B) a compound capable of generating an acid when irradiated with actinic rays or a radiation; and (C) a cross-linking agent: | 04-04-2013 |
20130089813 | Forming a Bridging Feature Using Chromeless Phase-Shift Lithography - First and second anchor features are formed on a substrate via a chromeless photolithography process. An elongated bridging feature is formed between the anchor features on the substrate via the chromeless photolithography process. A distance between the anchor features is sufficient to minimize lateral displacement at a center portion of the bridging feature without significant reduction in mechanical stability of the bridging feature. | 04-11-2013 |
20130089814 | PELLICLE HAVING BUFFER ZONE AND PHOTOMASK STRUCTURE HAVING PELLICLE - A pellicle including a frame having a square shape; and a buffer zone in the frame, the buffer zone dividing a lower surface of the frame into a plurality of portions. | 04-11-2013 |
20130089815 | CHROMELESS PHASE-SHIFTING PHOTOMASK WITH UNDERCUT RIM-SHIFTING ELEMENT - A phase-shifting photomask with a self aligned undercut rim-shifting element and methods for its manufacture are provided. One embodiment of the invention provides a method of manufacturing a phase-shifting photomask having a self aligned rim-shifting element, the method comprising: applying a patterning film to a first portion of a transparent substrate; etching the substrate to a depth to remove a second portion of the substrate not beneath the patterning film; etching the first portion of the substrate to undercut an area beneath the patterning film; and removing the patterning film, wherein the etched substrate forms a self-aligned undercut rim-shifting element. | 04-11-2013 |
20130095414 | Lithography Mask and Method of Forming a Lithography Mask - A first embodiment is a lithography mask comprising a transparent substrate and a first molybdenum silicon nitride (Mo | 04-18-2013 |
20130095415 | BLANKMASK AND PHOTOMASK USING THE SAME - Provided is a blankmask for a hardmask. In the blankmask, a hard film is formed by appropriately controlling contents of nitrogen and carbon therein to reduce a deviation in a critical dimension caused when an etch process is performed. A metal film is formed to a thin thickness by increasing a content of metal in a light-shielding film and reducing a content of metal in an anti-reflective film. Thus, resolution, pattern fidelity, and chemical resistance of the metal film may be improved. Also, the metal film and the hard film are formed such that a reflectivity contrast therebetween is high, thereby allowing the hard film to be easily inspected. Accordingly, the blank mask for a hardmask may be applied to a dynamic random access memory (DRAM), a flash memory, or a micro-processing unit (MPU) to have a half-pitch of 32 nm or less, and particularly, a critical dimension of 22 nm or less. | 04-18-2013 |
20130095416 | PHOTOMASK AND PATTERN FORMATION METHOD - A photomask includes: a transparent substrate; and first and second mask patterns located on the transparent substrate and at least partially facing each other with a space sandwiched therebetween. The first mask pattern includes a semi-light-shielding part which transmits part of light therethrough and a light-shielding part. In the first mask pattern, the semi-light-shielding part at least partially faces the space with the light-shielding part sandwiched therebetween. In a direction along which the first mask pattern and the second mask pattern face each other, the first mask pattern has a size greater than (0.7×λ/NA)×M, and the space has a size less than or equal to (0.5×λ/NA)×M where λ is a wavelength of exposure light, NA is a numerical aperture of a reduced projection optical system of an exposure device, and M is a magnification of the reduced projection optical system. | 04-18-2013 |
20130095417 | PELLICLE MEMBRANE - The purpose of the present invention is to produce a pellicle film, which is suppressed in light deterioration or photodecomposition due to lithography light exposure, by simpler processes. Specifically disclosed is a pellicle film for lithography containing an amorphous fluoropolymer, which is characterized by containing 5-800 ppm by mass of a fluorine-based solvent. Also specifically disclosed is a method for producing a pellicle film, which comprises: a step A wherein a coating film of a solution that contains an amorphous fluoropolymer and a fluorine-based solvent is formed; and a step B wherein the fluorine-based solvent in the coating film is removed. The method for producing a pellicle film is characterized in that 5-800 ppm by mass of the fluorine-based solvent is caused to remain in the coating film in the step B. | 04-18-2013 |
20130101924 | OPTICAL PROXIMITY CORRECTION PHOTOMASK - An optical proximity correction (OPC) photomask is provided. The photomask includes two opening patterns and a pair of scattering bar patterns. The two patterns are arranged on a substrate along a first direction and separated from each other by a predetermined distance. The pair of scattering bar patterns is arranged on the substrate along a second direction perpendicular to the first direction and adjacent to two opposing sides of each opening pattern. Each scattering bar pattern does not overlap with the opening patterns on the first and second directions as viewed from a cross sectional perspective. A phase shift of 180° exists between each opening pattern and each scattering bar pattern. | 04-25-2013 |
20130101925 | RETICLE FOR EXPOSURE, EXPOSURE METHOD AND PRODUCTION METHOD OF SEMICONDUCTOR WAFER - A reticle comprises a reticle pattern comprising a plurality of chip patterns in a circular effective exposure region of a reduced projection exposure apparatus. The reticle pattern has an outer shape arranged to be inscribed in or without jutting out from a circle of the effective exposure region with a greater number of chip patterns in comparison to the number of chip patterns in a quadrangular shape in a plane view, and when sequentially exposed. The plurality of chip patterns are arranged such that a top part of the reticle pattern fits in without space to a bottom position of the reticle patterns adjacent to each other to the left and right. An exposure method using the reticle and a production method of a semiconductor wafer are also provided. | 04-25-2013 |
20130101926 | Halftone Phase Shift Blank Photomasks and Halftone Phase Shift Photomasks - Halftone phase shift photomasks are provided including a substrate configured to transmit light; a shift pattern on the substrate, the shift pattern including a pattern area on a center portion of the substrate and a blind area disposed on a periphery of the substrate, the shift pattern of the blind area having a greater thickness than a thickness that of the pattern area, and being configured to partially transmit the light; and a light shielding pattern formed on the shift pattern in the blind area and being configured to shield the light. Related methods are also provided herein. | 04-25-2013 |
20130101927 | PELLICLE AND MASK ADHESIVE AGENT FOR USE IN SAME - A pellicle which has a mask adhesive layer that can be plastic-deformed readily particularly at a temperature at which exposure to light is carried out, rarely provides a residue of an adhesive agent upon the removal of the mask adhesive layer from a mask and has good handling properties, and which can prevent the position gap of a pattern. The pellicle comprises a pellicle frame, a pellicle membrane and a mask adhesive layer containing a mask adhesive agent. The mask adhesive agent comprises 100 parts by mass of a thermoplastic elastomer (A) having a tan δ peak temperature of −20 to 30 DEG C and 20 to 150 parts by mass of an adhesiveness-imparting resin (B). | 04-25-2013 |
20130108948 | MASK, MANUFACTURING METHOD THEREOF AND MASK HAZE MONITORING METHOD | 05-02-2013 |
20130115547 | SUBSTRATE WITH REFLECTIVE LAYER FOR EUV LITHOGRAPHY AND REFLECTIVE MASK BLANK FOR EUV LITHOGRAPHY - To provide an EUV mask blank whereby deterioration in reflectance due to oxidation of a Ru protective layer is suppressed, a functional film-attached substrate to be used for the production of the EUV mask blank, and a process for producing the functional film-attached substrate. A substrate with a reflective layer for EUV lithography, comprising a substrate, and a reflective layer for reflecting EUV light and a protective layer for protecting the reflective layer formed in this order on the substrate, wherein the reflective layer is a Mo/Si multilayer reflective film, the protective layer is a Ru layer or a Ru compound layer, between the reflective layer and the protective layer, an interlayer is formed which is composed of a first layer containing from 0.5 to 25 at % of nitrogen and from 75 to 99.5 at % of Si, and a second layer containing from 60 to 99.8 at % of Ru, from 0.1 to 10 at % of nitrogen and from 0.1 to 30 at % of Si and which has a total thickness of the first and second layers being from 0.2 to 2.5 nm, the first layer constituting the interlayer is formed on the reflective layer side, and the second layer is formed on the first layer, and the protective layer contains substantially no Si. | 05-09-2013 |
20130122403 | MASK FOR EXPOSURE AND METHOD OF FABRICATING SUBSTRATE USING SAID MASK - Provided is a photolithography mask capable of forming fine patterns beyond a critical resolution of an exposer without replacing or changing the exposer. The mask includes an at least partially light absorbing phase shift layer and uses a complex wavelength light source. | 05-16-2013 |
20130122404 | METHOD OF FORMING PHOTOMASKS AND PHOTOMASKS FORMED BY THE SAME - A method of manufacturing a photomask includes forming a multi-layer on a substrate and a blank layer on the multi-layer, patterning the blank layer to form openings exposing the multi-layer on a projection region of the substrate, and irradiating at least a portion of the multi-layer exposed by the openings with pulses of light output by a pulse laser whose pulse width is substantially greater than 0.001 seconds. Thus, the photomask has a reflective layer that includes a low-reflectance part corresponding to that part of the multi-layer irradiated by the light output by the pulse laser. | 05-16-2013 |
20130122405 | METHOD FOR FORMING CIRCULAR PATTERNS ON A SURFACE - A method for fracturing or mask data preparation for shaped beam charged particle beam lithography is disclosed, in which a square or nearly-square contact or via pattern is input, and a set of charged particle beam shots is determined which will form a circular or nearly-circular pattern on a surface, where the area of the circular or nearly-circular pattern is within a pre-determined tolerance of the area of the input square or nearly-square contact or via pattern. Methods for forming a pattern on a surface and for manufacturing a semiconductor device are also disclosed. | 05-16-2013 |
20130122406 | METHOD FOR FRACTURING AND FORMING A PATTERN USING SHAPED BEAM CHARGED PARTICLE BEAM LITHOGRAPHY - In the field of semiconductor production using shaped charged particle beam lithography, a method and system for fracturing or mask data preparation or proximity effect correction is disclosed, wherein a series of curvilinear character projection shots are determined for a charged particle beam writer system, such that the set of shots can form a continuous track, possibly of varying width, on a surface. A method for forming a continuous track on a surface using a series of curvilinear character projection shots is also disclosed. Methods for manufacturing a reticle and for manufacturing a substrate such as a silicon wafer by forming a continuous track on a surface using a series of curvilinear character projection shots is also disclosed. | 05-16-2013 |
20130122407 | REFLECTIVE MASK BLANK, METHOD OF MANUFACTURING THE SAME, AND REFLECTIVE MASK - To solve a problem of reduction in accumulated energy due to backward scattering, leading to degradation in CD linearity, which is caused when a generally used high-contrast resist is used in the manufacture of a reflective mask. A reflective mask blank for manufacturing a reflective mask includes a substrate, a multilayer reflective film which is formed on the substrate and adapted to reflect exposure light, and an absorber film which is formed on the multilayer reflective film and adapted to absorb the exposure light. A resist film for electron beam writing is formed on the absorber film and the contrast value γ of the resist film for electron beam writing is 30 or less. | 05-16-2013 |
20130130157 | METHOD FOR MANUFACTURING PHOTO MASK, METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE, AND PROGRAM - According to one embodiment, a method for manufacturing a photo mask, includes acquiring first data on respective shapes of a plurality of mask substrates, acquiring second data on respective shapes of a plurality of pellicles, and determining a combination of the mask substrate and the pellicle based on the first data and the second data. | 05-23-2013 |
20130130158 | METHOD FOR FABRICATING PELLICLE, PHOTO MASK, AND SEMICONDUCTOR DEVICE - An aspect of the present embodiment, there is provided a method for fabricating a pellicle, including acquiring a shape of a pellicle frame, deciding a thickness distribution of an adhesive to be coated on the pellicle frame on a basis of the acquired shape of the pellicle frame, and coating the adhesive on the pellicle frame based on the decision of the thickness distribution. | 05-23-2013 |
20130130159 | LIGHT PATTERN EXPOSURE METHOD, HALFTONE PHASE SHIFT MASK, AND HALFTONE PHASE SHIFT MASK BLANK - A light pattern exposure method is by irradiating ArF excimer laser light to a resist film through a halftone phase shift mask. The mask includes a transparent substrate and a pattern of halftone phase shift film of a material comprising a transition metal, silicon, nitrogen and oxygen and having an atomic ratio (Met/Si) of 0.18-0.25, a nitrogen content of 25-50 atom %, and an oxygen content of 5-20 atom %. The mask may be irradiated with ArF excimer laser light in a cumulative dose of at least 10 kJ/cm | 05-23-2013 |
20130130160 | LIGHT PATTERN EXPOSURE METHOD, PHOTOMASK, AND PHOTOMASK BLANK - A light pattern exposure method is by irradiating ArF excimer laser light to a resist film through a photomask. The photomask includes a transparent substrate and a pattern of optical film of a material comprising a transition metal, silicon, nitrogen and oxygen, with contents thereof falling in a specific range. The photomask may be irradiated with ArF excimer laser light in a cumulative dose of at least 10 kJ/cm | 05-23-2013 |
20130130161 | PHOTOMASK SETS FOR FABRICATING SEMICONDUCTOR DEVICES - Methods are provided for fabricating a semiconductor device. One method comprises providing a first pattern having a first polygon, the first polygon having a first tonality and having a first side and a second side, the first side adjacent to a second polygon having a second tonality, and the second side adjacent to a third polygon having the second tonality, and forming a second pattern by reversing the tonality of the first pattern. The method further comprises forming a third pattern from the second pattern by converting the second polygon from the first tonality to the second tonality forming a fourth pattern from the second pattern by converting the third polygon from the first tonality to the second tonality forming a fifth pattern by reversing the tonality of the third pattern, and forming a sixth pattern by reversing the tonality of the fourth pattern. | 05-23-2013 |
20130130162 | Reticle Carrier - A reticle carrier for a polishing tool capable of accommodating a reticle includes a base plate with an obverse and reverse surfaces, a retaining ring secured to the obverse surface of the base plate forming a recess defined by the obverse surface of the rigid base plate and internal edges of the retaining ring. A reticle pad supports a reticle in the recess. The base plate and the reticle pad having an array of matching, aligned passageway holes therethrough for exhaustion of air from space between the base plate and a the reticle and for supply of air to that space so a vacuum can retain a the reticle in place on the reticle carrier under vacuum conditions and application of air under pressure can eject a reticle from the reticle carrier. | 05-23-2013 |
20130130163 | MASKS FOR MICROLITHOGRAPHY AND METHODS OF MAKING AND USING SUCH MASKS - Masks for microlithography apparatus, methods for making such masks, and methods for exposing photosensitive materials to form arrays of microfeatures on semiconductor wafers using such masks. In one embodiment, a method of making a mask comprises forming a mask layer on a substrate and identifying a first opening in the mask layer corresponding to a first feature site at which an intensity of the radiation at a focal zone is less than the intensity of the radiation at the focal zone for a second feature site corresponding to a second opening in the mask. The second opening is adjacent or at least proximate the first opening. The method can further include forming a first surface at the first opening and a second surface at the second opening such that radiation passing through the second opening constructively interferes with radiation passing through the first opening at the focal zone. | 05-23-2013 |
20130137015 | MASK AND PATTERN FORMING METHOD - According to one embodiment, a mask used with an exposure apparatus is disclosed. The mask includes a main pattern, and a sub-pattern having a dimension smaller than a resolution limit of the exposure apparatus. The sub-pattern is arranged next to the main pattern. The sub-pattern includes a first sub-pattern arranged next to the main pattern, and second sub-patterns contacting the first sub-pattern and arranged along a longitudinal direction of the first sub-pattern. The sub-patterns satisfy a condition of P≦λ/(NA(1+σ | 05-30-2013 |
20130137016 | PHASE SHIFT FOCUS MONITOR RETICLE, MANUFACTURING METHOD THEREOF AND METHOD FOR MONITORING FOCUS DIFFERENCE - The invention provides a phase shift focus monitor reticle, a manufacturing method thereof, and a method of monitoring focus difference using the phase shift focus monitor reticle. The phase shift focus monitor reticle comprises a shield comprising a plurality of light-transmitting portions with a certain width; and a glass layer positioned on the shield layer comprising a plurality of openings at the light-transmitting portions; wherein the width of the openings is half of the width of the light-transmitting portions; the depth of the openings is n*λ/(N− | 05-30-2013 |
20130137017 | Photomask Constructions Having Liners of Specified Compositions Along Sidewalls of Multi-Layered Structures - Some embodiments include methods in which a mathematical representation of a photomask construction is defined, with such representation comprising a plurality of pillars that individually contain a plurality of distinct layers. Each of the layers has two or more characteristic parameters which are optimized through an optimization loop. Subsequently, specifications obtained from the optimization loop are utilized to form actual layers over an actual reticle base. Some embodiments include photomask constructions in which a radiation-patterning topography is across a reticle base, with such topography including multiple pillars that individually contain at least seven distinct layers. | 05-30-2013 |
20130143149 | MASK FOR USE IN PHOTOLITHOGRAPHY, MANUFACTURING METHOD THEREOF AND MANUFACTURING METHOD OF DEVICES BY USING THE MASK - Provided are a photomask, including: a substrate; an opaque pattern formed on the substrate and made of a material which does not penetrate light; a first dielectric layer formed on the substrate and the opaque pattern; and a negative refractive-index meta material layer formed on the first dielectric layer, in which a dispersion mode used in the photomask uses a Quasi bound mode, a manufacturing method of the photomask, and a manufacturing method of a substrate using the photomask. | 06-06-2013 |
20130143150 | METHOD FOR MANUFACTURING PHOTOMASK AND PHOTOMASK MANUFACTURED USING THE SAME - A method for manufacturing a photomask includes forming a photoresist film on a substrate, and forming a defect detecting pattern on the photoresist film. The defect detecting pattern has a first pattern elongated in a first direction and a second pattern overlapping one end of the first pattern and elongated in a second direction different from the first direction. The first pattern and the second pattern are formed using electron beams (e-beam) diffracted by a same amplifier. | 06-06-2013 |
20130149636 | PATTERN DETERMINING METHOD, PATTERN DETERMINING APPARATUS AND STORAGE MEDIUM - A method of determining a pattern of a mask for an exposure apparatus includes a first calculation step of calculating a value of a first evaluation function used to evaluate a cost of drawing a provisional pattern on a mask blank to manufacture the mask, a second calculation step of calculating a value of a second evaluation function used to evaluate an image formed on an image plane when a mask having the provisional pattern is arranged on an object plane, and a changing step of, when calculation results of the first and second calculation steps do not meet a termination condition, changing at least one of the provisional pattern, an illumination condition in the exposure apparatus, and a drawing condition of the pattern for the mask blank. | 06-13-2013 |
20130149637 | TITANIA AND SULFUR CO-DOPED QUARTZ GLASS MEMBER AND MAKING METHOD - A titania and sulfur co-doped quartz glass member is provided. Due to co-doping of titania and sulfur, the quartz glass member undergoes zero expansion at a certain temperature and low thermal expansion over a wide temperature range, and is thus suited for use in a commercial EUV lithography tool. A manufacturing method and an optical member for EUV lithography are also provided. | 06-13-2013 |
20130149638 | MASK DESIGN AND OPC FOR DEVICE MANUFACTURE - Described herein is mask design and modeling for a set of masks to be successively imaged to print a composite pattern on a substrate, such as a semiconductor wafer. Further described herein is a method of double patterning a substrate with the set of masks. Also described herein is a method of correcting a drawn pattern of one of the mask levels based on a predicted pattern contour of the other of the mask levels. Also described herein is a method of modeling a resist profile contour for a mask level in which photoresist is applied onto a inhomogeneous substrate, as well as method of predicting a resist profile of a Boolean operation of two masks. | 06-13-2013 |
20130157176 | PHOTOMASK - A photomask is provided. The photomask is applied to a photolithography apparatus and includes a substrate with a mask pattern disposed thereon. The mask pattern includes at least one main pattern and a plurality of sub-resolution assistant features (SRAFs). The SRAFs are disposed around the main pattern and separated from each other, wherein a distance between each of the SRAFs and the main pattern is about 3 to 10 times a linewidth of the main pattern. The photomask would result in an improved imaging quality on the wafer. | 06-20-2013 |
20130157177 | EUV MASK AND METHOD FOR FORMING THE SAME - An extreme ultraviolet (EUV) mask can be used in lithography, such as is used in the fabrication of a semiconductor wafer. The EUV mask includes a low thermal expansion material (LTEM) substrate and a reflective multilayer (ML) disposed thereon. A capping layer is disposed on the reflective ML and a patterned absorption layer disposed on the capping layer. The pattern includes an antireflection (ARC) type pattern. | 06-20-2013 |
20130157178 | METHOD FOR CORRECTING LAYOUT PATTERN AND METHOD FOR MANUFACTURING PHOTOMASK - A method for correcting a layout pattern includes the following steps. A first layout pattern, a second layout pattern, and a mis-alignment value are provided. The first layout pattern includes a first conducting line pattern, and the second layout pattern includes at least one contact via pattern. The contact via pattern at least partially overlaps the first conducting line pattern. The layout pattern is verified whether spacing between the contact via pattern and the first conducting line pattern is smaller than the mis-alignment value by a computing system. A first modified contact via pattern is then obtained by expanding the contact via pattern along a direction away from the spacing smaller than the mis-alignment value. | 06-20-2013 |
20130157179 | RETICLES WITH SUBDIVIDED BLOCKING REGIONS - Methods for designing, fabricating, and using attenuated phase shift reticles, or photomasks are disclosed. Methods are also disclosed for subdividing the radiation blocking regions of previously fabricated reticles of previously existing designs. The methods may include forming radiation blocking regions that are subdivided, by cut lines, into discrete, spaced apart sections with dimensions (e.g., surface area, etc.) configured to minimize or eliminate the buildup of electrostatic energy by the radiation blocking regions and/or the discharge of electrostatic energy from the radiation blocking regions and the damage that may be caused by such electrostatic discharge. The methods may include configuring the reticle to prevent radiation from passing through the cut lines between adjacent sections of a subdivided radiation blocking region. | 06-20-2013 |
20130164656 | PHOTOMASK AND MANUFACTURING METHOD THEREOF - Disclosed herein are a photomask and a manufacturing method thereof. The photomask includes a transparent member, and a first mask and a second mask patterned on both sides of the transparent member, respectively. | 06-27-2013 |
20130164657 | EUV Photoresist Encapsulation - A method and system are described for performing extreme ultraviolet photolithographic processing. The method comprises obtaining a substrate comprising a hard mask and a patterned layer of extreme ultraviolet (EUV) photoresist formed above the hard mask, encapsulating the patterned layer of EUV photoresist by forming an encapsulating layer being one of a silicon-oxide, silicon-nitride, silicon-oxynitride, germanium-oxide, germanium-nitride, germanium-oxynitride, silicongermanium-oxide, silicongermanium-nitride, silicongermanium-oxynitride layer on the photoresist and dry etching of the substrate for patterning the hard mask. The encapsulation layer thereby is formed at a temperature below the weakening temperature Tg of the EUV photoresist by using a first precursor being one of the group of silicon-tetrahalogenide, silicon tetrahydride, germanium-tetrahalogenide, germanium tetrahydride, silicongermanium-tetrahalogenide or silicongermanium tetrahydride precursor and an oxygen precursor. | 06-27-2013 |
20130164658 | PROCESS AND SYSTEM FOR DESIGNING A PHOTOLITHOGRAPHY MASK AND A LIGHT SOURCE - A method for designing a photolithography mask and a light source may include designing an initial photolithography mask and an initial light source using an initial target pattern corresponding to a desired target pattern in a resist layer. The method may also include designing a new target pattern and designing a new photolithography mask and/or a new light source using the new target pattern. | 06-27-2013 |
20130164659 | STRUCTURES COMPRISING MASKS COMPRISING CARBON - The critical dimension (CD) of features formed during the fabrication of a semiconductor device may be controlled through the use of a dry develop chemistry comprising O | 06-27-2013 |
20130164660 | REFLECTIVE MASK BLANK FOR EUV LITHOGRAPHY - To provide a reflective mask blank for EUV lithography having an absorber layer having optical constants suitable for reducing the thickness. A mask blank for EUV lithography comprising a substrate, and a reflective layer for reflecting EUV light and an absorber layer for absorbing EUV light formed in this order on the substrate, wherein the absorber layer contains tantalum (Ta) and palladium (Pd), and in the absorber layer, the content of tantalum (Ta) is from 10 to 80 at %, the content of palladium (Pd) is from 20 to 90 at %, and the total content of Ta and Pd is from 95 to 100 at %. | 06-27-2013 |
20130171548 | Patterning A Single Integrated Circuit Layer Using Automatically-Generated Masks And Multiple Masking Layers - A multiple mask and a multiple masking layer technique can be used to pattern an IC layer. A RET can be used to define one or more fine-line patterns in a first masking layer. Portions of the fine-line features are then removed or designated for removal using a mask. This removal/designation can include accessing a desired layout (with at least one layout feature including a fine-line feature and a coarse feature) and expanding layout features only in directions along critical dimensions of those layout features. Another mask can then be used to define coarse features in a second masking layer formed over the patterned first masking layer. Coarse feature(s) can be derived from the desired layout using a shrink/grow operation performed only in directions orthogonal to a critical dimension of the fine-line features. The IC layer can be patterned using the composite mask formed by the patterned first and second masking layers. | 07-04-2013 |
20130177840 | ALIGNMENT MARKS FOR MULTI-EXPOSURE LITHOGRAPHY - A plurality of reticles for printing structures in the same lithography level includes an alignment structure pattern within a same relative location in each reticle. Each set of process segmentations in a grating has a reticle segmentation pitch, which is common across all gratings in the plurality of reticles. Within each pair of alignment structure patterns that occupy the same relative location in any two of the plurality of reticles, the process segmentations in one reticle are shifted relative to the process segmentations in the other reticle by a fraction of a reticle segmentation pitch. After printing all patterns in the plurality of reticles, a composite printed process segmentation structure on the substrate includes printed segmentation structures that are spaced by 1/n times the printed segmentation pitch. The pattern for the next level can be aligned to the composite printed process segmentation structure in a single alignment operation. | 07-11-2013 |
20130177841 | MASK BLANK, METHOD OF MANUFACTURING THE SAME, AND TRANSFER MASK - Provided is a mask blank that is improved in adhesion of a thin film for forming a transfer pattern to a resist, thus capable of suppressing the occurrence of collapse, chipping, or the like of a formed resist pattern. The mask blank has, on a transparent substrate | 07-11-2013 |
20130183610 | DESIGN METHOD FOR MASK PATTERNS - A design method for mask patterns is provided. The design method includes providing an exposure machine with a mask including an optical proximity correction (OPC) pattern including a first clear area and a first dark area, wherein the first clear area surrounds the first dark area, placing a substrate in the exposure machine, and exposing the substrate to light to form an imaged pattern on the substrate, wherein the imaged pattern has an area smaller than that of the optical proximity correction (OPC) pattern. | 07-18-2013 |
20130183611 | LITHOGRAPHIC MASK, LITHOGRAPHIC APPARATUS AND METHOD - A lithographic mask has a substrate substantially transmissive for radiation of a certain wavelength, the substrate having a radiation absorbing material in an arrangement, the arrangement configured to apply a pattern to a cross-section of a radiation beam of the certain wavelength, wherein the absorbing material has a thickness which is substantially equal to the certain wavelength divided by a refractive index of the absorbing material. | 07-18-2013 |
20130183612 | METHOD FOR PRODUCING SUBSTRATE FOR LIQUID CRYSTAL DISPLAY PANEL, AND PHOTOMASK - The present invention provides a method for producing a substrate for a liquid crystal display panel and a photomask each of which can suppress misalignment of liquid crystal molecules due to liquid crystal alignment control projections. The present invention relates to a method for producing a substrate for a liquid crystal display panel. The substrate includes liquid crystal alignment control projections, and the liquid crystal alignment control projections include a main projection and a sub-projection. The sub-projection is linear and is lower than the main projection. The production method includes a step of forming a positive photosensitive resin film and a step of exposing the photosensitive resin film to light through a photomask. The photomask has a light-control region for forming the sub-projection. The light-control region has a slit-shaped translucent part. | 07-18-2013 |
20130189608 | EXTREME ULTRA VIOLET (EUV) MASK - An extreme ultra violate (EUV) mask is disclosed, which prevents defects from shot overlap encountered in wafer exposure as well as reflection of unnecessary EUV and DUV generated in a black border region, such that a pattern CD is reduced and defects are not created. The EUV mask includes a quartz substrate, a multi-layered reflection film formed over the quartz substrate to reflect exposure light, an absorption layer formed over the multi-layered reflection film, a black border region formed over the quartz substrate that does not include the multi-layered reflection film, and a blind layer formed in a position including at least one of over the absorption layer, over the quartz substrate, and below the quartz substrate. | 07-25-2013 |
20130189609 | THIN FILMS ORGANIZED IN NANODOMAINS ON THE BASIS OF COPOLYMERS HAVING POLYSACCHARIDE BLOCKS FOR APPLICATIONS IN NANOTECHNOLOGY - A material (M) includes a substrate one of the surfaces of which is covered with a layer based on a block copolymer having a block (B) consisting of a polysaccharide and to its uses for electronics, in order to prepare organic electroluminescent diodes (OLEDs) or organic photovoltaic cells (OPV) or for designing detection devices (nanobiosensors, biochips). | 07-25-2013 |
20130196255 | REFLECTIVE MASK BLANK FOR EUV LITHOGRAPHY AND REFLECTIVE MASK FOR EUV LITHOGRAPHY - A reflective mask for an extreme ultra violet (EUV) lithography obtained by forming a mask pattern in an absorber layer of an reflective mask blank is useful in semiconductor production. The EUV reflective mask has two regions of a mask pattern region and a region outside the mask pattern region. The mask pattern region has the absorber layer and a non-absorber layer on the reflective layer of an substrate, wherein the region outside the mask pattern region has an EUV reflective layer, an EUV absorber layer, and a light shielding layer for suppressing reflection of EUV light and DUV-Vis light having a wavelength of from 190 to 500 nm. The EUV reflective mask reduces unnecessary exposure of resist formed on a substrate to reflected light from the region outside the mask pattern region and reduces a pattern size to produce an accurate transfer pattern. | 08-01-2013 |
20130196256 | REFLECTION-TYPE PHOTOMASKS AND METHODS OF FABRICATING THE SAME - Reflection-type photomasks are provided. The reflection-type photomask includes a substrate and a reflection layer on a front surface of the substrate. The substrate includes a pattern transfer region, a light blocking region and a border region. A trench penetrates the reflection layer in the border region to expose the substrate. First absorption layer patterns are disposed on the reflection layer in the pattern transfer region, and a second absorption layer pattern is disposed on the reflection layer in the light blocking region. Sidewalls of the trench have a sloped profile. Related methods are also provided. | 08-01-2013 |
20130196257 | Method and Apparatus For EUV Mask Having Diffusion Barrier - A photomask is provide. The photomask includes a substrate having a multi-layer stack disposed over the substrate. The multilayer stack has alternating first second and third layers disposed over each other, wherein the first, second and third layers are composed of first, second and third materials, respectively, and wherein at least the second layer is formed through an atomic layer deposition process. A capping layer is disposed over the multilayer stack; and an absorber layer disposed over the capping layer. A method for evaluating materials, unit processes, and process sequences for manufacturing a photomask is also provided. | 08-01-2013 |
20130202990 | COATING OF SHIELD SURFACES IN DEPOSITION SYSTEMS - A deposition chamber shield having a stainless steel coating of from about 100 microns to about 250 microns thick wherein the coated shield has a surface roughness of between about 300 microinches and about 800 microinches and a surface particle density of less than about 0.1 particles/mm | 08-08-2013 |
20130202991 | PROCESS FOR CLEANING SHIELD SURFACES IN DEPOSITION SYSTEMS - A process for cleaning and restoring deposition shield surfaces which results in a cleaned shield having a surface roughness of between about 200 microinches and about 500 microinches and a particle surface density of less than about 0.1 particles/mm | 08-08-2013 |
20130202992 | MASK AND METHOD FOR FORMING THE MASK - Provided is a method for reducing phase defects on many different types of semiconductor mask blanks. The method includes receiving a semiconductor mask blank substrate, creating alignment marks on the surface of the substrate, performing an inspection of the surface of the substrate to locate a plurality of surface defects, and repairing the plurality of surface defects on the surface of the substrate. A semiconductor mask is also provided that includes a repaired substrate a multilayer stack comprising a plurality of molybdenum and silicon layers, a capping layer, an absorber layer, and in some instances a photoresist layer. | 08-08-2013 |
20130202993 | METHOD OF FABRICATING THE PELLICLE FRAME - A pellicle frame, including aluminum, aluminum oxide, and a transition metal. | 08-08-2013 |
20130209921 | PHOTORESIST COMPOSITION - A low surface energy photoresist composition is described that comprises a silicone-polyether block copolymer, wherein the silicone block comprises 35 wt. % or more of said copolymer. When compounded with a photoresist composition, the composition enables the release of a phototool from the photoresist layer. | 08-15-2013 |
20130209922 | CHEMICALLY AMPLIFIED NEGATIVE RESIST COMPOSITION AND PATTERN FORMING PROCESS - A polymer comprising recurring units having an acid-eliminatable group on a side chain and aromatic ring-bearing cyclic olefin units is used to formulate a chemically amplified negative resist composition. Any size shift between the irradiated pattern and the formed resist which can arise in forming a pattern including isolated feature and isolated space portions is reduced, and a high resolution is obtained. | 08-15-2013 |
20130209923 | MASK, PATTERN DISPOSING METHOD THEREOF AND EXPOSING METHOD THEREOF - A mask, a pattern disposing method thereof and an exposing method thereof are provided. A plurality of geometric patterns are arranged on the mask along a plurality of columns. The arrangement of the patterns arranged along odd columns is similar to that of the patterns arranged along even columns. Two odd columns or two even columns are selected to be a first edge column and a second edge column respectively. At each corresponding position of the first edge column and the second edge column, only one of the first edge column and the second edge column is selected to be disposed one geometric pattern. | 08-15-2013 |
20130209924 | ABRASIVE-FREE PLANARIZATION FOR EUV MASK SUBSTRATES - A process for abrasive-free chemical mechanical planarization of silicon thin film coated EUV mask substrates is disclosed. The process removes bumps and pits on the substrate thereby mitigating reflective errors in the mask. The process employs a two-step polishing procedure, in which the second step is abrasive-free and uses an amine or amine salt as the polishing agent. | 08-15-2013 |
20130209925 | MASK BLANK, REFLECTIVE MASK BLANK, PHOTOMASK, REFLECTIVE MASK, PHOTOMASK SET AND METHOD OF MANUFACTURING A SEMICONDUCTOR DEVICE - In a mask blank substrate having two main surfaces and four end faces, a central point is set on the main surface, a first axis of symmetry that passes through the central point and that is parallel to one of the end faces and a second axis of symmetry that passes through the central point and that is perpendicular to the first axis are respectively set, measurement points are set in the form of a grid with respect to the first and the second axes so as to measure heights of the main surface from a reference plane at the measurement points, respectively, differences each between measured height values at those measurement points located at positions axisymmetric with respect to the first axis are calculated. Those differences corresponding to at least 95% of the total number of the calculated differences between the measured height values are within a predetermined value. | 08-15-2013 |
20130209926 | CONTROLLABLE TRANSMISSION AND PHASE COMPENSATION OF TRANSPARENT MATERIAL - A system for processing a substrate includes a light source to provide light pulses, a stage to support a substrate, optics to focus the light pulses onto the substrate, a scanner to scan the light pulses across the substrate, a computer to control properties of the light pulses and the scanning of the light pulses such that color centers are generated in various regions of the substrate, and at least one of (i) an ultraviolet light source to irradiate the substrate with ultraviolet light or (ii) a heater to heat the substrate after formation of the color centers to stabilize a transmittance spectrum of the substrate. | 08-15-2013 |
20130209927 | Method and Apparatus For EUV Mask Having Diffusion Barrier - A photomask is provide. The photomask includes a substrate having a multi-layer stack disposed over the substrate. The multilayer stack has alternating first second and third layers disposed over each other, wherein the first, second and third layers are composed of first, second and third materials, respectively, and wherein at least the second layer is formed through an atomic layer deposition process. A capping layer is disposed over the multilayer stack; and an absorber layer disposed over the capping layer. A method for evaluating materials, unit processes, and process sequences for manufacturing a photomask is also provided. | 08-15-2013 |
20130216941 | LITHOGRAPHY MASK HAVING SUB-RESOLUTION PHASED ASSIST FEATURES - Techniques are disclosed for using sub-resolution phased assist features (SPAF) in a lithography mask to improve through process pattern fidelity and/or mitigate inverted aerial image problems. The technique also may be used to improve image contrast in non-inverted weak image sites. The use of SPAF in accordance with some such embodiments requires no adjustment to existing design rules, although adjustments can be made to enable compliance with mask inspection constraints. The use of SPAF also does not require changing existing fab or manufacturing processes, especially if such processes already comprehend phased shift mask capabilities. The SPAFs can be used to enhance aerial image contrast, without the SPAFs themselves printing. In addition, the SPAF phase etch depth can be optimized so as to make adjustments to a given predicted printed feature critical dimension. | 08-22-2013 |
20130224635 | MASK PATTERN CREATION METHOD, RECORDING MEDIUM, AND SEMICONDUCTOR DEVICE MANUFACTURING METHOD - According to one embodiment, a mask pattern creation method includes extracting an area, in which a DSA material is directed self-assembled to form a DSA pattern, from a design pattern area based on a design pattern and information on the DSA material. The method also includes creating a guide pattern that causes the DSA pattern to be formed in the area based on the design pattern, the information on the DSA material, the area, and a design constraint when forming the guide pattern. The method further includes creating a mask pattern of the guide pattern using the guide pattern. | 08-29-2013 |
20130224636 | MASK FOR NEAR-FIELD LITHOGRAPHY AND FABRICATION THE SAME - Methods for fabricating nanopatterned cylindrical photomasks are disclosed. A master pattern having nanometer scale features may be formed on a master substrate. A layer of an elastomer material may be formed on a surface of a transparent cylinder. The master pattern may be transferred from the master to the layer of elastomer material on the surface of the transparent cylinder. Alternatively, a nanopatterned cylindrical photomask may be fabricated by forming a pattern having nanometer scale features on an elastomer substrate and laminating the patterned elastomer substrate to a surface of a cylinder. In another method, a layer of elastomer material may be formed on a surface of a transparent cylinder and a pattern having nanometer scale features may be formed on the elastomer material by a direct patterning process. | 08-29-2013 |
20130224637 | PHOTOMASK AND SEMICONDUCTOR APPARATUS MANUFACTURING METHOD - A photomask for exposing a region on a substrate, with a mask pattern, including a first line pattern, a second line pattern, a first connection pattern for a peripheral portion of the region and a second connection pattern for the peripheral portion, wherein the first connection pattern is wider than the first line pattern and the second connection pattern is wider than the second line pattern, a distance from a virtual line between the first line pattern and the second line pattern to a center line of the first connection pattern is larger than a distance from the virtual line to a center line of the first line pattern and a distance from the virtual line to a center line of the second connection pattern is larger than a distance from the virtual line to a center line of the second line pattern. | 08-29-2013 |
20130224638 | METHOD FOR MANUFACTURING LASER REFLECTIVE MASK - A method of fabricating a laser reflective mask by forming a sacrificial layer on top of a base substrate; recessing the sacrificial layer and the base substrate through an etching process of a region prearranged as a reflection region for a laser beam in the base substrate to form a sacrificial layer pattern and a reflective layer filling groove having a predetermined depth; alternately and repeatedly laminating first and second reflective layers having different reflectances on top of the base substrate on which the sacrificial layer pattern and the reflective layer filling groove are formed until the reflective layer filling groove is completely filled; removing the sacrificial layer pattern and the first and second reflective layers laminated on top of the sacrificial layer pattern through a laser lift-off process of irradiating the base substrate with the laser beam from a bottom surface of the base substrate to form a reflective layer pattern configured to be filled in the reflective layer filling groove; and removing the sacrificial layer pattern remaining on top of the base substrate. | 08-29-2013 |
20130230795 | PHOTOMASK BLANK, PHOTOMASK, AND METHODS OF MANUFACTURING THE SAME - A photomask blank is for use in manufacturing a photomask to be applied with exposure light having a wavelength of 200 nm or less. The photomask blank has a light-transmitting substrate and a light-shielding film formed thereon. The light-shielding film has a light-shielding layer containing a transition metal and silicon and a front-surface antireflection layer formed contiguously on the light-shielding layer and made of a material containing at least one of oxygen and nitrogen. The light-shielding film has a front-surface reflectance of a predetermined value or less for the exposure light and has a property capable of controlling the change width of the front-surface reflectance at the exposure wavelength to be within 2% when the thickness of the front-surface antireflection layer changes in the range of 2 nm. The material of the front-surface antireflection layer having a refractive index n and an extinction coefficient k capable of achieving such property is selected. | 09-05-2013 |
20130230796 | PHOTOMASK BLANK, PROCESS FOR PRODUCTION OF PHOTOMASK, AND CHROMIUM-CONTAINING MATERIAL FILM - In the chromium-containing material film of the present invention, an element is added thereto and is capable of bringing a mixture of the element and the chromium into a liquid phase at a temperature of 400° C. or lower. The use of such a chromium-containing material film as an optical film (e.g., a light-shielding film, an etching mask film, or an etching stopper film) of a photo mask blank can achieve an improvement in chlorine-dry etching while retaining the same optical characteristics and the like as those of the conventional chromium-containing material film, thereby increasing the patterning precision. | 09-05-2013 |
20130236818 | PHOTOMASK HAVING PATTERNS FOR EUV LIGHT AND DUV LIGHT - A photomask, including a photomask substrate, a reflective layer on a front surface of a first region of the photomask substrate, the reflective layer being configured to reflect an EUV light, an absorbing pattern on the reflective layer, the absorbing pattern being configured to absorb the EUV light, and an opaque pattern directly on a front surface of a second region of the photomask substrate, the opaque pattern being configured to block a DUV light. | 09-12-2013 |
20130236819 | THIN FILM EVALUATION METHOD, MASK BLANK, AND TRANSFER MASK - Provided is a thin film evaluation method for a transfer mask which is adapted to be applied with ArF excimer laser exposure light and comprises a thin film formed with a pattern on a transparent substrate. The method includes intermittently irradiating pulsed laser light onto the thin film to thereby evaluate the irradiation durability of the thin film. | 09-12-2013 |
20130244139 | Reflective Lithography Masks and Systems and Methods - Various non-planar reflective lithography masks, systems using such lithography masks, and methods are disclosed. An embodiment is a lithography mask comprising a transparent substrate, a reflective material, and a reticle pattern. The transparent substrate comprises a curved surface. The reflective material adjoins the curved surface of the transparent substrate, and an interface between the reflective material and the transparent substrate is a reflective surface. The reticle pattern is on a second surface of the transparent substrate. A reflectivity of the reticle pattern is less than a reflectivity of the reflective material. Methods for forming similar lithography masks and for using similar lithography masks are disclosed. | 09-19-2013 |
20130244140 | Non-Planar Lithography Mask and System and Methods - Various non-planar lithography masks, systems using such lithography masks, and methods are disclosed. An embodiment is a lithography mask comprising a lens-type transparent substrate and a reticle pattern on a surface of the lens-type transparent substrate. The reticle pattern is opaque to optical radiation. Methods for forming similar lithography masks and for using similar lithography masks are disclosed. | 09-19-2013 |
20130244141 | PHOTOMASK AND PATTERN FORMING METHOD - According to one embodiment, a photomask includes a mask substrate transparent to light, a light shielding pattern formed on the mask substrate, and a thin film portion that is provided at a part of the light shielding pattern and is thinned to have a higher light transmittance than the light shielding pattern, in which the thin film portion is arranged with respect to a light shielding pattern that is sensitive to a focus shift so that a sensitivity becomes stable and is not arranged with respect to a light shielding pattern whose sensitivity to a focus shift is stable. | 09-19-2013 |
20130244142 | Method and Apparatus for Inspecting a Mask Substrate for Defects, Method of Manufacturing a Photomask, and Method of Manufacturing a Semiconductor Device - According to one embodiment, a method of inspecting a mask substrate for defects, includes acquiring a defocus image of a partial region of a mask substrate using a dark-field optical system, acquiring a just-focus image of the partial region using the dark-field optical system, generating a set composed of first signals obtained from the defocus image and having signal intensities equal to or higher than a first threshold value, excluding, from the set, the first signals pertaining to parts in which signal intensities of signals obtained from the just-focus image are equal to or higher than a second threshold value, determining an inspection threshold value for signal intensities, on the basis of the first signals not excluded from, and remaining in, the sea. | 09-19-2013 |
20130244143 | Optimum Imaging Position Detecting Method, Optimum Imaging Position Detecting Device, Photomask Manufacturing Method, and Semiconductor Device Manufacturing Method - According to one embodiment, an optimum imaging position detecting method includes acquiring an image of a predetermined area of a substrate surface, calculating, on the basis of the image of the predetermined area, peak intensity corresponding to a value obtained by subtracting average signal intensity of an area outside an intensity acquisition part from signal intensity of the intensity acquisition part, calculating variation of the peak intensity, executing acquiring the image of the predetermined area, calculating the peak intensity, and calculating the variation of the peak intensity at each of a plurality of imaging positions, and determining that a position of the maximum variation of the peak intensity is an optimum imaging position. | 09-19-2013 |
20130244144 | GATE CD CONTROL USING LOCAL DESIGN ON BOTH SIDES OF NEIGHBORING DUMMY GATE LEVEL FEATURES - A method of forming an IC including MOS transistors includes using a gate mask to form a first active gate feature having a line width W | 09-19-2013 |
20130252141 | METHOD FOR MANUFACTURING A PHOTOMASK - In a method form manufacturing a photomask, the method includes coating an organometallic ink on a base substrate to form a solution layer. The base substrate is heat-treated on which the solution layer is formed, to self-produce a nanoparticle in the solution layer. A laser is irradiated to the solution layer, to form a metal pattern. The solution layer having the metal pattern is cleaned. The metal pattern is heat-treated. The metal pattern is covered using an encapsulant. | 09-26-2013 |
20130252142 | IMAGING DEVICES, METHODS OF FORMING SAME, AND METHODS OF FORMING SEMICONDUCTOR DEVICE STRUCTURES - An imaging device comprising a first region and a second region. Imaging features in the first region and assist features in the second region are substantially the same size as one another and are formed substantially on pitch. Methods of forming an imaging device and methods of forming a semiconductor device structure are also disclosed. | 09-26-2013 |
20130252143 | METHOD AND SYSTEM FOR DESIGN OF ENHANCED ACCURACY PATTERNS FOR CHARGED PARTICLE BEAM LITHOGRAPHY - A method and system for fracturing or mask data preparation are presented in which overlapping shots are generated to increase dosage in selected portions of a pattern, thus improving the fidelity and/or the critical dimension variation of the transferred pattern. In various embodiments, the improvements may affect the ends of paths or lines, or square or nearly-square patterns. Simulation is used to determine the pattern that will be produced on the surface. | 09-26-2013 |
20130252144 | SEMICONDUCTOR STRUCTURE HAVING AN AIR-GAP REGION AND A METHOD OF MANUFACTURING THE SAME - A method of manufacturing a semiconductor structure, the method includes removing a portion of a dielectric filler from a first metal-containing layer formed over a semiconductor substrate to define an air-gap region according to a predetermined air-gap pattern. The method further includes filling the air-gap region with a decomposable filler and forming a dielectric capping layer over the first metal-containing layer. The method further includes decomposing the decomposable filler. | 09-26-2013 |
20130260288 | EXTREME ULTRAVIOLET LITHOGRAPHY PROCESS AND MASK - A process of an extreme ultraviolet lithography (EUVL) is disclosed. The process includes receiving an extreme ultraviolet (EUV) mask with multiple states. Different states of the EUV mask are assigned to adjacent polygons and a field. The EUV mask is exposed by a nearly on-axis illumination (ONI) with partial coherence σ less than 0.3 to produce diffracted lights and non-diffracted lights. Most of the non-diffracted lights are removed. The diffracted lights and the not removed non-diffracted lights are collected and directed to expose a target by a projection optics box. | 10-03-2013 |
20130260289 | METHOD OF MAKING A LITHOGRAPHY MASK - A method of fabricating a lithography mask with carbon-based-charging-dissipation (CBCD) layer is disclosed. The method includes providing a substrate, depositing an opaque layer on the substrate, coating a photoresist and depositing a charging dissipation layer on the photoresist. The photoresist is patterned by an electron-beam writing. The CBCD layer is removed during developing the photoresist. | 10-03-2013 |
20130260290 | NEAR-FIELD EXPOSURE MASK AND PATTERN FORMING METHOD - A near-field exposure mask according to an embodiment includes: a substrate; a concave-convex structure having convexities and concavities and formed on one surface of the substrate; a near-field light generating film arranged at least on a tip portion of each of the convexities, the near-field light generating film being a layer containing at least one element selected from the group consisting of Au, Al, Ag, Cu, Cr, Sb, W, Ni, In, Ge, Sn, Pb, Zn, Pd, and C, or a film stack formed with layers made of some of those materials; and a resin filled in each of the concavities. | 10-03-2013 |
20130260291 | MODIFIED MASK FOR PHOTOLITHOGRAPHY OF A WAFER WITH RECESS, METHOD FOR PRODUCING SUCH A MASK AND METHOD FOR PHOTOLITHOGRAPHY OF A WAFER WITH RECESS - A photolithography mask for a semiconductor wafer. The mask includes a protrusion section that protrudes from a handling section of the mask. An outer shape of the handling section enables handling by a mask aligner device. The protrusion includes a face surface provided at a level which is different from a face surface area of the handling section. | 10-03-2013 |
20130260292 | METHOD FOR MANUFACTURING REFLECTIVE MASK AND APPARATUS FOR MANUFACTURING REFLECTIVE MASK - According to one embodiment, a method for manufacturing a reflective mask includes: forming a reflection layer on a major surface of a substrate; forming a capping layer containing ruthenium on the reflection layer; forming an absorption layer on the capping layer; forming a pattern region in the absorption layer; removing a first resist mask used in forming the pattern region; and forming a light blocking region surrounding the pattern region in the absorption layer, the capping layer, and the reflection layer. The removing the first resist mask used in forming the pattern region includes: performing dry ashing processing using a mixed gas of ammonia gas and nitrogen gas or only ammonia gas. | 10-03-2013 |
20130260293 | PHOTOMASK, AND PATTERN FORMATION METHOD AND EXPOSURE APPARATUS USING THE PHOTOMASK - A photomask includes a translucent substrate; and a light-shielding film formed on the translucent substrate, and including a light-shielding portion and an opening which serves as a translucent region. A plurality of recesses are formed in a region of the translucent substrate, which is exposed from the opening. Widths of the plurality of recesses gradually increase with an increase in distances from a focal point so that light transmitted by the plurality of recesses is focused in a predetermined position. | 10-03-2013 |
20130266893 | METHOD FOR GENERATING MASK PATTERN - A method for generating, via a computer, a mask pattern to be used for an exposure apparatus that exposes an image of the mask pattern on a substrate by irradiating a mask includes obtaining data of a main pattern to be formed on the substrate, and data of a pattern of a lower layer of a layer to which the main pattern is transferred, setting a generation condition for an auxiliary pattern with respect to the main pattern using data of the pattern of the lower layer, determining the auxiliary pattern using the generation condition, and generating data of the mask pattern including the main pattern and the determined auxiliary pattern. | 10-10-2013 |
20130273463 | MITIGATION OF MASK DEFECTS BY PATTERN SHIFTING - An image of a mask pattern is overlaid on an image of a mask blank annotated with the center location and dimensions of each measured mask defect. Design clips centered at the measured defects are generated with lateral dimensions less than allowable movement of the mask pattern over the mask blank. Each design clip is converted into a binary image including pixels corresponding to defect-activating regions and pixels corresponding to defect-hiding regions. Each pixel region representing the defect-activating region is expanded by laterally biasing peripheries by one half of the lateral extent of the defect located within the corresponding design clip. Biased design clips are logically compiled pixel by pixel to determine an optimal pattern shift vector representing the amount of pattern shift. | 10-17-2013 |
20130280643 | REFLECTIVE MASK AND METHOD OF MAKING SAME - A reflective mask is described. The mask includes a low thermal expansion material (LTEM) substrate, a conductive layer deposited on a first surface of the LTEM substrate, a stack of reflective multilayers (ML) deposited on a second surface of the LTEM substrate, a capping layer deposited on the stack of reflective ML, a first absorption layer deposited on the first capping layer, a main pattern, and a border ditch. The border ditch reaches to the capping layer, the second absorption layer deposited inside the border ditch, and the second absorption layer contacts the capping layer. | 10-24-2013 |
20130280644 | MASK AND METHOD FOR FORMING THE SAME - A photomask includes a low thermal expansion material (LTEM) substrate, a patterned opaque layer over the LTEM substrate, and a patterned capping layer over the opaque layer. The patterned capping layer includes a transition metal material for suppressing haze growth, such as metal oxide, metal nitride, or metal oxynitride. The material in the capping layer reacts with a hydrogenic compound from a lithography environment to for an atomic level hydrogen passivation layer. The passivation layer has superior ability to suppress photo-induced haze defect growth on the photomask surface, to improve production cycle time and reduce the production cost. | 10-24-2013 |
20130280645 | Mask Set for Double Exposure Process and Method of Using the Mask Set - A mask set for double exposure process and method of using said mask set. The mask set is provided with a first mask pattern having a first base and a plurality of first teeth and protruding portions, and a second mask pattern having a second base and a plurality of second teeth, wherein the second base may at least partially overlap the first base such that each of the protruding portions at least partially overlaps one of the second teeth. | 10-24-2013 |
20130280646 | MASK BLANK, TRANSFER MASK, METHOD OF MANUFACTURING A TRANSFER MASK, AND METHOD OF MANUFACTURING A SEMICONDUCTOR DEVICE - A mask blank for use in the manufacture of a binary mask adapted to be applied with ArF excimer laser exposure light has, on a transparent substrate, a light-shielding film for forming a transfer pattern. The light-shielding film has a laminated structure of a lower layer and an upper layer and has an optical density of 2.8 or more for exposure light and a thickness of 45 nm or less. The lower layer is made of a material in which the total content of a transition metal and silicon is 90 at % or more, and has a thickness of 30 nm or more. The upper layer has a thickness of 3 nm or more and 6 nm or less. The phase difference between exposure light transmitted through the light-shielding film and exposure light transmitted in air for a distance equal to the thickness of the light-shielding film is 30 degrees or less. | 10-24-2013 |
20130288162 | PHOTOCURABLE COMPOSITION - A low surface energy photoresist composition is described that comprises a silicone-polyether block copolymer, wherein the silicone block comprises 35 wt. % or more of said copolymer. When compounded with a photoresist composition, the composition enables the release of a phototool from the photoresist layer. | 10-31-2013 |
20130288163 | PHOTOMASK BLANK AND MANUFACTURING METHOD THEREOF - An object of this invention is to provide a photomask blank in which there is little warpage and is which an amount of warpage change after a photomask manufacturing process ends is also small. First, a phase shift film is deposited (S | 10-31-2013 |
20130288164 | PATTERN CORRECTION METHOD, STORAGE MEDIUM, INFORMATION PROCESSING APPARATUS, METHOD OF MANUFACTURING MASK, EXPOSURE APPARATUS, AND METHOD OF MANUFACTURING DEVICE - The present invention provides a pattern correction method of, when a plurality of pattern elements on a mask used to process a line pattern formed on a substrate are transferred to the substrate, performing proximity effect correction of each pattern element such that a transferred image obtains a dimension equal to a target dimension, comprising setting, based on a density of a pattern element in a peripheral region surrounding a pattern element of interest, a dimension of the pattern element whose transferred image formed under the density of the pattern element has a dimension equal to the target dimension as a reference value for the pattern element of interest, and calculating a dimension of transferred image of the pattern element of interest while changing around the reference value and determining the dimension of the pattern element of interest based on the calculation result. | 10-31-2013 |
20130288165 | PHASE-SHIFT BLANKMASK AND METHOD FOR FABRICATING THE SAME - Provided is a phase-shift blankmask in which a phase-shift layer is formed in at least two continuous layers or a multi-layer film and an uppermost phase-shift layer included in the phase-shift layer is thinly formed to contain a small amount of oxygen (O) so as to enhance chemical resistance and durability thereof. | 10-31-2013 |
20130288166 | REFLECTIVE EXTREME ULTRAVIOLET MASK AND METHOD OF FORMING A PATTERN USING THE SAME - According to example embodiments, a reflective EUV mask may include a mask substrate, a patterned structure and a non-patterned structure on the mask substrate. At least one of the patterned structure and the non-patterned structure may include a thermally treated region configured to reduce a reflectivity of the respective patterned and non-patterned structure. | 10-31-2013 |
20130295494 | METHOD FOR REPAIRING PHOTOMASK - A method for repairing a defect, such as a pinhole, on a photomask is described. In an example, a laser beam is used to form a matrix of laser burn spots in a substrate of the photomask proximate a defect, such as a pinhole, of the photomask. Each laser burn spot is formed at a focal point of the laser beam inside the substrate by melting a material of the substrate proximate to the defect. In an example, the defect is surrounded and covered by the matrix of laser burn spots. The matrix of laser burn spots can attenuate or block light from passing through the defect, such as the pinhole. The matrix of laser burn spots may repair the defect of the photomask without removing a pellicle and pellicle frame mounted on the photomask. | 11-07-2013 |
20130302724 | MASK AND METHOD OF FORMING PATTERN BY USING THE SAME - A mask includes a substrate, at least a first strip pattern, at least a second strip pattern and an assist pattern. A width of the second strip pattern is substantially larger than a width of the first strip pattern. The assist pattern is disposed in the second strip pattern neighboring the first strip pattern, and the assist pattern does not overlap a center line of the second strip pattern. | 11-14-2013 |
20130302725 | SYSTEM AND METHOD FOR TEST PATTTERN FOR LITHOGRAPHY PROCESS - A lithographic mask reticle includes a first mask region having a first mask pattern configured for use in fabrication of electronic circuit structures, and a second mask region having a second mask pattern configured for use in fabrication of test structures. The second mask pattern includes all categories of structural patterns containing in the first mask pattern. | 11-14-2013 |
20130302726 | CHEMICAL AMPLIFICATION RESIST COMPOSITION, RESIST FILM USING THE COMPOSITION, RESIST-COATED MASK BLANKS, RESIST PATTERN FORMING METHOD, PHOTOMASK AND POLYMER COMPOUND - A chemical amplification resist composition contains: (A) a polymer compound having a structure where a hydrogen atom of a phenolic hydroxyl group is replaced by a group having a non-acid-decomposable polycyclic alicyclic hydrocarbon structure; and (B) a compound capable of generating an acid upon irradiation with an actinic ray or radiation. | 11-14-2013 |
20130309598 | HALF-TONE PHASE SHIFT MASK BLANK AND METHOD FOR MANUFACTURING HALF-TONE PHASE SHIFT MASK - A half-tone phase shift film | 11-21-2013 |
20130309599 | PHOTOMASK BLANK MANUFACTURING METHOD, PHOTOMASK BLANK, PHOTOMASK, AND PATTERN TRANSFER METHOD - The method for manufacturing a photomask blank according to the present invention, when manufacturing a photomask blank having at least one functional layer on a transparent substrate, in a step of film-formation of such a functional film where the functional film includes a chromium-containing element and an a metallic element that is capable of bringing a mixture of the metallic element and the chromium into a liquid phase at a temperature of 400° C. or lower, a chromium target (target A) and a target (target B) mainly containing at least one kind of the metallic element are simultaneously sputtered (co-sputtered). The present invention provides a technique for manufacturing a functional film having a small variation in its characteristics such as optical density and a low detect, and showing a high etching rate. | 11-21-2013 |
20130309600 | PHOTOMASK BLANK AND METHOD FOR MANUFACTURING PHOTOMASK - A light-shielding film | 11-21-2013 |
20130309601 | PHOTOMASK BLANK, METHOD FOR MANUFACTURING PHOTOMASK, AND METHOD FOR MANUFACTURING PHASE SHIFT MASK - A light-shielding film | 11-21-2013 |
20130309602 | OPTICALLY SEMITRANSMISSIVE FILM, PHOTOMASK BLANK AND PHOTOMASK, AND METHOD FOR DESIGNING OPTICALLY SEMITRANSMISSIVE FILM - A photomask blank includes a transparent substrate and a film containing at least two layers formed on the transparent substrate, the two layers being a first layer with a phase difference Δθ | 11-21-2013 |
20130316269 | PHOTOMASK AND METHOD FOR MANUFACTURING THE SAME - The present invention provides a photo-mask and a method for manufacturing the same. The method for manufacturing the photo-mask comprising: forming a shading pattern layer on a substrate; forming a protecting layer covering the shading pattern layer and the substrate; and; forming a reduced reflection layer on the protecting layer, wherein a refractive index of the protecting layer is greater than a refractive index of the reduced reflection layer. The present invention can mitigate a light reflection problem of a substrate of the conventional photo-mask. | 11-28-2013 |
20130316270 | MASK HAVING ASSIST PATTERN - A mask may include a circuit area and a pixel area. The circuit area includes a circuit pattern. The pixel area includes a pixel pattern which is extended in a length direction and an assist pattern which is at an end portion of the pixel pattern and adjacent to the circuit area. | 11-28-2013 |
20130316271 | METHOD OF MANUFACTURING A TRANSFER MASK AND METHOD OF MANUFACTURING A SEMICONDUCTOR DEVICE - In a mask blank for manufacturing a transfer mask, the mask blank has a light-shielding film on a transparent substrate. The light-shielding film is made of a material containing tantalum as a main metal component and includes a highly oxidized layer which has an oxygen content of 60 at % or more and which is formed as a surface layer of the light-shielding film. The highly oxidized layer is placed on a side opposite to a transparent substrate side. | 11-28-2013 |
20130316272 | REFLECTIVE MASK BLANK FOR EUV LITHOGRAPHY - To provide an EUV mask blank with which the etching selectivity under etching conditions for absorber layer is sufficiently high, line edge roughness after pattern formation will not be large, and a pattern with high resolution can be obtained. | 11-28-2013 |
20130316273 | Method For Fracturing And Forming A Pattern Using Shaped Beam Charged Particle Beam Lithography - In the field of semiconductor production using shaped charged particle beam lithography, a method and system for fracturing or mask data preparation or proximity effect correction is disclosed, wherein a plurality of circular or nearly-circular shaped beam shots can form a non-circular pattern on a surface. Methods for manufacturing a reticle and for manufacturing a substrate such as a silicon wafer by forming non-circular patterns on a surface using a plurality of circular or nearly-circular shaped beam shots is also disclosed. | 11-28-2013 |
20130323625 | Systems and Methods for Lithography Masks - Structure of mask blanks and masks, and methods of making masks are disclosed. The new mask blank and mask comprise a tripe etching stop layer to prevent damages to the quartz substrate when the process goes through etching steps three times. The triple etching stop layer may comprise a first sub-layer of tantalum containing nitrogen (TaN), a second sub-layer of tantalum containing oxygen (TaO), and a third sub-layer of TaN. Alternatively, the triple etching stop layer may comprise a first sub-layer of SiON material, a second sub-layer of TaO material, and a third sub-layer of SiON material. Another alternative may be one layer of low etching rate Mo | 12-05-2013 |
20130323626 | REFLECTIVE MASK - A reflective mask includes a substrate, a first reflective multi-layer, a second reflective multi-layer, a first patterned absorber layer and a second patterned absorber layer. The substrate includes a first surface and a second surface opposite to the first surface. The first reflective multi-layer is formed on the first surface of the substrate. The second reflective multi-layer is formed on the second surface of the substrate. The first patterned absorber layer is formed on the first reflective multi-layer. The second patterned absorber layer is formed on the second reflective multi-layer. | 12-05-2013 |
20130323627 | Photomasks, Methods Of Forming A Photomask, And Methods Of Photolithographically Patterning A Substrate - A photomask includes a substrate having a device region and an adjacent edge region over transparent material. The device region includes spaced primary features of constant pitch at least adjacent the edge region. The edge region includes spaced sub-resolution assist features of the constant pitch of the spaced primary features at least adjacent the device region and which are off-phase by from about 30° to about 150° from +/−180°. Additional embodiments, including methods, are disclosed. | 12-05-2013 |
20130323628 | RETICLE WITH COMPOSITE POLARIZER AND METHOD OF SIMULTANEOUS OPTIMIZATION OF IMAGING OF A SET OF DIFFERENT PATTERNS - A reticle with a composite polarizer includes: a transparent substrate; a patterned layer disposed on said transparent substrate; and a polarizing filter disposed on said transparent substrate, wherein said transparent substrate is substantially transparent with respect to illumination light, said patterned layer is partially opaque with respect to said illumination light, and said polarizing filter is capable of selectively polarizing said illumination light. | 12-05-2013 |
20130323629 | REFLECTION TYPE BLANK MASKS, METHODS OF FABRICATING THE SAME, AND METHODS OF FABRICATING REFLECTION TYPE PHOTO MASKS USING THE SAME - Reflection type blank masks are provided. The blank mask includes a substrate, a reflection layer substantially on the substrate, at least one fiducial mark substantially on the reflection layer, an absorption layer substantially on the at least one fiducial mark and the reflection layer, and a resist layer substantially on the absorption layer. | 12-05-2013 |
20130323630 | SUBSTRATE WITH CONDUCTIVE FILM, SUBSTRATE WITH MULTILAYER REFLECTIVE FILM AND REFLECTIVE MASK BLANK FOR EUV LITHOGRAPHY - To provide a substrate with a conductive film for an EUV mask blank, which has a conductive film having a low sheet resistance, excellent surface smoothness and excellent contact to an electrostatic chuck, and with which deformation of the substrate by the film stress in an EUV mask blank can be suppressed. | 12-05-2013 |
20130330660 | HARD MASK SPACER STRUCTURE AND FABRICATION METHOD THEREOF - A hard mask spacer structure includes a first spacer on a device layer, the first spacer defining a plurality of hole patterns and at least an asteriated hole pattern between the hole patterns; and a second spacer on the first spacer and inlaid into the asteriated hole pattern, thereby rounding the asteriated hole pattern. | 12-12-2013 |
20130330661 | METHOD FOR REPAIRING MASK FOR EUV EXPOSURE AND MASK FOR EUV EXPOSURE - Provided is a method for repairing a mask for EUV exposure. The mask includes: a Mo/Si multi-layer film including a molybdenum layer and a silicon layer and being deposited on a substrate; a protection film formed on the Mo/Si multi-layer film; and an absorption film formed on the protection film. The method includes: specifying a position of a defect of the Mo/Si multi-layer film in an exposed area of the protection film; and irradiating light beam, of which a diameter is narrowed down to be or less than a wavelength of EUV exposure light, onto an area covering the position of the defect in a plan view of the mask for EUV exposure to form a plurality of holes on a top surface of the mask for EUV exposure, the maximum width of the holes being equal to or less than the wavelength. | 12-12-2013 |
20130337370 | PHOTOMASK AND METHOD FOR FORMING THE SAME - A photomask having a machine-readable identifying mark and suitable for manufacturing integrated circuit devices and a method for forming the photomask and identifying mark are disclosed. An exemplary embodiment includes receiving a design layout corresponding to a pattern to be formed on a photomask blank. A specification of an identifying code is also received along with the photomask blank, which includes a substrate, a reflective layer, and an absorptive layer. A first patterning is performed using the design layout. A second patterning is performed using the specification of the identifying code. | 12-19-2013 |
20130337371 | MASK AND REPAIRING METHOD THEREFOR - The present invention provides a mask and a repairing method therefor. A reference area is selected in a configuration pattern of a mask template, the reference area is corresponding to a to-be-shaded area of a mask; a repair area is formed on a drillable member according to the reference area; a hollow area is formed in the repair area of the drillable member, the hollow area is corresponding to the to-be-shaded area; the drillable member is attached to the mask, the hollow area is corresponding to the to-be-shaded area; and shading material is coated on the drillable member, so as to form a shaded layer on the to-be-shaded layer. | 12-19-2013 |
20130337372 | Method and System for Design of a Reticle to be Manufactured Using Variable Shaped Beam Lithography - A surface manufactured using variable shaped beam (VSB) shots is disclosed, where either: 1) the left edge of a first VSB shot intersects the top edge of a second VSB shot, and the bottom edge of the first VSB shot intersects the right edge of the second VSB shot; or 2) the left edge of the first VSB shot intersects the bottom edge of a second VSB shot, and the top edge of the first VSB shot intersects the right edge of the second VSB shot; and where neither shot crosses a field boundary of the VSB charged particle beam writer. | 12-19-2013 |
20140004449 | BLANKMASK AND METHOD FOR FABRICATING PHOTOMASK USING THE SAME | 01-02-2014 |
20140011124 | METHOD AND SYSTEM FOR STENCIL DESIGN FOR PARTICLE BEAM WRITING - Various embodiments of the present invention relate to particle beam writing to fabricate an integrated circuit on a wafer. In various embodiments, cell projection (CP) cell library information is stored in the form of a data structure. Subsequently, the CP cell library information is referenced by a writing system. The patterns are written on the wafer depending on the referenced CP cell library. | 01-09-2014 |
20140017601 | REFLECTIVE MASK BLANK FOR EUV LITHOGRAPHY AND PROCESS FOR ITS PRODUCTION, AS WELL AS SUBSTRATE WITH REFLECTIVE LAYER FOR SUCH MASK BLANK AND PROCESS FOR ITS PRODUCTION - Process for producing a substrate with reflective layer for EUVL, which comprises forming a reflective layer for reflecting EUV light on a substrate, wherein the reflective layer is a multilayer reflective film having a low refractive index layer and a high refractive index layer alternately stacked plural times by a sputtering method, and depending upon the in-plane distribution of the peak reflectivity of light in the EUV wavelength region in a radial direction from the center of the substrate at the surface of the multilayer reflective film, at least one layer among the respective layers constituting the multilayer reflective film is made to be a reflectivity distribution correction layer having a thickness distribution provided in a radial direction from the center of the substrate, to suppress the in-plane distribution of the peak reflectivity of light in the EUV wavelength region in a radial direction from the center of the substrate. | 01-16-2014 |
20140017602 | PHOTO MASK AND METHOD OF MANUFACTURING IN-PLANE SWITCHING MODE LIQUID CRYSTAL DISPLAY DEVICE USING THE SAME - A photo mask is disclosed. | 01-16-2014 |
20140017603 | OPTICAL ELEMENT, ILLUMINATION DEVICE, MEASUREMENT APPARATUS, PHOTOMASK, EXPOSURE METHOD, AND DEVICE MANUFACTURING METHOD - An optical element includes: an incident surface irradiated with irradiation light; an emission surface of which at least a part faces a direction opposite to the incident surface; and a metal film having a hole to connect the incident surface and emission surface. The incident surface includes a first surface, disposed around an end of the hole on the incident surface side and having an inner edge connected to an inner surface of the hole, and a second surface disposed around the first surface forming a discontinuous portion between the second surface and an outer edge of the first surface. The distance between the inner and outer edges is determined by a wavelength of surface plasmons such that an intensity of light is increased due to interference between surface plasmons, excited at the inner edge by the irradiation light, and surface plasmons traveling from the discontinuous portion. | 01-16-2014 |
20140030637 | RETICLES FOR USE IN FORMING IMPLANT MASKING LAYERS AND METHODS OF FORMING IMPLANT MASKING LAYERS - In one example, a reticle disclosed herein includes a body having a center, an arrangement of a plurality of exposure patterns, wherein a center of the arrangement is offset from the center of the body, and at least one open feature defined on or through the body of the reticle. In another example, a method is disclosed that includes forming a layer of photoresist above a plurality of functional die and a plurality of incomplete die, exposing the photoresist material positioned above at least one of the functional die and/or at least one of the incomplete die, performing an incomplete die exposure processes via an open feature of the reticle to expose substantially all of the photoresist material positioned above the plurality of incomplete die, and developing the photoresist to remove the portions of the photoresist material positioned above the incomplete die. | 01-30-2014 |
20140030638 | PHOTOMASK PROCESSING TECHNIQUES - Techniques are disclosed for enhancing critical dimension (CD) resolution in photomask processing. In some cases, the techniques can be implemented, for instance, to enhance space and line pattern resolution independently on a given phase-shifting photomask (PSM). The disclosed techniques can be implemented, for example, to extend existing photolithography techniques/technologies (e.g., 193 nm photolithography) to additional process nodes. For instance, some embodiments can be used to produce extremely high-resolution photomasks which generate features having sizes in the 10 nm node and beyond. The disclosed techniques can be implemented in the fabrication of a wide range of integrated circuits (ICs) and other devices. | 01-30-2014 |
20140030639 | BLANK MASKS FOR EXTREME ULTRA VIOLET LITHOGRAPHY, METHODS OF FABRICATING THE SAME, AND METHODS OF CORRECTING REGISTRATION ERRORS THEREOF - Blank masks for extreme ultraviolet (EUV) photolithography are provided. The blank mask includes a substrate having a first surface and a second surface which are opposite to each other, a reflection layer disposed on the first surface of the substrate to reflect extreme ultraviolet (EUV) rays, an absorption layer disposed on the reflection layer opposite to the substrate to absorb extreme ultraviolet (EUV) rays, and a conductive layer disposed on the second surface of the substrate to expose portions of the substrate. Related methods are also provided. | 01-30-2014 |
20140030640 | RESIST PATTERN FORMING METHOD, RESIST PATTERN, CROSSLINKABLE NEGATIVE CHEMICAL AMPLIFICATION RESIST COMPOSITION FOR ORGANIC SOLVENT DEVELOPMENT, RESIST FILM AND RESIST-COATED MASK BLANKS - A resist pattern forming method contains: in the following order, (1) forming a resist film by using a negative chemical amplification resist composition containing (A) a polymer compound having a repeating unit represented by formula (1) as defined in the specification, (B) a compound capable of generating an acid upon irradiation with an actinic ray or radiation and (C) a crosslinking agent capable of crosslinking the polymer compound (A) by an action of an acid; (2) exposing the resist film, so as to form an exposed resist film; and (4) developing the exposed resist film by using a developer containing an organic solvent. | 01-30-2014 |
20140030641 | MASK BLANK, TRANSFER MASK, METHOD OF MANUFACTURING A TRANSFER MASK, AND METHOD OF MANUFACTURING A SEMICONDUCTOR DEVICE - A mask blank for use in the manufacture of a transfer mask adapted to be applied with ArF excimer laser exposure light is disclosed. The mask blank has, on a transparent substrate, a light-shielding film for forming a transfer pattern. The light-shielding film has an at least two-layer structure including a lower layer and an upper layer from the transparent substrate side. The lower layer is made of a material composed of a transition metal, silicon, and nitrogen and having a nitrogen content of 21 at % or more and a refractive index n of 1.9 or less. The upper layer is made of a material composed of a transition metal, silicon, and nitrogen and having a refractive index n of 2.1 or less. A surface layer of the upper layer contains oxygen and has a nitrogen content of 14 at % or more. | 01-30-2014 |
20140038085 | Automatic Misalignment Balancing Scheme for Multi-Patterning Technology - Some aspects of the present disclosure provide for a method of automatically balancing mask misalignment for multiple patterning layers to minimize the consequences of mask misalignment. In some embodiments, the method defines a routing grid for one or more double patterning layers within an IC layout. The routing grid has a plurality of vertical grid lines extending along a first direction and a plurality of horizontal grid lines extending along a second, orthogonal direction. Alternating lines of the routing grid in a given direction (e.g., the horizontal and vertical direction) are assigned different colors. Shapes on the double patterning layers are then routed along the routing grid in a manner that alternates between different colored grid lines. By routing in such a manner, variations in capacitive coupling caused by mask misalignment are reduced. | 02-06-2014 |
20140038086 | Phase Shift Mask for Extreme Ultraviolet Lithography and Method of Fabricating Same - A mask and method of fabricating same are disclosed. In an example, a mask includes a substrate, a reflective multilayer coating disposed over the substrate, an Ag | 02-06-2014 |
20140038087 | EXTREME ULTRAVIOLET (EUV) MULTILAYER DEFECT COMPENSATION AND EUV MASKS - Methods and structures for extreme ultraviolet (EUV) lithography are disclosed. A method includes determining a phase error correction for a defect in an EUV mask, determining an amplitude error correction for the EUV mask based on both the defect in the EUV mask and the phase error correction, and modifying the EUV mask with the determined phase error correction and the determined amplitude error correction. | 02-06-2014 |
20140038088 | Method of Fabricating a Lithography Mask - A method of fabricating an extreme ultraviolet (EUV) mask is disclosed. The method includes providing a substrate, forming a reflective multilayer (ML) over the substrate, forming a buffer layer over the reflective ML, forming an absorption layer over the buffer layer and forming a capping layer over the absorption layer. The capping layer and the absorption layer are etched to form the EUV mask. | 02-06-2014 |
20140038089 | SELF-POLARIZED MASK AND SELF-POLARIZED MASK APPLICATION - A self-polarized mask is provided including a transparent substrate, first and second layers of polarization material consecutively provided on the transparent substrate and polarized in a first and a second direction, respectively. A first region is provided that extends in the first direction and contains only the first layer and no second layer, a second region is provided that extends in the second direction and contains only the second layer and no first layer. Embodiments include exposing a photoresist to light through the mask such that light polarized in the first direction passes through the mask in the first region to expose a first-directional region of the photoresist layer used to form a first-directional semiconductor device structure, and light polarized in the second direction passes through the mask in the second region to expose a second-directional region of the photoresist layer used to form a second-directional semiconductor device structure. | 02-06-2014 |
20140038090 | Extreme Ultraviolet Lithography Mask and Multilayer Deposition Method for Fabricating Same - A mask, method of fabricating same, and method of using same are disclosed. In an example, a mask includes a substrate and a reflective multilayer coating deposited over the substrate. The reflective multilayer coating is formed by positioning the substrate such that an angle α is formed between a normal line of the substrate and particles landing on the substrate and rotating the substrate about an axis that is parallel with a landing direction of the particles. In an example, reflective multilayer coating includes a first layer and a second layer deposited over the first layer. A phase defect region of the reflective multilayer coating includes a first deformation in the first layer at a first location, and a second deformation in the second layer at a second location, the second location laterally displaced from the first location. | 02-06-2014 |
20140038091 | APPARATUS AND METHODS FOR ETCHING QUARTZ SUBSTRATE IN PHOTOMASK MANUFACTURING APPLICATIONS - The present invention provides methods for etching a quartz substrate using a second level photoresist layer disposed thereon as an etching mask. In one embodiment, a method of etching a quartz substrate for forming a photomask includes providing a quartz substrate having a metal containing layer disposed thereon in an etch chamber, applying a first photoresist layer on a substrate, patterning the first photoresist layer to remove a first region of the metal containing layer to expose a first portion of the quartz substrate while remaining a second region of the metal containing layer on the quartz substrate, removing the remaining first photoresist layer on the quartz substrate, applying a second photoresist layer on the exposed quartz substrate and the second region of the metal containing layer, patterning the second photoresist layer to form openings in the second photoresist layer exposing the underlying quartz substrate, and etching the quartz substrate defined by the patterned second photoresist layer. | 02-06-2014 |
20140045102 | MASK AND METHOD OF MANUFACTURING A SUBSTRATE USING THE MASK - A mask includes a substantially transparent portion. The mask further includes a halftone portion abutting the substantially transparent portion, a light transmittance of the halftone portion being greater than 0% and less than 100%. The mask further includes a blocking portion abutting the halftone portion, a light transmittance of the blocking portion being less than the light transmittance of the halftone portion | 02-13-2014 |
20140045103 | METHODS FOR CONTROLLING DEFECTS FOR EXTREME ULTRAVIOLET LITHOGRAPHY (EUVL) PHOTOMASK SUBSTRATE - Methods for providing a silicon layer on a photomask substrate surface with minimum defeats for fabricating film stack thereon for EUVL applications are provided. In one embodiment, a method for forming a silicon layer on a photomask substrate includes performing an oxidation process to form a silicon oxide layer on a surface of a first substrate wherein the first substrate comprises a crystalline silicon material, performing an ion implantation process to define a cleavage plane in the first substrate, and bonding the silicon oxide layer to a surface of a second substrate, wherein the second substrate is a quartz photomask. | 02-13-2014 |
20140045104 | LCD Panel Photolithography Process and Mask - Disclosed is an LCD panel photolithography process, employed in a lithography system for manufacturing a plurality of LCD panel, comprising steps of: performing photolithography to a glass substrate with a first mask, and the first mask comprises a plurality of sets of alignment marks corresponding to a plurality of following masks thereafter, and a plurality of sets of alignment marks corresponding to the plurality of following masks thereafter are formed on the glass substrate; and employing the plurality of sets of alignment marks on the glass substrate respectively, to perform alignment procedure and photolithography for the plurality of following masks with the plurality of sets of alignment marks on the glass substrate to form patterns; wherein corresponding to the same LCD panel area, the plurality of sets of alignment marks on the glass substrate have different position coordinates respectively. | 02-13-2014 |
20140045105 | SEMICONDUCTOR STRUCTURE AND METHOD FOR FABRICATING SEMICONDUCTOR LAYOUT - A method for fabricating a semiconductor layout includes providing a first layout having a plurality of line patterns and a second layout having a plurality of connection patterns, defining at least a first to-be-split pattern overlapping with the connection pattern among the line patterns, splitting the first to-be-split pattern at where the first to-be-split pattern overlapping with the connection pattern, decomposing the first layout to form a third layout and a fourth layout, and outputting the third layout and the further layout to a first mask and a second mask respectively. | 02-13-2014 |
20140051015 | REDUCING EDGE DIE REFLECTIVITY IN EXTREME ULTRAVIOLET LITHOGRAPHY - Extreme ultraviolet lithography (EUVL) masks and methods of manufacturing are provided. A method includes forming a sub-resolution phase shift grating in a multilayer reflective film beneath a border region of an absorber layer of an extreme ultraviolet lithography (EUVL) mask. The sub-resolution phase shift grating reduces a reflectivity of the border region of the mask. | 02-20-2014 |
20140051016 | METHOD OF PREPARING A PATTERN, METHOD OF FORMING A MASK SET, DEVICE MANUFACTURING METHOD AND COMPUTER PROGRAM - In a multiple patterning techniques, where two or more exposures are used to form a single layer of a device, the splitting of features in a single layer between the multiple exposures is carried out additionally with reference to features of another associated layer and the splitting of that layer into two or more sets of features for separate exposure. The multiple exposure process can be a process involving repeated litho-etch steps desirably, the alignment scheme utilized during exposure of the split layers is optimized with reference to the splitting approach. | 02-20-2014 |
20140057199 | PHOTOMASK BLANK, PHOTOMASK, AND METHOD FOR MANUFACTURING PHOTOMASK BLANK - A photomask blank for producing a photomask to which an ArF excimer laser light is applied. The blank includes a light transmissive substrate on which a thin film having a multilayer structure is provided. The thin film has a light-shielding film in which a back-surface antireflection layer, a light-shielding layer and a front-surface antireflection layer are laminated in this order. The light-shielding layer comprises chromium and nitrogen, and the chromium content is more than 50 atomic %. The front-surface antireflection layer and the back-surface antireflection layer each has an amorphous structure made of a material comprising chromium, nitrogen, oxygen and carbon. The chromium content ratio of the front-surface antireflection layer and the back-surface antireflection layer is 40 atomic % or less. A first sum of nitrogen content and oxygen content of the back-surface antireflection layer is less than a second sum of nitrogen content and oxygen content of the front-surface antireflection layer. | 02-27-2014 |
20140065521 | METHOD FOR MASK FABRICATION AND REPAIR - A method for repairing phase defects for an extreme ultraviolet (EUV) mask is disclosed. The method includes receiving a patterned EUV mask with at least one phase-defect region, determining location and size of the phase-defect region, depositing an absorber material to cover the phase-defect region and removing a portion of the patterned absorption layer near the phase-defect region in the patterned EUV mask to form an absorber-absent region. | 03-06-2014 |
20140065522 | PATTERN FORMING METHOD, POSITIONAL DEVIATION MEASURING METHOD AND PHOTOMASK - According to one embodiment, a positional deviation measuring method includes measuring a positional deviation of a device pattern formed in a lower layer portion using an alignment mark of the lower layer portion as a reference; measuring a positional deviation of a device pattern formed in an upper layer portion above the lower layer portion using an alignment mark of the upper layer portion as a reference; measuring a positional deviation between the alignment mark of the lower layer portion and the alignment mark of the upper layer portion; and calculating a positional deviation between the device patterns based on the positional deviation between the alignment marks. | 03-06-2014 |
20140065523 | PATTERN MASK AND METHOD OF MANUFACTURING THIN FILM PATTERN USING PATTERN MASK - A pattern mask for patterning a thin film includes a transparent or translucent substrate with a plurality of grooves formed thereon having a pitch of about 4.6 μm to about 10.8 μm. | 03-06-2014 |
20140065524 | PHOTOMASK AND METHOD FOR FORMING PATTERN OF SEMICONDUCTOR DEVICE USING THE SAME - A semiconductor device includes a cell mask pattern disposed in a cell region of a mask substrate and a vernier mask pattern disposed in a vernier region of the mask substrate. The vernier mask pattern includes a variable mask pattern portion to transfer a different shape of pattern depending on the magnitude of exposure energy. | 03-06-2014 |
20140065525 | PELLICLE FOR EUV - A pellicle for EUV including a silicon film and a mesh work structure supporting the silicon film, and this pellicle is improved in that the grid frames of the mesh work structure are tapered in such a manner that the width of each grid frame lessens as the distance from the silicon film is increased. | 03-06-2014 |
20140072902 | ATTENUATED PHASE SHIFT MASK FOR MULTI-PATTERNING - An attenuated phase shift mask (AttPSM) is fabricated with a set of fully transmitting regions, some parts adjacent phase-shifting regions with a first reduced transmission and first phase shift near 180 degrees, and remaining parts adjacent phase-shifting regions with a second transmission higher than the first transmission and second phase shift lower than the first phase shift. | 03-13-2014 |
20140072903 | Technique for Repairing a Reflective Photo-Mask - During a calculation technique, a modification to a reflective photo-mask is calculated. In particular, using information specifying a defect associated with a location on a top surface of the reflective photo-mask, the modification to the reflective photo-mask is calculated. For example, the calculation may involve an inverse optical calculation in which a difference between a pattern associated with the reflective photo-mask at an image plane in a photo-lithographic process and a reference pattern at the image plane in the photo-lithographic process is used to calculate the modification at an object plane in the photo-lithographic process. Note that the modification includes a material added to the top surface of the reflective photo-mask using an additive fabrication process. Moreover, the modification is proximate to the location. | 03-13-2014 |
20140072904 | PHOTOMASK, PHOTOMASK SET, EXPOSURE APPARATUS AND EXPOSURE METHOD - There is provided a photomask capable of improving alignment accuracy with respective photomasks disposed on the front and rear faces of a substrate. A photomask has a drawing pattern for exposure formed on one face opposing a substrate, a first alignment mark for alignment with a substrate side mark formed on the substrate, the first alignment mark being provided in a region of the one face, the region opposing the substrate when the substrate is retained and the drawing pattern is not formed in the region, and a second alignment mark for alignment with a third alignment mark provided on another photomask, the second alignment mark being provided in a region which does not oppose the substrate when the substrate is retained. | 03-13-2014 |
20140072905 | POSITIVE RESIST COMPOSITION, AND RESIST FILM, RESIST-COATED MASK BLANK, RESIST PATTERN FORMING METHOD AND PHOTOMASK EACH USING THE COMPOSITION - A positive resist composition contains: (A) a polymer compound having a structure where a hydrogen atom of a phenolic hydroxyl group is replaced by an acid labile group represented by the following formula (I): | 03-13-2014 |
20140080041 | METHOD FOR CREATING MASK DATA, PROGRAM, INFORMATION PROCESSING APPARATUS, AND METHOD FOR MANUFACTURING MASK - Data regarding a first corrected patterns on a single cell corrected such that an evaluation value of a pattern formed on a substrate after an image of a pattern of the single cell is projected onto a resist on the substrate and the resist is developed is obtained for each of a plurality of cells, a first evaluation value obtained by evaluating a projected image of the first corrected pattern on the single cell generated by the projection system is obtained for each of the cells, a second evaluation value obtained by, when the cells are arranged adjacent to one another, evaluating the projected images of the first corrected patterns on the cells is calculated, and creating a second corrected pattern by correcting the first corrected patterns on the cells arranged adjacent to one another such that the second evaluation value becomes close to the first evaluation value. | 03-20-2014 |
20140080042 | Printable Laminates for Flexo Plates, Methods of Making, and Methods of Using - Negatives and laminates containing negatives for exposing liquid photopolymers in UV flexographic plate making are created by printing opaque images directly onto the protective or release cover films used in plate making processes. Combining negatives and cover films in this way eliminates two layers and two interfaces in the exposure process, reducing cost and improving quality. | 03-20-2014 |
20140087290 | Manufacturing Method of Transparent Electrode and Mask Thereof - The present invention provides a manufacturing method of transparent electrode and mask thereof. The method includes: forming a film on a glass substrate, and coating photo-resist on film; irradiating photo-resist through mask, wherein the mask at corresponding active area of liquid crystal panel forming, from outer area to inner area, at least a first area and a second area, gap of pattern corresponding to transparent electrode in first area being first gap, gap of pattern in second area being second gap, first gap being greater than corresponding default gap, difference between first gap and corresponding default gap being greater than difference between second gap and corresponding default gap: and performing photolithography and etching processes on substrate after exposure to form transparent electrodes on substrate. As such, the present invention can reduce gap errors of formed transparent electrodes in entire active area to improve display effect. | 03-27-2014 |
20140087291 | METHOD FOR FORMING PATTERN AND METHOD FOR PRODUCING ORIGINAL LITHOGRAPHY MASK - A method forming a pattern includes a process in which self-assembly material is formed on the substrate where on which a fiducial mark is formed, and the self-assembly material is separated in micro phase to form a self-assembled pattern. The position error from a predetermined formation position of the self-assembled pattern is measured on the basis of the fiducial mark, and a pattern for an alignment as well as a peripheral circuit pattern are formed on the substrate. The formation position of at least one pattern among the pattern for alignment and peripheral circuit pattern is corrected using the position error. | 03-27-2014 |
20140087292 | MASK BLANK, TRANSFER MASK AND METHOD OF MANUFACTURING TRANSFER MASK - Provided is a mask blank which enables EB defect correction to be suitably applied and which further enables a reduction in the thickness of a light-shielding film. A mask blank | 03-27-2014 |
20140093814 | METHOD FOR FORMING PHOTOMASKS - A method for forming photomasks includes the following steps. A first photomask including a first target pattern and a first unprintable dummy pattern is provided. A second photomask including a second target pattern and a second printable dummy pattern are provided, wherein at least part of the second printable dummy pattern overlapping the first unprintable dummy pattern exposure limit, such that the second printable dummy pattern can not be printed in a wafer. | 04-03-2014 |
20140099572 | ACTINIC-RAY- OR RADIATION SENSITIVE RESIN COMPOSITION, ACTINIC-RAY- OR RADIATION-SENSITIVE FILM THEREFROM AND METHOD OF FORMING PATTERN - According to one embodiment, an actinic-ray- or radiation-sensitive resin composition includes a compound (P) containing at least one phenolic hydroxyl group and at least one group with a phenolic hydroxyl group whose hydrogen atom is replaced by any of groups of general formula (1) below. | 04-10-2014 |
20140106262 | Image Mask Film Scheme and Method - A system and method for repairing a photolithographic mask is provided. An embodiment comprises forming a shielding layer over an absorbance layer on a substrate. Once the shielding layer is in place, the absorbance layer may be repaired using, e.g., an e-beam process to initiate a reaction to repair a defect in the absorbance layer, with the shielding layer being used to shield the remainder of the absorbance layer from undesirable etching during the repair process. | 04-17-2014 |
20140106263 | EUV MASK SET AND METHODS OF MANUFACTURING EUV MASKS AND INTEGRATED CIRCUITS - An EUV mask set and method of manufacturing is disclosed. In one embodiment, a set of EUV mask blanks is inspected to obtain information about defects in each of the EUV mask blanks. From the obtained information, a set of complementary functional portions is determined, wherein each functional portion is assigned to one of the EUV mask blanks and does not contain any of the defects. The functional portions of the EUV mask blanks of the EUV mask blank set complement one another to form a virtual image area corresponding in size to image areas of the EUV mask blanks. A predefined mask pattern is provided on the EUV mask blanks. Information identifying position and shape of the functional portions is used to control an illumination process for imaging the predefined mask pattern onto a target. | 04-17-2014 |
20140106264 | PHOTOLITHOGRAPHY MASK, PHOTOLITHOGRAPHY MASK ARRANGEMENT, AND METHOD FOR EXPOSING A WAFER - A photolithography mask according to an embodiment may include: a mask substrate, the mask substrate having a three-dimensional pattern located and dimensioned to at least partially receive an inverse three-dimensional pattern of a wafer to be exposed using the photolithography mask. | 04-17-2014 |
20140106265 | PELLICLE - A pellicle is proposed in which the frame is composed of a detachable double structure of an inner frame and an outer frame, and the pellicle membrane is adhered only to the inner frame and the photomask-agglutination layer is laid only to the inner frame, and the outer frame is removed from the inner frame after the pellicle is agglutinated to the photomask. | 04-17-2014 |
20140106266 | MASK BLANK AND PHOTOMASK - The present invention is to provide a mask blank enabling fabrication of a photomask having a structure where electrostatic breakdown is effectively prevented. To accomplish the object, the invention discloses a mask blank comprising a mask substrate, an electrostatic breakdown prevention film fully covering one side of the mask substrate, and a shading film formed on the electrostatic breakdown prevention film. The electrostatic breakdown prevention film is made of titanium, tantalum, titanium compound or tantalum compound. The transparent rate of the electrostatic breakdown prevention film is not less than 75%, for the wavelength of light in an exposure. The sheet resistance of the electrostatic discharge prevention film is not more than 100KΩ/□. | 04-17-2014 |
20140113220 | Apparatus and Method for Lithography Patterning - An apparatus and method for lithography patterning is disclosed. An exemplary method includes receiving a first mask. The method further includes receiving a defect map, the defect map identifying a defect region of a defect of the first mask. The method further includes preparing processing data, the processing data including pattern data of a semiconductor device and data associated with the defect region. The method further includes processing the first mask according to the processing data thereby forming a first portion of a pattern of the semiconductor device on the first mask, the first portion of the pattern excluding the defect region. | 04-24-2014 |
20140113221 | PHOTOMASK AND METHOD OF FORMING THE SAME - A photomask and a method of forming the same, the photomask including a transparent substrate; a light shielding pattern on the transparent substrate, the light shielding pattern including molybdenum and silicon; and an etch stop layer covering at least a sidewall of the light shielding pattern, wherein the etch stop layer has an etch rate lower than an etch rate of the light shielding pattern with respect to an ammonia-based cleaning solution. | 04-24-2014 |
20140113222 | Mask for Use in Lithography - A mask, or photomask, is used in lithography systems and processes. The mask includes a first polygon of a first state and a second polygon of a second state. The mask also includes a field of the first state and a third polygon of the second state, and in the field. The first and second states are different, and the first and second polygons are located outside of the field. | 04-24-2014 |
20140120459 | METHOD FOR IMPROVING RESIST PATTERN PEELING - A method of fabricating a mask is described. The method includes receiving receiving an integrated circuit (IC) design layout that has a first pattern layer including a first feature and has a second pattern layer including a second feature, wherein the first pattern layer and the second pattern layer are spatially related when formed in a substrate such that the first and second features are spaced a first distance between a first edge of the first feature and a second edge of the second feature, modifying the IC design layout by adjusting a dimension of the first feature based on the first distance, and generating a tape-out data from the modified IC design layout for mask making. The method further includes applying a logic operation (LOP) to the IC design layout. | 05-01-2014 |
20140120460 | PHOTOMASK BLANK AND MANUFACTURING METHOD THEREOF - An object of this invention is to provide a photomask blank in which there is little warpage and is which an amount of warpage change after a photomask manufacturing process ends is also small. First, a phase shift film is deposited (S | 05-01-2014 |
20140120461 | MASK PLATE AND MANUFACTURING METHOD THEREOF - Embodiments of the present invention disclose a mask plate and a manufacturing method thereof. The mask plate includes a mask plate body and a transmissive member, wherein the mask plate body has a transmissive part and a non-transmissive part adjacent to the transmissive part, the transmissive member is disposed corresponding to the transmissive part of the mask plate body, the absorbance of the transmissive member progressively increases in a direction away from a center region of the transmissive member to a edges region of the transmissive member close to the non-transmissive part. | 05-01-2014 |
20140127612 | PHOTOMASK FOR EXPOSURE AND METHOD OF MANUFACTURING PATTERN USING THE SAME - A photomask for exposure includes: a transparent substrate; a light blocking pattern layer positioned on the transparent substrate; a first dielectric layer positioned on the light blocking pattern layer and including a dielectric material; and a negative refractive index layer positioned on the first dielectric layer and including a metal. A surface plasmon quasi-bound mode of the photomask for exposure overlaps a wavelength range of the light source of the light exposer which irradiates light to the photomask for exposure. | 05-08-2014 |
20140127613 | REFLECTIVE MASK AND METHOD FOR MANUFACTURING THE SAME - A reflective mask includes a substrate and a multilayer reflective film formed on the substrate. An absorption pattern is formed on the multilayer reflective film. A recess is formed in the multilayer reflective film in a peripheral region of the absorption pattern. | 05-08-2014 |
20140127614 | PHOTOMASK BLANK, METHOD OF MANUFACTURING THE SAME, PHOTOMASK, AND METHOD OF MANUFACTURING THE SAME - A photomask blank for use in the manufacture of a photomask adapted to be applied with exposure light having a wavelength of 200 nm or less has a thin film on a transparent substrate. The thin film is made of a material containing a transition metal, silicon, and carbon and comprising silicon carbide and/or a transition metal carbide. | 05-08-2014 |
20140134522 | METHOD FOR FORMING QUARTER-PITCH PATTERNS - A method for forming quarter-pitch patterns is described. Two resist layers are formed. The upper resist layer is defined into first patterns. A coating that contains or generates a reactive material making a resist material dissolvable is formed over the lower resist layer and the first patterns. The reactive material is diffused into a portion of each first pattern and portions of the lower resist layer between the first patterns to react with them. The coating is removed. A development step is performed to remove the portions of the first patterns and the portions of the lower resist layer, so that the lower resist layer is patterned into second patterns. Spacers are formed on the sidewalls of the remaining first patterns and the second patterns. The remaining first patterns are removed, and portions of the second patterns are removed using the spacers on the second patterns as a mask. | 05-15-2014 |
20140134523 | Method for Forming Circular Patterns on a Surface - A method for forming patterns on a surface using charged particle beam lithography is disclosed, in which a stencil is provided comprising first and second apertures, where circular or nearly-circular patterns in a first plurality of sizes are formed on the surface using the first aperture by varying shot dosage, and where circular or nearly-circular patterns in a second plurality of sizes are formed on the surface using the second aperture by varying shot dosage. A similar method for fracturing or mask data preparation is also disclosed. A stencil for charged particle beam lithography is also disclosed, where the stencil comprises first aperture and second apertures capable of forming, in one shot, patterns in a first and a second range of sizes on a surface by varying the shot dosage, where the first range of sizes is discontinuous with the second range of sizes. | 05-15-2014 |
20140147773 | PELLICLE FOR LITHOGRAPHY, PELLICLE-MOUNTED PHOTOMASK, AND EXPOSURE TREATMENT METHOD - To provide a pellicle for lithography having a pellicle membrane excellent in light resistance against light with a wavelength of at most 250 nm, particularly at most 200 nm, a pellicle-mounted photomask using it, and an exposure treatment method. A pellicle for lithography having a multilayer pellicle membrane including a membrane made of a fluoropolymer (A) which contains, as the main component, repeating units obtained by cyclopolymerization of a pertluorodiene having one etheric oxygen atom, and a membrane made of a fluoropolymer (B) which has fluorinated alicyclic ring structures each containing, in the ring structure, two or three etheric oxygen atoms not being adjacent to one another, wherein the total thickness of the membrane made of the fluoropolymer (B) is at most 40% of the total thickness of the membrane made of the fluoropolymer (A). | 05-29-2014 |
20140147774 | PHOTOMASK AND THIN-FILM TRANSISTOR FABRICATED USING THE PHOTOMASK - A photomask includes; a source electrode pattern including; a first electrode portion which extends in a first direction, a second electrode portion which extends in the first direction and is substantially parallel to the first electrode portion, and a third electrode portion which extends from a first end of the first electrode portion to a first end of the second electrode portion and is rounded with a first curvature, a drain electrode pattern which extends in the first direction and is disposed between the first electrode portion and the second electrode portion, wherein an end of the drain electrode pattern is rounded to correspond to the third electrode portion; and a channel region pattern which is disposed between the source electrode pattern and the drain electrode pattern, wherein a center location of the first curvature and a center location of the rounded portion of the end of the drain electrode pattern are the same. | 05-29-2014 |
20140154615 | METHOD FOR ETCHING EUV MATERIAL LAYERS UTILIZED TO FORM A PHOTOMASK - A method and apparatus for etching photomasks are provided herein. In one embodiment, a method of etching an ARC layer or an absorber layer disposed on a photomask includes transferring a film stack into an etching chamber, the film stack having an ARC layer or an absorber layer partially exposed through a patterned layer, providing a gas mixture including at least one fluorine containing gas in to a processing chamber, applying a source RF power to form a plasma from the gas mixture, applying a first type of RF bias power to the substrate for a first period of time, applying a second type of RF bias power away from the substrate for a second period of time, and etching the ARC layer or the absorber layer through the patterned layer in the presence of the plasma. | 06-05-2014 |
20140162176 | SEMICONDUCTOR DEVICE RESOLUTION ENHANCEMENT BY ETCHING MULTIPLE SIDES OF A MASK - A mask is disclosed which includes a plurality of first phase shift regions disposed on a first side of the mask, and a plurality of second phase shift regions disposed on a second side of the mask. The first phase shift regions and second phase shift regions may be alternating phase shift regions in which phase shift of the first phase shift regions is out of phase, for instance by 180 degrees, from phase shift of the second phase shift regions. A method for forming the mask, and a semiconductor device fabrication method using the mask is also disclosed. | 06-12-2014 |
20140162177 | OPTICAL MASK FOR FORMING PATTERN - An optical mask for forming a pattern is provided. The optical mask includes: a substrate including a light blocking pattern formed on portions of the substrate, wherein the light blocking pattern includes a halftone layer and a light blocking layer formed on the halftone layer, and the halftone layer and the light blocking layer overlap such that at least an edge portion of the halftone layer is exposed. A pitch of the light blocking pattern may about 6 μm, and a transmission ratio of the halftone layer may range from about 10% to about 50%. | 06-12-2014 |
20140170533 | EXTREME ULTRAVIOLET LITHOGRAPHY (EUVL) ALTERNATING PHASE SHIFT MASK - An alternating phase shift mask for use with extreme ultraviolet lithography is provided. A substrate with a planar top surface is used as a base for the phase shift mask. A spacer layer serves as a Fabry-Perot cavity for controlling the phase shift difference between two adjacent surfaces of the phase shift mask and controlling the reflectivity from the top of the second multilayer. A protective layer serves as an etch stop layer to protect a first multilayer region in certain regions of the phase shift mask, while other regions of the phase shift mask utilize a second multilayer region for achieving a phase shift difference. Some embodiments may further include an absorber layer region to provide areas with no reflectance, in addition to the areas of alternating phase shift. Embodiments of the present invention may be used to monitor the focus and aberration of a lithography tool. | 06-19-2014 |
20140170534 | PHASE SHIFT MASKS AND METHODS OF FORMING PHASE SHIFT MASKS - A phase shift mask having a first region and a second region in a transverse direction includes a transparent layer, a phase shift pattern disposed in the first region, a transmittance control layer pattern disposed in the second region, and a shading layer pattern disposed on the transmittance control layer pattern. The phase shift pattern has a first pattern including a transparent material and a second pattern including metal. The phase shift mask may prevent haze effects through a cleaning process using an alkaline cleaning solution. | 06-19-2014 |
20140170535 | PELLICLE, PRESSURE-SENSITIVE ADHESIVE FOR PELLICLE, PHOTOMASK WITH PELLICLE, AND METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE - To provide a pellicle having a an adhesive, wherein adhesive residue is decreased at the time of peeling the pellicle from a mask after lithographic exposure and outgassing from the adhesive is suppressed The pellicle according to the present invention is a pellicle comprising a pellicle frame, a tensioned pellicle film placed on one end surface of the pellicle frame and an adhesive applied to the other end surface thereof, in which the adhesive contains a (meth)acrylic alkyl ester copolymer and a silane compound, and the (meth)acrylic alkyl ester copolymer is a copolymer of a (meth)acrylic alkyl ester having an alkyl group of 4 to 14 carbon atoms and a monomer having a functional group reactive to at least either one of an isocyanate group or an epoxy group. | 06-19-2014 |
20140170536 | REFLECTIVE MASK AND METHOD FOR MANUFACTURING SAME - A reflective mask having a light-shielding frame with high light-shielding performance, and a method for manufacturing thereof. In a reflective mask having a light-shielding frame dug into a multilayered reflective layer, when side etching is performed or processing to obtain a reverse tapered shape is performed only on the multilayered reflective layer, it becomes possible to suppress reflection of EUV light (extreme ultraviolet light) in the vicinity of the edge of the light-shielding frame, provide a reflective mask having high light-shielding ability, and form a transcription pattern with high accuracy. | 06-19-2014 |
20140170537 | METHOD OF DEFINING AN INTENSITY SELECTIVE EXPOSURE PHOTOMASK - An embodiment of a feed-forward method of determining a photomask pattern is provided. The method includes providing design data associated with an integrated circuit device. A thickness of a coating layer to be used in fabricating the integrated circuit device is predicted based on the design data. This prediction is used to generate a gradating pattern. A photomask is formed having the gradating pattern. | 06-19-2014 |
20140178803 | Multiple-Patterning Photolithographic Mask and Method - A composite mask suitable for multiple-patterning lithographic processes and a multiple-patterning photolithographic process utilizing the mask are disclosed. An exemplary embodiment includes receiving a mask having a plurality of sub-reticles and a substrate having one or more regions. A first sub-reticle of the plurality of sub-reticles is aligned with a first region of the one or more regions. A movement pattern is designated relative to the substrate. A first photolithographic process is performed including exposing the substrate using the mask to form a first exposed area on the substrate. An alignment of the mask relative to the substrate is shifted according to a first direction determined by the movement pattern. A second photolithographic process is performed including exposing the substrate using the mask to form a second exposed area on the substrate such that the second exposed area overlaps the first. | 06-26-2014 |
20140178804 | Stacked Mask - The present disclosure describes a mask. The mask includes a low thermal expansion material (LTEM) substrate, at least two absorber layers, and a spacer layer separating the two absorber layers. The first absorber layer is deposited over the LTEM substrate. The mask further includes a topcoat layer over the absorber layer. A thickness of the spacer layer is approximately equal to a height of a topography feature on a wafer substrate multiplied by the square of a demagnification of an objective lens. The absorber layers include staged patterns. | 06-26-2014 |
20140178805 | PELLICLE FOR LITHOGRPAHY - There is provided a pellicle wherein the frame is cut with one or more slight-chamfers, and in particular the one cut along the inner edge of the upper annular face of the frame, to which the pellicle membrane is glued, has a slope greater than 45 degrees so that the pellicle membrane when slackened does not touch the lower ridge of the slight chamfer. | 06-26-2014 |
20140178806 | NEGATIVE ACTINIC RAY-SENSITIVE OR RADIATION-SENSITIVE RESIN COMPOSITION, RESIST FILM USING THE SAME, RESIST-COATED MASK BLANKS, RESIST PATTERN FORMING METHOD, AND PHOTOMASK - As a negative actinic ray-sensitive or radiation-sensitive resin composition capable of forming a pattern excellent in sensitivity, resolution and pattern profile and reduced in line edge roughness (LER), scum and development defect, a negative actinic ray-sensitive or radiation-sensitive resin composition comprising (A) a polymer compound containing (a) a repeating unit capable of generating an acid upon irradiation with an actinic ray or radiation and (b) a repeating unit having a phenolic hydroxyl group, and (B) a crosslinking agent, is provided. | 06-26-2014 |
20140186750 | Lithography Mask Repairing Process - A method includes performing a beam scan on a photolithography mask to repair the photolithography mask. After the beam scan, a radiation treatment is performed on the photolithography mask. The method is performed by an apparatus including a beam generator configured to generate and project a beam on the lithography mask, a radiation source configured to generate a radiation on the lithography mask, and a process gas source configured to release a process gas onto the lithography mask. The process as reacts with a surface portion of the lithography mask to repair the lithography mask. With the radiation treatment, residue process gas on the lithography mask is removed. | 07-03-2014 |
20140186751 | Apparatus Of Repairing A Mask And A Method For The Same - An apparatus includes a probe tip configured to contact the mask, a cantilever configured to mount the probe tip wherein the cantilever includes a mirror, an optical unit having a light source projecting a light beam on the mirror and a light detector receiving a reflected light beam from the mirror, and an electrical power supply configured to connect the probe tip. The apparatus further includes a computer system configured to connect the optical unit, the electrical power supply, and the stage. The electrical power supply provides an electrical current to the probe tip and heats the probe tip to a predetermined temperature. The heated probe tip repairs a defect by smoothing and reducing a dimension of the defect, and inducing structural deformations of multilayer that cancel out the distortion (of multilayer) caused by buried defects using the heated probe tip as a thermal source canning the defect. | 07-03-2014 |
20140186752 | REFLECTIVE MASK BLANK FOR EUV LITHOGRAPHY, AND PROCESS FOR ITS PRODUCTION - A process for producing a reflective mask blank for EUV lithography (EUVL), which comprises forming a multilayer reflective film for reflecting EUV light on a film-forming surface of a substrate, then forming a protective layer for protecting the multilayer reflective film, on the multilayer reflective film, and forming an absorber layer for absorbing EUV light, on the protective layer, to produce a reflective mask blank for EUVL, wherein the multilayer reflective film is a Mo/Si multilayer reflective film, the protective layer is a Ru layer or a Ru compound layer, the absorber layer is a layer containing at least Ta and N, and after forming the Mo/Si multilayer reflective film, the protective layer is formed, and after forming a Si thin film or Si oxide thin film having a thickness of at most 2 nm on the protective layer, the absorber layer is formed. | 07-03-2014 |
20140186753 | REFLECTING MASK BLANK, METHOD FOR MANUFACTURING REFLECTIVE MASK BLANK AND METHOD FOR QUALITY CONTROL FOR REFLECTIVE MASK BLANK - The present invention relates to a reflective mask blank containing in this order, a substrate, a multilayer reflective film that reflects exposure light, and an absorber layer that absorbs the exposure light, in which the reflective mask blank further contains a fiducial mark indicating a reference position of the multilayer reflective film, which is formed in a concave shape or in a convex shape on a surface of the multilayer reflective film or on a surface of one layer formed between the multilayer reflective film and the absorber layer, and the fiducial mark is formed so as to have a reflectivity different from an area surrounding the fiducial mark with respect to a light with a prescribed wavelength and is transferred to a layer formed on the fiducial mark. | 07-03-2014 |
20140186754 | METHOD FOR MANUFACTURING REFLECTIVE MASK AND APPARATUS FOR MANUFACTURING REFLECTIVE MASK - According to one embodiment, a method is disclosed for manufacturing a reflective mask. The method can include forming a reflection layer on a major surface of a substrate. The method can include forming an absorption layer on the reflection layer. The method can include forming a pattern region in the absorption layer. In addition, the method can include forming a light blocking region surrounding the pattern region in the absorption layer and the reflection layer. The forming the light blocking region includes etching-processing the reflection layer using a gas containing chlorine and oxygen. | 07-03-2014 |
20140199615 | METHOD TO PRINT CONTACT HOLES AT HIGH RESOLUTION - A two-dimensional dense array of contact holes can be printed on a negative photoresist employing a combination of a quadrupole illumination lens and a lithographic mask including a criss-cross pattern of opaque lines. The openings in the quadrupole illumination lens are aligned along the perpendicular directions of the opaque lines. Discrete contact holes can be printed on a negative photoresist employing a combination of a quadrupole illumination lens and a lithographic mask including a criss-cross pattern of opaque subresolution assist features and discrete opaque cross patterns. Alternately, a two-dimensional array of contact holes can be printed on a negative photoresist employing a quadrupole illumination lens and a checkerboard pattern of openings. The openings in the quadrupole illumination lens are in diagonal directions. | 07-17-2014 |
20140205934 | SINGLE RETICLE APPROACH FOR MULTIPLE PATTERNING TECHNOLOGY - A reticle for multiple patterning a layer of an integrated circuit die includes a first portion with a first layout pattern for multiple patterning the layer of the integrated circuit die, and a second portion with a second layout pattern for multiple patterning the layer of the integrated circuit die. The first layout pattern is different from the second layout pattern. | 07-24-2014 |
20140205935 | Adjustable Photo-Mask - An adjustable photo-mask for providing variable properties is proposed. The adjustable photo-mask includes a casing and a plate. The casing has a receiving room inside and a plurality of openings, with the openings extending from the receiving room to a front face of the casing. The plate has a plurality of through holes, with an axial direction of the through holes being defined as a ray-transmission direction, with the plate being slide-ably received in the receiving room, and with the through holes and the openings totally or partially overlapping in the ray-transmission direction. | 07-24-2014 |
20140205936 | MASK BLANK FOR REFLECTION-TYPE EXPOSURE, AND MASK FOR REFLECTION-TYPE EXPOSURE - A reflective exposure mask blank and a reflective exposure mask are provided, and the mask enables accurate exposure and transcription without having light being reflected from areas other than a circuit pattern area. The reflective mask blank has, on a substrate ( | 07-24-2014 |
20140205937 | MASK BLANK, TRANSFER MASK, METHOD OF MANUFACTURING A TRANSFER MASK, AND METHOD OF MANUFACTURING A SEMICONDUCTOR DEVICE - A mask blank is used for manufacturing a binary mask adapted to be applied with ArF excimer laser exposure light and has a light-shielding film for forming a transfer pattern on a transparent substrate. The light-shielding film has a laminated structure of a lower layer and an upper layer and has an optical density of 2.8 or more for the exposure light. The lower layer is made of a material containing tantalum and nitrogen and has a thickness of 33 nm or more. The upper layer is made of a material containing tantalum and oxygen and has a thickness of 3 nm or more. The phase difference between the exposure light transmitted through the light-shielding film and the exposure light transmitted in air for a distance equal to the thickness of the light-shielding film is 60 degrees or less. | 07-24-2014 |
20140205938 | EUV Mask and Method for Forming the Same - An extreme ultraviolet (EUV) mask can be used in lithography, such as is used in the fabrication of a semiconductor wafer. The EUV mask includes a low thermal expansion material (LTEM) substrate and a reflective multilayer (ML) disposed thereon. A capping layer is disposed on the reflective ML and a patterned absorption layer disposed on the capping layer. The pattern includes an antireflection (ARC) type pattern. | 07-24-2014 |
20140212793 | Multiresolution Mask Writing - Mask writing techniques that employ multiple masking writing passes. A first writing pass is made to write a first shot pattern having a first resolution. A second writing pass is then made to write a second shot pattern having a second resolution finer than the first resolution, such that the second shot pattern substantially overlaps with the first shot pattern on the mask substrate. | 07-31-2014 |
20140212794 | REFLECTIVE MASK BLANK FOR EUV LITHOGRAPHY AND PROCESS FOR ITS PRODUCTION - To provide a process for producing an EUV mask blank, whereby the deformation of a substrate due to film stress in a Mo/Si multilayer reflective film can be reduced, and the change with time of the film stress in the Mo/Si multilayer reflective film can be reduced. | 07-31-2014 |
20140212795 | REFLECTIVE MASK BLANK AND REFLECTIVE MASK, AND METHODS FOR MANUFACTURING REFLECTIVE MASK BLANK AND REFLECTIVE MASK - A reflective mask blank, a reflective mask, and methods for manufacturing those, which suppress reflectance at a light-shielding frame. The reflective mask includes a substrate, a multilayered reflective layer formed on the substrate, an absorption layer formed on the multilayered reflective layer, and a frame-shaped light-shielding frame area at which the absorption layer has a film thickness larger than a film thickness at other areas. The multilayered reflective layer is diffused and mixed at the light-shielding frame area through melting. | 07-31-2014 |
20140220480 | Mask Repair with Passivation - A method for repairing masks includes performing a first repair process to a mask by etching unwanted opaque regions from the mask, applying a plasma passivation process to the mask, and performing a second repair process to the mask by etching away additional unwanted opaque regions. | 08-07-2014 |
20140220481 | PHOTOMASKS AND METHODS OF FABRICATING SEMICONDUCTOR DEVICES USING THE SAME - The present inventive concept provides a photomask including a substrate, patterns disposed on the substrate, and an anti-contamination layer disposed on the patterns. The anti-contamination layer includes at least one graphene layer. Methods of fabricating a semiconductor device including the same are also provided. | 08-07-2014 |
20140220482 | METHOD FOR FORMING PATTERNS - A method for forming patterns includes the following steps. A first layout including a first target pattern and a first unprintable dummy pattern is provided. A second layout including a second target pattern and a second printable dummy pattern are provided, wherein at least part of the second printable dummy pattern overlaps the first unprintable dummy pattern exposure limit, such that the second printable dummy pattern cannot be formed in a wafer. | 08-07-2014 |
20140234755 | MASK BLANK SUBSTRATE, MASK BLANK, REFLECTIVE MASK BLANK, TRANSFER MASK, REFLECTIVE MASK, AND METHODS OF MANUFACTURING THE SAME - A mask blank substrate is provided with a substrate mark comprising an oblique section. The inclination angle of the substrate mark with respect to a main surface is greater than 45° and less than 90° and the distance from the boundary between the main surface and the substrate mark to the outer periphery of the mask blank substrate is less than 1.5 mm. | 08-21-2014 |
20140234756 | REFLECTIVE MASK BLANK FOR EUV LITHOGRAPHY, AND REFLECTIVE LAYER-COATED SUBSTRATE FOR EUV LITHOGRAPHY - To provide a mask blank for EUVL wherein the incident angle dependence of EUV reflectivity and the film stress in a Mo/Si multilayer reflective film are improved, and a reflective layer-equipped substrate for such a mask blank. The reflective layer-equipped substrate for EUV lithography (EUVL), comprises a substrate, and a reflective layer for reflecting EUV light, formed on the substrate, wherein the reflective layer comprises a second multilayer reflective film having a Mo layer and a Si layer alternately stacked plural times on the substrate, an adjustment layer stacked on the second multilayer reflective film, and a first multilayer reflective film having a Mo layer and a Si layer alternately stacked plural times on the adjustment layer. | 08-21-2014 |
20140234757 | TEST PAD STRUCTURE FOR REUSE OF INTERCONNECT LEVEL MASKS - A test pad structure in a back-end-of-line metal interconnect structure is formed by repeated use of the same mask set, which includes a first line level mask, a first via level mask, a second line level mask, and a second via level mask. The test pad structure includes a two-dimensional array of test pads such that a first row is connected to a device macro structure in the same level, and test pads in another row are electrically connected to another device macro structure of the same design at an underlying level. The lateral shifting of electrical connection among pads located at different levels is enabled by lateral extension portions that protrude from pads and via structures that contact the lateral extension portions. This test pad structure includes more levels of testable metal interconnect structure than the number of used lithographic masks. | 08-21-2014 |
20140242498 | PRODUCTION METHOD AND EVALUATION APPARATUS FOR MASK LAYOUT - According to one embodiment, a production method for a mask layout of an exposure mask includes evaluating a candidate layout by comparison between an imaged image group and a reference image group. The imaged image group is composed of a plurality of imaged images of patterns formed by performing lithography under a plurality of levels of exposure condition using the candidate layout. The reference image group is composed of a plurality of reference images produced by simulation on assumption of a plurality of levels of the exposure condition. | 08-28-2014 |
20140242499 | LIGHT-REFLECTIVE PHOTOMASK AND MASK BLANK FOR EUV EXPOSURE, AND MANUFACTURING METHOD OF SEMICONDUCTOR DEVICE - According to one embodiment, a light-reflective photomask including a circuit pattern area, and an outside area positioned outside the circuit pattern area includes a substrate, a low-reflectivity layer provided in both the circuit pattern area, and the outside area, formed on the substrate, including at least a conductive layer, and comprising a first reflectivity for deep ultraviolet light, a multilayer reflection layer provided in the circuit pattern area, and formed on the low-reflectivity layer, and a light-absorber provided in the circuit pattern area, formed on the multilayer reflection layer, including a circuit pattern, and comprising a second reflectivity for deep ultraviolet light. The first reflectivity is lower than or equal to the second reflectivity. | 08-28-2014 |
20140242500 | Process For Cleaning Shield Surfaces In Deposition Systems - A process for cleaning and restoring deposition shield surfaces which results in a cleaned shield having a surface roughness of between about 200 microinches and about 500 microinches and a particle surface density of less than about 0.1 particles/mm | 08-28-2014 |
20140242501 | Coating Of Shield Surfaces In Deposition Systems - A deposition chamber shield having a stainless steel coating of from about 100 microns to about 250 microns thick wherein the coated shield has a surface roughness of between about 300 microinches and about 800 microinches and a surface particle density of less than about 0.1 particles/mm | 08-28-2014 |
20140242502 | ACTINIC-RAY- OR RADIATION-SENSITIVE RESIN COMPOSITION, ACTINIC-RAY- OR RADIATION-SENSITIVE FILM, MASK BLANK AND METHOD OF FORMING PATTERN - According to one embodiment, an actinic-ray- or radiation-sensitive resin composition includes a compound (A) that contains a structure (P) containing at least one phenolic hydroxyl group and a structure (Q) containing at least one phenolic hydroxyl group whose hydrogen atom is replaced by a group (S) with a cyclic structure containing an acid crosslinking group, characterized in that the group (S) with a cyclic structure containing an acid crosslinking group is a group with a polycyclic structure or a group with a cyclic structure containing a hydroxymethyl group and/or an alkoxymethyl group. | 08-28-2014 |
20140248554 | SUB-RESOLUTION ASSIST DEVICES AND METHODS - Photolithographic apparatus, systems, and methods that make use of sub-resolution assist devices are disclosed. In the various embodiments, an imaging mask includes an optically transmissive substrate having a sub-resolution assist device that further includes a first optical attenuation region and a spaced-apart second optical attenuation region, and an optically transmissive phase adjustment region interposed between the first optical attenuation region and the second optical attenuation region, the phase adjustment region being configured to change a phase of incident illumination radiation by altering an optical property of the substrate. | 09-04-2014 |
20140248555 | EXTREME ULTRAVIOLET LIGHT (EUV) PHOTOMASKS, AND FABRICATION METHODS THEREOF - An extreme ultraviolet photomask comprises a reflective layer over a substrate, a capping layer over the reflective layer, a hard mask layer over the capping layer, and an absorber. The absorber is in the hard mask layer, the capping layer and the reflective layer. | 09-04-2014 |
20140255825 | Mask Blank for Scattering Effect Reduction - Some embodiments relate a method of forming a photomask for a deep ultraviolet photolithography process (e.g., having an exposing radiation with a wavelength of 193 nm). The method provides a mask blank for a deep ultraviolet photolithography process. The mask blank has a transparent substrate, an amorphous isolation layer located over the transparent substrate, and a photoresist layer located over the amorphous isolation layer. The photoresist layer is patterned by selectively removing portions of the photoresist layer using a beam of electrons. The amorphous isolation layer is subsequently etched according to the patterned photoresist layer to form one or more mask openings. The amorphous isolation layer isolates electrons backscattered from the beam of electrons from the photoresist layer during patterning, thereby mitigating CD and overlay errors caused by backscattered electrons. | 09-11-2014 |
20140255826 | Endpoint Detection for Photolithography Mask Repair - A method includes scanning a lithography mask with a repair process, and measuring back-scattered electron signals of back-scattered electrons generated from the scanning. An endpoint is determined from the back-scattered electron signals. A stop point is calculated from the endpoint. The step of scanning is stopped when the calculated stop point is reached. | 09-11-2014 |
20140255827 | PELLICLES WITH REDUCED PARTICULATES - Pellicles for photomasks used in photolithographic manufacturing are described. A frame of a pellicle may include a recess formed in a side member and a locking member dimensioned to secure a membrane to the frame when the membrane is disposed between the recess and the locking member. A pellicle may be secured to a photomask using non-adhesive attachment members that contact a side surface of the photomask. | 09-11-2014 |
20140255828 | EUVL PROCESS STRUCTURE FABRICATION METHODS - Methods are provided for fabricating a process structure, such as a mask or mask blank. The methods include, for instance: providing a silicon substrate; forming a multi-layer, extreme ultra-violet lithography (EUVL) structure over the silicon substrate; subsequent to forming the multi-layer EUVL structure over the crystalline substrate, reducing a thickness of the silicon substrate; and attaching a low-thermal-expansion material (LTEM) substrate to one of the multi-layer EUVL structure, or the reduced silicon substrate. In one implementation, the silicon substrate is a silicon wafer with a substantially defect-free surface upon which the multi-layer EUVL structure is formed. The multi-layer EUVL structure may include multiple bi-layers of a first material and a second material, as well as a capping layer, and optionally, an absorber layer, where the absorber layer is patternable to facilitating forming a EUVL mask from the process structure. | 09-11-2014 |
20140255829 | MASK FOR DUAL TONE DEVELOPMENT - A mask for dual tone development including a opening pattern region and a partial transparent pattern is provided. The opening pattern region includes a plurality of transparent patterns and a plurality of opaque patterns, and a plurality of opening patterns is defined in a photoresist for dual tone development by the transparent patterns and the opaque patterns. The partial transparent pattern surrounds the opening pattern region. | 09-11-2014 |
20140255830 | APPARATUS AND METHODS FOR FABRICATING A PHOTOMASK SUBSTRATE FOR EUV APPLICATIONS - An apparatus and methods utilized a DC or AC power to supply through a conductive substrate support pedestal to a conductive photomask substrate during a photomask substrate manufacturing process for EUV or other advanced lithography applications are provided. In one embodiment, an apparatus for processing a photomask includes a substrate support pedestal configured to receive a conductive photomask, wherein the conductive photomask is fabricated from a dielectric material substrate with a conductive coating, and at least a conductive path formed in the substrate support pedestal in contact with the photomask substrate configured to be conductive. | 09-11-2014 |
20140255831 | METHOD AND APPARATUS FOR PROTECTING A SUBSTRATE DURING PROCESSING BY A PARTICLE BEAM - The invention refers to a method and apparatus for protecting a substrate during a processing by at least one particle beam. The method comprises the following steps: (a) applying a locally restrict limited protection layer on the substrate; (b) etching the substrate and/or a layer arranged on the substrate by use of the at least one particle beam and at least one gas; and/or (c) depositing material onto the substrate by use of the at least one particle beam and at least one precursor gas; and (d) removing the locally limited protection layer from the substrate. | 09-11-2014 |
20140255832 | HARDCOAT COMPOSITIONS - A hardcoat composition comprises (a) an epoxy silane compound, (b) a reactive silicone additive and (c) photo-acid generator. The reactive silicone additive has one of the following general structures:formula (I) or X—SiR | 09-11-2014 |
20140272673 | BLOCK COPOLYMER-BASED MASK STRUCTURES FOR THE GROWTH OF NANOPATTERNED POLYMER BRUSHES - Block copolymer-based mask structures for the growth of patterned polymer brushes via surface-initiated atom transfer radical polymerization (SI-ATRP) are provided. Also provided are methods of making the mask structures and methods of using the mask structures to grow patterned polymer brushes. The mask structures comprise a substrate having a surface, a neutral layer comprising a crosslinked copolymer film disposed on the surface of the substrate and a domain-forming block copolymer film disposed on the crosslinked copolymer film. The crosslinked copolymer film comprises crosslinked random copolymer chains having pendant alkyl halide functional groups that are capable of acting as ATRP initiating sites. | 09-18-2014 |
20140272674 | MASK STRUCTURE - A mask structure, including a substrate; an absorber layer formed on the substrate; and a patterned reflection layer formed on the absorber layer. Optionally, the mask structure may further include a buffer layer, a conductive coating, or combinations thereof. The buffer layer may be formed between the absorber layer and the reflection layer, and the conductive coating may be formed at a back side of the substrate. | 09-18-2014 |
20140272675 | METHOD AND SYSTEM FOR FORMING A DIAGONAL PATTERN USING CHARGED PARTICLE BEAM LITHOGRAPHY - A method and system for fracturing or mask data preparation is disclosed in which the central core portion of a diagonal pattern is fractured using overlapping variable shaped beam (VSB) shots, and an outer portion of the diagonal pattern is fractured using non-overlapping VSB shots. A transition region is interposed between the central core and outer pattern portions, and transition region shots are generated so as to produce in the transferred pattern a smooth transition in pattern characteristics such as line edge roughness or period of waviness, from the central core portion of the pattern to the outer portion of the pattern. Methods for forming a semiconductor device layout pattern on a reticle or substrate are also disclosed. | 09-18-2014 |
20140272676 | Technique for Repairing an EUV Photo-Mask - During a calculation technique, a modification to a reflective photo-mask is calculated. In particular, using information specifying a defect associated with a recessed area on a top surface of the reflective photo-mask, the modification to the reflective photo-mask is calculated. For example, the calculation may involve an inverse optical calculation in which a difference between a pattern associated with the reflective photo-mask at an image plane in a photo-lithographic process and a reference pattern at the image plane in the photo-lithographic process is used to calculate the modification at an object plane in the photo-lithographic process. Note that the modification includes a negative feature in which one or more pairs of layers in a multilayer stack in the reflective photo-mask are removed using a subtractive fabrication process. Moreover, the modification is proximate to the recessed area. | 09-18-2014 |
20140272677 | METHODS FOR FABRICATING EUV MASKS AND METHODS FOR FABRICATING INTEGRATED CIRCUITS USING SUCH EUV MASKS - A method for fabricating integrated circuits includes fabricating an EUV mask by providing a photomask having a border region. A photoresist is formed over the photomask and has a border region overlying the border region of the photomask. The method exposes an inner portion and an outer portion of the photoresist border region. The method removes the inner portion and the outer portion to expose the border region of the photomask. The border region of the photomask is etched using the photoresist as a mask to form the EUV mask with a non-reflective border. The photoresist is removed from the EUV mask. The method includes forming another photoresist over a partially-fabricated integrated circuit layer and patterning the photoresist by exposure to EUV light reflected from the EUV mask to expose portions of the partially-fabricated integrated circuit layer. Portions of the partially-fabricated integrated circuit layer and the photoresist are removed. | 09-18-2014 |
20140272678 | Structure and Method for Reflective-Type Mask - The present disclosure provides an embodiment of a reflective mask that includes a substrate; a reflective multilayer formed on the substrate; a capping layer formed on the reflective multilayer and having a hardness greater than about 8; and an absorber layer formed on the capping layer and patterned according to an integrated circuit layout. | 09-18-2014 |
20140272679 | Extreme Ultraviolet Lithography Process and Mask - An extreme ultraviolet lithography (EUVL) process is disclosed. The process comprises receiving a mask. The mask includes a low thermal expansion material (LTEM) substrate, a reflective multilayer (ML) over one surface of the LTEM substrate, a first region having a phase-shifting layer over the reflective ML, and a second region having no phase-shifting layer over the reflective ML. The EUVL process also comprises exposing the mask by a nearly on-axis illumination with partial coherence less than 0.3 to produce diffracted light and non-diffracted light, removing at least a portion of the non-diffracted light, and collecting and directing the diffracted light and the not removed non-diffracted light by a projection optics box (POB) to expose a target. | 09-18-2014 |
20140272680 | Method For Mask Fabrication And Repair - A method for repairing a phase-defect region in a patterned mask for extreme ultraviolet lithography (EUVL) is disclosed. A patterned mask for EUVL is received. The patterned mask includes an absorptive region having an absorption layer over a defect-repairing-enhancement (DRE) layer, a reflective region having the DRE layer without the absorption layer on top of it, a defect and a phase-defect region resulting from the defect and intruding the reflective region. A location and a shape of the phase-defect region is determined. A portion or portions of the DRE layer in the reflective region is removed according to the location and the shape of the phase-defect region to compensate the effect of the phase-defect region. | 09-18-2014 |
20140272681 | Extreme Ultraviolet Light (EUV) Photomasks, and Fabrication Methods Thereof - Embodiments of EUV photomasks and methods for forming a EUV photomask are provided. The method comprises providing a substrate, a reflective layer, a capping layer, a hard mask layer, and forming an opening therein. An absorber layer is then filled in the opening and over the top surface of the hard mask layer. A planarized process is provided to remove the absorber layer above the top surface of the hard mask layer and form an absorber in the opening, wherein the absorber has a top portion wider than a bottom portion. | 09-18-2014 |
20140272682 | Extreme Ultraviolet Lithography Process and Mask - The present disclosure is directed towards an extreme ultraviolet (EUV) mask. The EUV mask includes a low thermal expansion material (LTEM) substrate. The EUV mask has a first region and a second region. The EUV mask also includes a structure disposed in the first region. The structure has a multiple facets with an angle to each other. The EUV mask also includes a conformal reflective multilayer (ML) disposed over the structure in the first region and over the LTEM substrate in the second region. The conformal reflective ML has a similar surface profile as the structure in the first region and a flat surface profile in the second region. | 09-18-2014 |
20140272683 | Method Of Fabricating Mask - A method for fabricating an extreme ultraviolet (EUV) mask includes providing a low thermal expansion material (LTEM) layer. A reflective multiple-layer (ML) is deposited over the LTEM layer. A flowable-photosensitive-absorption-layer (FPhAL) is spin coated over the reflective ML. The FPhAL is patterned by a lithography process to form a patterned absorption layer. | 09-18-2014 |
20140272684 | EXTREME ULTRAVIOLET LITHOGRAPHY MASK BLANK MANUFACTURING SYSTEM AND METHOD OF OPERATION THEREFOR - A processing system includes: a vacuum chamber; a plurality of processing systems attached around the vacuum chamber; and a wafer handling system in the vacuum chamber for moving the wafer among the plurality of processing systems without exiting from a vacuum. A physical vapor deposition system for manufacturing an extreme ultraviolet blank comprising: a target comprising molybdenum, molybdenum alloy, or a combination thereof. | 09-18-2014 |
20140272685 | METHOD AND DEVICE FOR WRITING PHOTOMASKS WITH REDUCED MURA ERRORS - The problem of mura in large area photomasks is solved or at least reduced by setting up a writing system to write a pattern with high accuracy and with the optical axes essentially parallel to the movement axes of the stage, then writing photomasks in two passes with the substrate rotated to different angles on the stage. The angle between the orientation of the first and second pass is larger than about 10 degrees, larger than about 20 degrees or larger than about 35 degrees and it can be approximately 10 degrees, approximately 50 degrees, approximately 60 degrees or approximately 90 degrees. The substrate is physically rotated on the stage and aligned with high accuracy after the rotation and the data driving the first and second exposure passes are derived from the first input data specification but processed according to the known oblique angles, so that the second pass is accurately overlaid on the first pass. | 09-18-2014 |
20140272686 | Mask for Extreme Ultraviolet Lithography and Method of Fabricating Same - A mask and method of fabricating same are disclosed. In an example, a mask includes a substrate, a reflective multilayer coating disposed over the substrate and a patterned absorption layer disposed over the reflective multilayer. The patterned absorption layer has a mask image region and a mask border region. The exemplary mask also includes a mask border frame disposed over the mask border region. The mask border frame has a top surface and a bottom surface. The top surface is not parallel to the bottom surface. | 09-18-2014 |
20140272687 | EXTREME ULTRAVIOLET (EUV) MULTILAYER DEFECT COMPENSATION AND EUV MASKS - Methods and structures for extreme ultraviolet (EUV) lithography are disclosed. A method includes determining a phase error correction for a defect in an EUV mask, determining an amplitude error correction for the EUV mask based on both the defect in the EUV mask and the phase error correction, and modifying the EUV mask with the determined phase error correction and the determined amplitude error correction. | 09-18-2014 |
20140295330 | OPTICALLY TRANSPARENT AND ELECTRICALLY CONDUCTIVE COATINGS AND METHOD FOR THEIR DEPOSITION ON A SUBSTRATE - The present inventions relates to a substrate for a photolithographic mask comprising a coating deposited on a rear surface of the substrate, wherein the coating comprises (a) at least one electrically conducting layer, and (b) wherein a thickness of the at least one layer is smaller than 30 nm, preferably smaller than 20 nm, and most preferably smaller than 10 nm. | 10-02-2014 |
20140302427 | MASK LAYOUT PATTERNS FOR CLOSELY SPACED PRIMITIVES IN PHASE SHIFT PHOTOLITHOGRAPHY MASKS - Improved mask layout patterns are described for closely spaced primitives in phase shift photolithography masks. In one example, at least a portion of a photolithography mask layout is decomposed into primitives. Jogs are identified from among the primitives, the jogs being characterized by three adjacent corners. E-fields are determined for the identified jogs and are applied to synthesize an electric field at a substrate. The mask layout is corrected using the synthesized electric field and a printed wafer pattern is calculated. | 10-09-2014 |
20140302428 | MASK FOR FABRICATING SEMICONDUCTOR DEVICE AND METHOD OF FABRICATING THE MASK - A photo-mask for fabricating a semiconductor device may include a transparent substrate including a main region, a supplementary region adjacent to the main region, a main pattern for developing circuits in a semiconductor device provided on the main region of the transparent substrate, and a supplementary pattern for optical proximity correction provided on the supplementary region of the transparent substrate. The main pattern has a sidewall perpendicular to a surface of the transparent substrate, and the supplementary pattern has a sidewall inclined to the surface of the transparent substrate and an upward tapered structure. | 10-09-2014 |
20140302429 | MULTILAYER REFLECTIVE FILM FORMED SUBSTRATE, REFLECTIVE MASK BLANK, MASK BLANK, METHODS OF MANUFACTURING THE SAME, REFLECTIVE MASK, AND MASK - Provided is a multilayer reflective film formed substrate formed with a fiducial mark for accurately managing coordinates of defects. A multilayer reflective film formed substrate is formed with a multilayer reflective film, which is adapted to reflect EUV light, on a substrate and a fiducial mark which serves as a reference for a defect position in defect information is formed on the multilayer reflective film. The fiducial mark includes a main mark for determining a reference point for the defect position and auxiliary marks arranged around the main mark. The main mark has a point-symmetrical shape and has a portion with a width of 200 nm or more and 10 μm or less with respect to a scanning direction of an electron beam writing apparatus or defect inspection light. | 10-09-2014 |
20140308604 | PHOTO MASK AND METHOD FOR FORMING PATTERN USING THE SAME - An opening width of a main pattern is a width with which a transcription pattern is formed on a target member to be exposed by transmitted exposure light, and is a dimension of 0.8×(λ/NA)×M or less (where λ is a wavelength of the exposure light, and NA and M are a numerical aperture and a reduction ratio of a reduced projection optical system of an exposure apparatus). Each of a first auxiliary pattern adjacent to the main pattern and a second auxiliary pattern located outside the first auxiliary pattern to be adjacent thereto has a width with which a transcription pattern is not formed by the exposure light and generates diffracted light. A first distance between respective centers of the main pattern and the first auxiliary pattern is greater than a second distance between respective centers of the first auxiliary pattern and the second auxiliary pattern. | 10-16-2014 |
20140315122 | PELLICLE AND AN ASSEMBLY OF PHOTOMASK PLUS PELLICLE - A pellicle was well as an assembly of photomask plus pellicle is proposed in which the conventional agglutinant layer which bonds the pellicle to photomask is replaced by male and female screws and elastic body layer; in particular the pellicle frame is screwed to the photomask while the sealing of the space within the pellicle frame is secured by the elastic body layer. | 10-23-2014 |
20140322634 | MASK BLACK, TRANSFER MASK, METHOD OF MANUFACTURING A TRANSFER MASK, AND METHOD OF MANUFACTURING A SEMICONDUCTOR DEVICE - A mask blank for manufacturing a transfer mask adapted to be applied with ArF excimer laser exposure light that has a transparent substrate and a light-shielding film formed into a transfer pattern. The light-shielding film has at least two-layers, one a lower layer composed mainly of a first material containing a transition metal, silicon, and nitrogen, and the other an upper layer composed mainly of a second material containing a transition metal, silicon, and nitrogen. A ratio of a first etching rate of the lower layer to a second etching rate of the upper layer is 1.0 or more and 5.0 or less in etching carried out by supplying a fluorine-containing substance onto a target portion and irradiating charged particles to the target portion. Another ratio satisfies the following formula CN≧−0.00526CMo2−0.640CMo+26.624. | 10-30-2014 |
20140329173 | RETICLES FOR USE IN FORMING IMPLANT MASKING LAYERS AND METHODS OF FORMING IMPLANT MASKING LAYERS - In one example, a reticle disclosed herein includes a body having a center, an arrangement of a plurality of exposure patterns, wherein a center of the arrangement is offset from the center of the body, and at least one open feature defined on or through the body of the reticle. In another example, a method is disclosed that includes forming a layer of photoresist above a plurality of functional die and a plurality of incomplete die, exposing the photoresist material positioned above at least one of the functional die and/or at least one of the incomplete die, performing an incomplete die exposure processes via an open feature of the reticle to expose substantially all of the photoresist material positioned above the plurality of incomplete die, and developing the photoresist to remove the portions of the photoresist material positioned above the incomplete die. | 11-06-2014 |
20140329174 | MASK BLANK SUBSTRATE, SUBSTRATE WITH MULTILAYER REFLECTION FILM, TRANSMISSIVE MASK BLANK, REFLECTIVE MASK BLANK, TRANSMISSIVE MASK, REFLECTIVE MASK, AND SEMICONDUCTOR DEVICE FABRICATION METHOD - Disclosed is a mask blank substrate for use in lithography, wherein a main surface of the substrate satisfies a relational equation of (BA | 11-06-2014 |
20140335445 | MASK AND METHOD OF MANUFACTURING THE SAME - A method of manufacturing a mask includes: providing a base substrate including light-absorbing layer patterns on a first surface thereof; providing a reflective layer on the light-absorbing layer patterns and the first surface of the base substrate; and providing reflective patterns by partially removing the reflective layer. The providing the reflective patterns includes removing the light-absorbing layer patterns and a portion of the reflective layer, by irradiating the light-absorbing layer patterns with laser light. | 11-13-2014 |
20140335446 | Systems and Methods for Lithography Masks - Structure of mask blanks and masks, and methods of making masks are disclosed. The new mask blank and mask comprise a tripe etching stop layer to prevent damages to the quartz substrate when the process goes through etching steps three times. The triple etching stop layer may comprise a first sub-layer of tantalum containing nitrogen (TaN), a second sub-layer of tantalum containing oxygen (TaO), and a third sub-layer of TaN. Alternatively, the triple etching stop layer may comprise a first sub-layer of SiON material, a second sub-layer of TaO material, and a third sub-layer of SiON material. Another alternative may be one layer of low etching rate Mo | 11-13-2014 |
20140335447 | COMPOSITION FOR HARDMASK, METHOD OF FORMING PATTERNS USING THE SAME, AND SEMICONDUCTOR INTEGRATED CIRCUIT DEVICE INCLUDING THE PATTERNS - A composition for a hardmask including copolymer including repeating units represented by Chemical Formulae 1 and 2 and a solvent, a method of forming a pattern using the same, and a semiconductor integrated circuit device including a pattern formed using the method are provided. | 11-13-2014 |
20140342272 | Method to Define Multiple Layer Patterns With a Single Exposure by E-Beam Lithography - The present disclosure provides a method that includes forming a first resist layer on a substrate; forming a second resist layer over the first resist layer; and performing an electron-beam (e-beam) lithography exposure process to the first resist layer and the second resist layer, thereby forming a first latent feature in the first resist layer and a second latent feature in the second resist layer. | 11-20-2014 |
20140342273 | MONOMER FOR A HARDMASK COMPOSITION, HARDMASK COMPOSITION COMPRISING THE MONOMER, AND METHOD FOR FORMING A PATTERN USING THE HARDMASK COMPOSITION - Disclosed are a monomer for a hardmask composition represented by the following Chemical Formula 1, a hardmask composition including the monomer, and a method of forming a pattern using the same. | 11-20-2014 |
20140342274 | CHEMICALLY AMPLIFIED NEGATIVE RESIST COMPOSITION AND PATTERNING PROCESS - A polymer comprising 0.5-10 mol % of recurring units having acid generating capability and 50-99.5 mol % of recurring units providing for dissolution in alkaline developer is used to formulate a chemically amplified negative resist composition. When used in a lithography process, the composition ensures an effective sensitivity, makes more uniform the distribution and diffusion of the acid generating component in a resist film, and suppresses deactivation of acid at the substrate interface. The pattern can be formed to a profile which is improved in LER and undercut. | 11-20-2014 |
20140342275 | ACTINIC RAY-SENSITIVE OR RADIATION-SENSITIVE RESIN COMPOSITION, AND, ACTINIC RAY-SENSITIVE OR RADIATION-SENSITIVE FILM AND PATTERN FORMING METHOD, EACH USING THE COMPOSITION - An actinic ray-sensitive or radiation-sensitive resin composition contains a compound (P) that contains at least one phenolic hydroxyl group and at least one group in which a hydrogen atom of a phenolic hydroxyl group has been substituted with a group represented by the following General Formula (1) (in the formula, M | 11-20-2014 |
20140349219 | EXPOSURE METHOD, REFLECTION TYPE MASK, AND SEMICONDUCTOR DEVICE MANUFACTURING METHOD - According to embodiments, an exposure method is provided. In the exposure method, a transmittance of a pellicle is adjusted every position of a mask pattern included in a reflection type mask. And when adjusting the transmittance of the pellicle, a film thickness of the pellicle is adjusted on the basis of a transmittance correction amount. Thereafter, exposure is conducted onto a substrate by using the reflection type mask with the pellicle stuck thereon. | 11-27-2014 |
20140356768 | CHARGED BEAM PLASMA APPARATUS FOR PHOTOMASK MANUFACTURE APPLICATIONS - Embodiments of the present invention generally provide an apparatus and methods for etching photomasks using charged beam plasma. In one embodiment, an apparatus for performing a charged beam plasma process on a photomask includes a processing chamber having a chamber bottom, a chamber ceiling and chamber sidewalls defining an interior volume, a substrate support pedestal disposed in the interior volume, a charged beam generation system disposed adjacent to the chamber sidewall, and a RF bias electrode disposed in the substrate support. | 12-04-2014 |
20140356769 | METHOD OF MANUFACTURING A MASK - A method of manufacturing a mask, the method including forming initial ribs such that forming the initial ribs includes forming at least two photoresist patterns such that the at least two photoresist patterns have different widths, are formed on at least one side of a mask substrate, and overlap each other, and performing an etching process at least two times; and forming final ribs such that the final ribs have curved sides having a different curvature radius than a curvature radius of initial curved sides of the initial ribs and have defined slit patterns, forming the final ribs including removing all but one of the at least two photoresist patterns, and performing an etching process. | 12-04-2014 |
20140356770 | REFLECTIVE MASK BLANK FOR EUV LITHOGRAPHY - To provide an EUV mask blank which has an absorber layer having such a structure that the phase difference between a reflective layer and the absorber layer is in the vicinity of 180°, and the change of the phase difference between the reflective layer and the absorber layer is small to the film thick change of the absorber layer, and of which the absorber layer can be expected to be further thinner than a conventional absorber layer. | 12-04-2014 |
20140370423 | EXTREME ULTRAVIOLET (EUV) RADIATION PELLICLE FORMATION METHOD - An extreme ultraviolet (EUV) photolithography pellicle with at least 70% transmissivity to EUV can be formed from a layer of semiconductor material applied to a substrate. The bottom surface of the layer can be exposed by forming support structure(s) from the substrate. Semiconductor material between the exposed surfaces can become the pellicle by anodizing until an objective is reached, such as a particular transmissivity, range of size of pores formed, pellicle region thickness, elapse of a period, and/or another objective indicative of 70% transmissivity to EUV for the semiconductor material between the exposed surfaces. | 12-18-2014 |
20140370424 | SUBSTRATE WITH MULTILAYER REFLECTIVE FILM, REFLECTIVE MASK BLANK FOR EUV LITHOGRAPHY, METHOD OF MANUFACTURING REFLECTIVE MASK FOR EUV LITHOGRAPHY AND METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE - An object of the present invention is to provide a substrate with a multilayer reflective film and the like used in the manufacturing of a reflective mask blank for EUV lithography which is to be subjected to dry etching with a Cl-based gas, wherein in the substrate with the multilayer reflective film, the loss of protective films by the dry etching and subsequent wet cleaning is very limited. The present invention is a substrate with a multilayer reflective film used in the manufacturing of a reflective mask blank for EUV lithography, comprising a substrate, a multilayer reflective film disposed on the substrate to reflect EUV light, and a protective film disposed on the multilayer reflective film to protect the multilayer reflective film, the protective film includes an alloy containing at least two metals, the alloy being an all-proportional solid solution. | 12-18-2014 |
20140370425 | ACTINIC-RAY- OR RADIATION-SENSITIVE RESIN COMPOSITION, ACTINIC-RAY- OR RADIATION-SENSITIVE FILM, PHOTOMASK BLANK AND METHOD OF FORMING PATTERN - Provided is an actinic-ray- or radiation-sensitive resin composition including (A) a resin that when acted on by an acid, is decomposed to thereby increase its alkali solubility, which resin comprises at least either any of repeating units (I) of general formula (I) below or any of repeating units (II) of general formula (II) below, (B) an onium salt acid generator that when exposed to actinic rays or radiation, generates a sulfonic acid whose volume ranges from 250 Å | 12-18-2014 |
20140377690 | Manufacturing Method of Mask Plate and Array Substrate - A manufacturing method of a mask plate and an array substrate is provided. The mask plate is for manufacturing fanout leads in a non-effective display area on an array substrate. The mask plate includes a fanout lead pattern having a plurality of fanout impression lines, wherein each fanout impression line has a predetermined line width, and each of some of the fanout impression lines has at least one curve portion, wherein for one fanout impression line, a line width of the at least one curve portion is smaller than the predetermined line width of the fanout impression line. A manufacturing method of an array substrate utilizes the foregoing mask plate to manufacture the array substrate. | 12-25-2014 |
20140377691 | MASK PLATE AND METHOD FOR DETECTING EXPOSURE DEFECTS USING THE SAME - Embodiments of the invention disclose a mask plate and a method for detecting an exposure defect using the mask plate. The mask includes a mask pattern, and the mask further includes a plurality of detection-mark mask patterns arranged along a scan direction of an exposure machine, the detection-mark mask patterns are arranged at an edge of the mask pattern. The detection-mark mask patterns are adapted for forming detection marks on a substrate. The detection marks are adapted for reflecting exposure defects of the exposure machine. With the mask plate of the invention, the reason for the exposure defect may be precisely decided, thereby improving the exposure effect and improving the parameter index of the substrate. | 12-25-2014 |
20140377692 | MASK FOR MICROLITHOGRAPHY AND SCANNING PROJECTION EXPOSURE METHOD UTILIZING THE MASK - A mask for microlithography comprises a substrate; a first pattern area on the substrate, the first pattern area comprising a first pattern extending over a first length in a mask scanning direction and a first width in a direction perpendicular to the mask scan direction; and a second pattern area on the substrate adjacent to the first pattern area in the mask scanning direction, the second pattern area comprising a second pattern extending over a second length in the mask scanning direction and a second width identical to the first width in the direction perpendicular to the mask scan direction. | 12-25-2014 |
20140377693 | Extreme Ultraviolet Lithography Mask and Multilayer Deposition Method for Fabricating Same - A mask, method of fabricating same, and method of using same are disclosed. In an example, a mask includes a substrate and a reflective multilayer coating deposited over the substrate. The reflective multilayer coating is formed by positioning the substrate such that an angle α is formed between a normal line of the substrate and particles landing on the substrate and rotating the substrate about an axis that is parallel with a landing direction of the particles. In an example, reflective multilayer coating includes a first layer and a second layer deposited over the first layer. A phase defect region of the reflective multilayer coating includes a first deformation in the first layer at a first location, and a second deformation in the second layer at a second location, the second location laterally displaced from the first location. | 12-25-2014 |
20150010851 | METHODS INVOLVING COLOR-AWARE RETARGETING OF INDIVIDUAL DECOMPOSED PATTERNS WHEN DESIGNING MASKS TO BE USED IN MULTIPLE PATTERNING PROCESSES - One illustrative method disclosed herein includes the steps of decomposing an initial overall target exposure pattern into at least a first decomposed sub-target pattern and a second decomposed sub-target pattern, performing first and second retargeting processes on the first and second decomposed sub-target patterns while using the other sub-target pattern as a reference layer, respectively, to thereby define retargeted first and second decomposed sub-target patterns, respectively, and, after performing the first and second retargeting processes, performing at least one process operation to determine if each of the retargeted first decomposed sub-target pattern and the retargeted second decomposed sub-target pattern is in compliance with at least one design rule. | 01-08-2015 |
20150010852 | PHOTOMASK AND METHOD OF MANUFACTURING THE SAME - A photomask includes a transparent substrate, a mask pattern formed on the substrate, and a protective layer pattern covering side walls of the mask pattern, wherein a top of the protective layer pattern is exposed. | 01-08-2015 |
20150010853 | METHOD OF MANUFACTURING PHOTOMASK BLANK AND PHOTOMASK BLANK - In a chamber ( | 01-08-2015 |
20150010854 | Reflective Photomask Blanks and Reflective Photomasks - Reflective photomask blanks are provided. The reflective photomask blank includes a multi-layered reflection layer on a photomask substrate, a capping layer directly disposed on a top surface of the multi-layered reflection layer to include transition metal and silicon, a passivation layer disposed on a surface of the capping layer opposite to the multi-layered reflection layer, and a light absorption layer on the passivation layer. | 01-08-2015 |
20150010855 | ACTINIC RAY-SENSITIVE OR RADIATION-SENSITIVE COMPOSITION, AND RESIST FILM, RESIST-COATED MASK BLANKS, RESIST PATTERN FORMING METHOD AND PHOTOMASK EACH USING THE COMPOSITION - There is provided an actinic ray-sensitive or radiation-sensitive composition containing (α) a compound represented by the formula (αI) capable of generating an acid having a size of 200 Å | 01-08-2015 |
20150017571 | PHOTOLITHOGRPAHY SCATTERING BAR STRUCTURE AND METHOD - Provided is an integrated circuit (IC) photo mask. The IC photo mask includes a main feature of the IC, the main feature having a plurality of sides, and a plurality of assist features, the assist features being spaced from each other and spaced from the main feature, wherein each one of the assist features is adjacent to one of the sides, each one of the assist features has an elongated shape along a direction, whereby extending the shape in the direction would intersect at least another one of the assist features and the assist features are sub-resolution correction features for correcting for optical proximity effect in a photolithography process. | 01-15-2015 |
20150017572 | METHOD FOR GENERATING PATTERN, STORAGE MEDIUM, AND INFORMATION PROCESSING APPARATUS - There is provided a method for generating a pattern. A pattern is generated by selecting a cell from a cell library including a plurality of cells, adding, to the interior of the selected cell, a second pattern different from a first pattern of the selected cell, performing a first optical proximity correction (OPC) onto the pattern of the selected cell including the first pattern and the second pattern, performing a second optical proximity correction onto a pattern of a plurality of cells in which the selected cell including first pattern and second pattern, which have been subjected to the first optical proximity correction, and another of the cells are proximately arranged and generating the pattern including the patterns of the plurality of cells which have been subjected to the second optical proximity correction. | 01-15-2015 |
20150017573 | REFLECTIVE ORIGINAL, EXPOSURE METHOD, AND DEVICE MANUFACTURING METHOD - A reflective original includes: a reflection layer which has a multilayer film configured to reflect extreme ultraviolet light; a base material configured to support the reflection layer; and a thermal diffusion layer interposed between the reflection layer and the base material, and configured to diffuse heat of the reflection layer. A heat capacity, per unit area, of a structure constituted by both the reflection layer and the thermal diffusion layer is not lower than 1.1 (J/(K·m | 01-15-2015 |
20150017574 | MASK BLANK SUBSTRATE, SUBSTRATE WITH MULTILAYER REFLECTION FILM, TRANSMISSIVE MASK BLANK, REFLECTIVE MASK, AND SEMICONDUCTOR DEVICE FABRICATION METHOD - Disclosed is a mask blank substrate for use in lithography, wherein the main surface on which the transfer pattern of the substrate is formed has a root mean square roughness (Rms) of not more than 0.15 nm obtained by measuring an area of 1 μm×1 μm with an atomic force microscope, and has a power spectrum density of not more than 10 nm | 01-15-2015 |
20150017575 | Photomasks, Methods Of Forming A Photomask, And Methods Of Photolithographically Patterning A Substrate - A photomask includes a substrate having a device region and an adjacent edge region over transparent material. The device region includes spaced primary features of constant pitch at least adjacent the edge region. The edge region includes spaced sub-resolution assist features of the constant pitch of the spaced primary features at least adjacent the device region and which are off-phase by from about 30° to about 150° from +/−180°. Additional embodiments, including methods, are disclosed. | 01-15-2015 |
20150024305 | EXTREME ULTRAVIOLET LIGHT (EUV) PHOTOMASKS AND FABRICATION METHODS THEREOF - Embodiments of EUV photomasks and methods for forming a EUV photomask are provided. The method comprises providing a substrate, a reflective layer, a capping layer, a hard mask layer, and forming an opening therein. An absorber layer is then filled in the opening and over the top surface of the hard mask layer. A removing process is provided to form an absorber with a top surface lower than a top surface of the capping layer. | 01-22-2015 |
20150024306 | MASK OVERLAY CONTROL - Some embodiments of the present disclosure relate to a method of patterning a workpiece with a mask, wherein a scale factor between a geometry of the mask and a corresponding target shape of the mask is determined. The scale factor results from thermal expansion of the mask and geometry due to heating of the mask during exposure to radiation by an electron beam (e-beam) in the mask manufacturing process. A number of radiation pulses necessary to dispose the geometry on the mask is determined. A scale factor for the mask is then determined from the number of pulses. The target shape is then generated on the mask by re-scaling the geometry according to the scale factor prior to mask manufacturing. This method compensates for thermal deformation due to e-beam heating to improve OVL variability in advanced technology nodes. | 01-22-2015 |
20150024307 | Method for Manufacturing EUV Masks Minimizing the Impact of Substrate Defects - In the production of extreme ultraviolet photolithography masks, to produce a set of masks: mask blanks possibly containing defects are provided; an individual map of positions of defects in each mask blank is produced; a concatenated map of defects in a number of masks is produced by transposing, to a given useful zone common to all masks, positions of defects detected during mapping of various mask blanks; individual defect zones each associated with a respective defect and encircling said defect are defined; depending on design rules and on structure to be produced, an electronic version of layouts of the masks is produced, taking account locally, in the design rules, of the existence of each defect in the concatenated map to prevent critical elements from being placed in defect zones; and each mask is produced from any one of the mask blanks and with a respective layout thus obtained. | 01-22-2015 |
20150024308 | FLEXIBLE NANOIMPRINT MOLD, METHOD FOR FABRICATING THE SAME, AND MOLD USAGE ON PLANAR AND CURVED SUBSTRATE - The present invention provides a flexible nanoimprint mold which can fabricate sub-15 nm ultra fine structures on either planar or curved substrates. The mold comprises a top ultra-thin rigid layer of imprint patterning features and a bottom thick flexible layer of polymer elastomer. The two distinct layers are preferably integrated via chemically bonding. The top layer of the mold enables a sub-15 nm resolution of pattern fabrication and the bottom layer affords a conformal contact to planar or curved surface of substrates. The methods for fabricating the same are disclosed. | 01-22-2015 |
20150030970 | Method Of Manufacturing An Extreme Ultraviolet (EUV) Mask And The Mask Manufactured Therefrom - Any defects in the reflective coating or absorber layer of an EUV mask are problematic in transferring a pattern of the EUV mask to a wafer since they produce errors in integrated circuit patterns on the wafer. In this regard, a method of manufacturing an EUV mask is provided according to various embodiments of the present disclosure. According to the method of the present disclosure, the defects in the EUV mask can be detected and repaired with an defect-free multilayer body. A substantially defect-free EUV mask can be made in a cost benefit way accordingly, so as to overcome disadvantages mentioned above. | 01-29-2015 |
20150030971 | PROCESS FOR CONTROLLING THE PERIOD CHARACTERIZING THE MORPHOLOGY OBTAINED FROM A BLEND OF BLOCK COPOLYMERS AND OF CO(POLYMERS) OF ONE OF THE BLOCKS - The present invention relates to a process for controlling the period characterizing the morphology obtained from a blend of block copolymers and of (co)polymers of one of the blocks on a surface employing a particular way of carrying out the synthesis of the blend of block copolymers and of (co)polymers of one of the blocks. | 01-29-2015 |
20150037712 | Extreme Ultraviolet (EUV) Mask, Method Of Fabricating The EUV Mask And Method Of Inspecting The EUV Mask - An out-of-band (OoB) suppression layer is applied on a reflective multiplayer (ML) coating, so as to avoid the OoB reflection and to enhance the optical contrast at 13.5 nm A material having a low reflectivity at wavelength of 193-257 nm, for example, silicon carbide (SiC), is used as the OoB suppression layer. A method of fabricating an EUV mask having the OoB suppression layer and a method of inspecting an EUV mask having the OoB suppression are also provided. | 02-05-2015 |
20150037713 | METHOD FOR DESIGNING MASK SET, RECORDING MEDIUM, TEMPLATE, AND METHOD FOR MANUFACTURING TEMPLATE - According to an embodiment, a method for designing a mask set is provided. In the method for designing the mask set, an imprint-alignment mark is arranged in a region other than a chip region in an imprint shot formed in a template. The imprint-alignment mark is used for alignment during an imprint. Subsequently, the shape of the imprint shot is set based on an arrangement position of the imprint-alignment mark. Subsequently, another pattern is arranged in a region where the region is other than the chip region in the imprint shot and the imprint-alignment mark is not arranged. The other pattern is used in a process other than the imprint. | 02-05-2015 |
20150037714 | PHOTOLITHOGRAPHIC MASKS AND FABRICATION METHOD THEREOF - A photolithographic mask is provided. The photolithographic mask includes a substrate having a first surface configured as a light incidence plane of an exposure light and a second surface. The photolithographic mask also includes a plurality of scattering centers functioning as a refractive index disturbance inside the substrate. Further, the photolithographic mask includes a plurality of mask patterns on the second surface of the substrate. | 02-05-2015 |
20150044600 | DOUBLE-EXPOSURE MASK STRUCTURE AND PHOTOLITHOGRAPHY METHOD THEREOF - Double-exposure mask structure and photolithography. method for performing a photolithography process on a substrate are provided. The substrate has a central region and a margin region. A double-exposure mask structure includes a plurality of parallel and spaced first masks corresponding to the central region, a plurality of parallel and spaced second masks corresponding to the central region, and a plurality of auxiliary masks. The second masks intersect the first masks to form a plurality of overlapping regions. The auxiliary masks are not in contact with one another, and correspond to the Second masks to assist the overlapping regions neighboring to the auxiliary masks to have sufficient depth of focus for photolithography. With the auxiliary masks, the overlapping regions in the central region and neighboring to the margin region can have preferred photolithography and etching effect. | 02-12-2015 |
20150050584 | Methods of Reducing Registration Errors of Photomasks and Photomasks Formed Using the Methods - Methods of reducing registration errors of photomasks and photomasks formed using the methods are provided. The method may include forming a plurality of photomask patterns on a substrate and determining registration errors of the plurality of photomask patterns. The method may further include forming a plurality of stress-producing portions in the substrate to reduce the registration errors by considering exposure latitude variations. | 02-19-2015 |
20150056539 | PHASE SHIFT MASK BLANK AND PHASE SHIFT MASK - The present invention provides a photomask blank used for producing a photomask to which an ArF excimer laser light is applied, wherein: a light-shielding film is provided on a light transmissive substrate; the light-shielding film has a laminated structure in which a lower layer, an interlayer and an upper layer are laminated in this order from the side close to the light transmissive substrate; the thickness of the entire light-shielding film is 60 nm or less; the lower layer is made of a film containing a metal and has a first etching rate; the upper layer is made of a film containing a metal and has a third etching rate; the interlayer is made of a film containing the same metal as that contained in the lower layer or the upper layer and has a second etching rate that is lower than the first etching rate and the third etching rate; and the thickness of the interlayer is 30% or less of the thickness of the entire light-shielding film. | 02-26-2015 |
20150056540 | Method of Forming Metal Oxide Hardmask - A method of forming a metal oxide hardmask on a template includes: providing a template constituted by a photoresist or amorphous carbon formed on a substrate; and depositing by atomic layer deposition (ALD) a metal oxide hardmask on the template constituted by a material having a formula Si | 02-26-2015 |
20150056541 | BLANK MASKS FOR EXTREME ULTRA VIOLET LITHOGRAPHY, METHODS OF FABRICATING THE SAME, AND METHODS OF CORRECTING REGISTRATION ERRORS THEREOF - A blank mask includes a substrate having a first surface and a second surface which are opposite to each other. The substrate includes a trench having a predetermined depth from the second surface. A reflection layer is disposed on the first surface of the substrate to reflect extreme ultraviolet (EUV) rays. An absorption layer is disposed on the reflection layer opposite to the substrate to absorb EUV rays. A conductive layer is disposed in the trench to expose portions of the substrate. The conductive layer includes first conductive lines and second conductive lines intersecting the first conductive lines, and the exposed portions of the substrate are two dimensionally arrayed to have island shapes. | 02-26-2015 |
20150064611 | Extreme Ultraviolet (Euv) Mask And Method Of Fabricating The Euv Mask - A Cu-containing material is provided as an absorber layer of an EUV mask. With the absorber layer of the Cu-containing material, the same lithography performance of a conventional absorber in 70 nm thickness of TaBN can be achieved by only a 30-nm thickness of the absorber layer according to the various embodiments of the present disclosure. Furthermore, the out-off-band (OOB) flare of the radiation light in 193-257 nm can be reduced so as to achieve the better lithography performance. | 03-05-2015 |
20150064612 | HARDMASK - This invention provides a composition containing an organometallic compound having a chromophore moiety in the metal polymer backbone which allows a wider range of n/k values such that substrate reflectivity can be controlled under various conditions. | 03-05-2015 |
20150072270 | Method Of Manufacturing An Extreme Ultraviolet (EUV) Mask And The Mask Manufactured Therefrom - Any defects in the reflective multilayer coating or absorber layer of an EUV mask are problematic in transferring a pattern of the EUV mask to a wafer since they produce errors in integrated circuit patterns on the wafer. In this regard, a method of manufacturing an EUV mask is provided according to various embodiments of the present disclosure. To repair the defect, a columnar reflector, which acts as a Bragg reflector, is deposited according to various embodiments so as to locally compensate and repair the defect. According to the embodiments of the present disclosure, the reflective loss due to the defect can be compensated and recover the phase different due to the defect from, so as to form a desirable wafer printed image. | 03-12-2015 |
20150072271 | Extreme Ultraviolet Lithography Process and Mask - A system and process of an extreme ultraviolet lithography (EUVL) is disclosed. An EUVL process includes receiving a mask pair having a same pattern. The mask pair includes an extreme ultraviolet (EUV) mask and a low EUV reflectivity mask. A first exposure process is performed by using the EUV mask to expose a substrate. A second exposure process is performed by using the low EUV reflectivity mask to expose the same substrate. The first exposure process is conducted according to a first exposure dose matrix and the second exposure process is conducted according to a second exposure dose matrix. | 03-12-2015 |
20150072272 | Method For Forming Photo-Mask And OPC Method - A method for forming a photo-mask is provided. A first photo-mask pattern relating to a first line, an original second photo-mask pattern relating to a first via plug, and a third photo-mask pattern relating to a second line are provided. A first optical proximity correction (OPC) process is performed. A second OPC process is performed, comprising enlarging a width of the second photo-mask pattern along the first direction to form a revised second photo-resist pattern. A contour simulation process is performed to make sure the revised second photo-mask pattern is larger or equal to the original second-mask pattern. The first photo-mask pattern, the revised second photo-mask pattern, and the third photo-mask pattern are output. The present invention further provides an OPC method. | 03-12-2015 |
20150072273 | MASK BLANK, TRANSFER MASK, AND METHODS OF MANUFACTURING THE SAME - This invention provides a mask blank in which a thin film for transfer pattern formation is provided on a main surface of a transparent substrate. The thin film is made of a material containing a transition metal and silicon and further containing at least one of oxygen and nitrogen. The thin film has as its surface layer an oxide layer with an oxygen content higher than that of a region, other than the surface layer, of the thin film. The thin film is formed so that the thickness of its central portion is greater than that of its outer peripheral portion on the main surface side. The oxide layer is formed so that the thickness of its central portion is greater than that of its outer peripheral portion on the main surface side. | 03-12-2015 |
20150072274 | CHEMICAL AMPLIFICATION RESIST COMPOSITION, RESIST FILM USING THE SAME, RESIST-COATED MASK BLANK, METHOD OF FORMING PHOTOMASK AND PATTERN, AND METHOD OF MANUFACTURING ELECTRONIC DEVICE AND ELECTRONIC DEVICE - A chemical amplification resist composition according to the present invention includes (A) a compound including a triarylsulfonium cation having one or more fluorine atoms and capable of generating an acid with a volume of 240 Å | 03-12-2015 |
20150079500 | Method For Lithography Patterning - A method for lithography patterning is disclosed. An exemplary method includes receiving an IC design layout, the IC design layout having an IC pattern and receiving a mask, the mask having a defect. The method further includes making at least one mark on the mask in relation to the defect; positioning the IC design layout over the mask thereby covering the defect by the IC pattern; and patterning the mask with the IC design layout. | 03-19-2015 |
20150079501 | METHOD FOR PRODUCING SUBSTRATE WITH MULTILAYER REFLECTIVE FILM, METHOD FOR PRODUCING REFLECTIVE MASK BLANK AND METHOD FOR PRODUCING REFLECTIVE MASK - Disclosed is a method for producing a substrate with a multilayer reflective film for EUV lithography including a multilayer reflective film disposed on a principal surface of a substrate, the method including a multilayer reflective film formation step of forming the multilayer reflective film on the principal surface of the substrate in such a manner that the multilayer reflective film has a slope region in which the film thickness is decreased in a direction from the inside to the outside of the substrate on a peripheral portion of the principal surface, and a fiducial mark formation step of forming fiducial marks in the slope region by removing at least a portion of the multilayer reflective film, the fiducial marks serving as references for a defective location indicated by defect information with respect to the surface of the substrate with the multilayer reflective film. | 03-19-2015 |
20150079502 | MASK BLANK AND METHOD OF MANUFACTURING A TRANSFER MASK - Provided is a mask blank having a structure including a thin film on a substrate, wherein the thin film is made of a material containing one or more elements selected from tantalum, tungsten, zirconium, hafnium, vanadium, niobium, nickel, titanium, palladium, molybdenum, and silicon, and wherein the normalized secondary ion intensity of at least one or more ions selected from a calcium ion, a magnesium ion, and an aluminum ion is 1.0×10 | 03-19-2015 |
20150079503 | MASK PLATE - A mask plate is used for implementing a graphic structure with a narrower line width on a target substrate. The mask plate includes a slit-shaped photic area and a lightproof area. An edge of the slit-shaped photic area is in a curve shape. | 03-19-2015 |
20150086908 | PHOTOMASK BLANK AND METHOD FOR MANUFACTURING PHOTOMASK BLANK - The present invention relates to a photomask blank obtained by forming a resist film after performing a silylation process on a silicon-containing inorganic film and provides a method for manufacturing a photomask blank having at least a silicon-containing inorganic film over a transparent substrate and a resist film on the silicon-containing inorganic film, comprising: forming the silicon-containing inorganic film such that a surface that will contact the resist film has an oxygen concentration not less than 55 atomic percent and not more than 75 atomic percent; performing a silylation process after forming the silicon-containing inorganic film; and then forming the resist film by application. The method can inhibit generation of defects due to resist residues or the like after development. | 03-26-2015 |
20150086909 | METHOD FOR MANUFACTURING PHOTOMASK BLANK - The present invention relates to a photomask blank obtained by forming a resist film after performing a silylation process on a silicon-containing inorganic film and provides a method for manufacturing a photomask blank having at least a silicon-containing inorganic film over a transparent substrate and a resist film on the inorganic film, comprising: forming the silicon-containing inorganic film; heat treating the formed silicon-containing inorganic film at a temperature more than 200° C. under an atmosphere containing oxygen; performing a silylation process after the heat treatment; and then forming the resist film by application. The method can inhibit generation of defects due to resist residues or the like after development. | 03-26-2015 |
20150086910 | METHOD FOR MAKING A LITHOGRAPHY MASK - A method of fabricating a mask is described. The method includes receiving receiving an integrated circuit (IC) design layout that has a first pattern layer including a first feature and has a second pattern layer including a second feature, wherein the first pattern layer and the second pattern layer are spatially related when formed in a substrate such that the first and second features are spaced a first distance between a first edge of the first feature and a second edge of the second feature, modifying the IC design layout by adjusting a dimension of the first feature based on the first distance, and generating a tape-out data from the modified IC design layout for mask making. The method further includes applying a logic operation (LOP) to the IC design layout. | 03-26-2015 |
20150086911 | ACTINIC RAY-SENSITIVE OR RADIATION-SENSITIVE RESIN COMPOSITION, ACTINIC RAY-SENSITIVE OR RADIATION-SENSITIVE FILM, MASK BLANKS INCLUDING ACTINIC RAY-SENSITIVE OR RADIATION-SENSITIVE FILM, PATTERN FORMING METHOD AND PHOTOMASK - An actinic ray-sensitive or radiation-sensitive resin composition includes; a compound (A) which generates an acid by irradiation with actinic rays or radiation, wherein the acid is linked with a group represented by the following general formula (M) through covalent bonding. In the formula, Y | 03-26-2015 |
20150093687 | EXPOSURE MASK AND METHOD OF FABRICATING DISPLAY PANEL USING THE SAME - An exposure mask for forming a pattern in a photosensitive material includes a mask substrate which is disposed facing the photosensitive material; a body portion on the mask substrate and corresponding to a shape of the pattern at a distance furthest from the exposure mask; and a plurality of branch portions on the mask substrate and each extending outward from an outer edge of the body portion, in a plan view. The pattern comprises a contact hole of a display device. | 04-02-2015 |
20150093688 | MANUFACTURING METHOD FOR PHOTOMASK - A manufacturing method for a photomask for wafer processing includes a step of forming a groove on the front side of a light shielding plate in an area where light is to be passed. The groove has a depth not reaching the back side of the light shielding plate. A uniting step applies a bonding agent capable of transmitting light to the front side of the light shielding plate after performing the groove forming step and next attaching a transparent plate through the bonding agent to the front side of the light shielding plate to thereby unite the light shielding plate and the transparent plate. A grinding step holds the transparent plate on a chuck table after performing the uniting step. The back side of the light shielding plate is ground until the groove is exposed to the back side of the light shielding plate. | 04-02-2015 |
20150093689 | BLANKMASK AND PHOTOMASK - Provided is a photomask having a high-resolution pattern of a half-pitch of 32 nm or less (particularly, a half-pitch of 22 nm or less), which is manufactured by forming a blankmask in which a light-proof film and a hard film having a small thickness and high etch selectivity with respect to the light-proof film are formed on a transparent substrate. The photomask may have a high quality by adjusting a composition ratio of a metal, silicon (Si), and light elements that constitute the light-proof film to suppress damage to the pattern caused by an XeF | 04-02-2015 |
20150104731 | Lithographic Photomask With Inclined Sides - A lithographic process will use a mask or photomask. The photomask includes a first material layer, the first material layer providing a first outer surface of the photomask. The photomask also includes a second material layer over the first material layer, the second material layer providing a second outer surface of the photomask. The two outer surfaces are substantially in parallel and a distance between the two outer surfaces along a first axis perpendicular to the two outer surfaces defines a thickness of the photomask. Also, the two outer surfaces are connected by a plurality of sides, at least one of the sides is not perpendicular to the two outer surfaces and the at least one of the sides provides substantial area for holding the lithographic photomask. | 04-16-2015 |
20150104732 | Method for Repairing a Mask - A method for repairing a mask is disclosed. A mask, having multiple defective regions need to be repaired, is received. Locations and sizes of the defective regions are determined. A pre-repair-passivation-treatment (PRPT) is performed to form a passivation membrane over the mask. With the passivation membrane on the mask, a mask repair process is performed to the defective regions on the mask. | 04-16-2015 |
20150104733 | Method for Repairing a Mask - A method for repairing a mask is disclosed. A mask, having at least one defect need to be repaired, is received. The mask includes a transmissive mask or a reflective mask. A location and size of the defect is determined. A repair hard mask (RHM) is formed over the mask. Various configuration of the RHM are disclosed. A repairing process is performed, with the RHM over the mask, to repair the defect. | 04-16-2015 |
20150104734 | Extreme Ultraviolet Lithography Process and Mask - A system of an extreme ultraviolet lithography (EUVL) is disclosed. The system includes a mask having first and second reflective regions. The system also includes an illumination to expose the mask to produce a resultant reflected light form the mask. The resultant reflected light is constructed by a first reflected light reflected from the first reflective region and a second reflected light reflected from the second reflective region. The resultant reflected light contains mainly diffracted light. The system also a projection optics box (POB) to collect and direct resultant reflected light to expose a target. | 04-16-2015 |
20150104735 | MASK BLANK, TRANSFER MASK, AND METHODS OF MANUFACTURING THE SAME - Provided is a mask blank in which a thin film for transfer pattern formation is provided on a main surface of a transparent substrate. The thin film is made of a material containing a transition metal and silicon and further containing at least one of oxygen and nitrogen. The thin film has as its surface layer an oxide layer with an oxygen content higher than that of the thin film of a region other than the surface layer. The thin film is formed so that the thickness of its outer peripheral portion is greater than that of its central portion on the main surface side. The oxide layer is formed so that the thickness of its outer peripheral portion is greater than that of its central portion on the main surface side. | 04-16-2015 |
20150104736 | REFLECTIVE MASK AND METHOD OF MAKING SAME - A reflective mask is described. The mask includes a low thermal expansion material (LTEM) substrate, a conductive layer deposited on a first surface of the LTEM substrate, a stack of reflective multilayers (ML) deposited on a second surface of the LTEM substrate, a capping layer deposited on the stack of reflective ML, a first absorption layer deposited on the first capping layer, a main pattern, and a border ditch. The border ditch reaches to the capping layer, a second absorption layer deposited inside the border ditch, and where the second absorption layer contacts the capping layer. In some instances, the border ditch crosses the capping layer and partially enters the reflective multilayer. | 04-16-2015 |
20150104737 | Method and System for Forming Non-Manhattan Patterns Using Variable Shaped Beam Lithography - A method and system for fracturing or mask data preparation or proximity effect correction is disclosed in which a series of charged particle beam shots is determined, where the series of shots is capable of forming a continuous non-manhattan track on a surface, such that the non-manhattan track has a line width roughness (LWR) which nearly equals a target LWR. A method and system for fracturing or mask data preparation or proximity effect correction is also disclosed in which at least two series of shots are determined, where each series of shots is capable of forming a continuous non-manhattan track on a surface, and where the space between tracks has space width roughness (SWR) which nearly equals a target SWR. | 04-16-2015 |
20150111134 | MASK BLANK AND METHOD OF MANUFACTURING A TRANSFER MASK - Provided is a mask blank having a structure including a thin film on a substrate, wherein the thin film is made of a material containing one or more elements selected from tantalum, tungsten, zirconium, hafnium, vanadium, niobium, nickel, titanium, palladium, molybdenum, and silicon, and wherein the normalized secondary ion intensity of at least one or more ions selected from a calcium fluoride ion, a magnesium fluoride ion, an aluminum fluoride ion, a calcium chloride ion, and a magnesium chloride ion is 2.0×10 | 04-23-2015 |
20150118602 | PHOTOMASK AND FABRICATION METHOD THEREOF - A photomask including first opaque patterns and second opaque patterns is provided. The first opaque patterns are distributed in a first plane defined in the photomask, while the second opaque patterns are disposed above the first opaque patterns and spaced apart from the first opaque patterns. In other words, the first opaque pattern and second opaque pattern are not distributed in the same plane. | 04-30-2015 |
20150118603 | PHOTO MASK AND METHOD OF MANUFACTURING THE SAME, AND METHOD OF FORMING TRENCHES BY USING PHOTO MASK - Embodiments of the invention provide a photo mask capable of simultaneously forming trenches for preventing an under-fill leakage in a process of forming an opening of a solder resist. In accordance with at least one embodiment, the photo mask includes a transparent base material having a non-transmitting film formed on one surface thereof, a semi-transmitting region formed by performing selective etching using a laser on the transparent base material, a transmitting region and a non-transmitting region formed on the transparent base material together with the semi-transmitting region, and an opening of a solder resist and trenches for preventing a leakage of an under-fill liquid or EMC mold may be simultaneously formed using the photo mask. | 04-30-2015 |
20150118604 | METHOD FOR FABRICATION OF HIGH ASPECT RATIO TRENCHES AND FORMATION OF NANOSCALE FEATURES THEREFROM - A process for forming trenches in a target material includes forming a masking layer onto the target material, where the masking layer comprises a material having high selectivity to a plasma etch gas adapted for etching the target material. A pattern is formed in the masking layer to expose portions of the target material and the sample is placed on an angle mount at a pre-determined angle relative to a cathode of a reactive ion etcher so that the target material is within a plasma dark space of the plasma etch gas. Ballistic ions within the plasma dark space form a trench structure within the target material. The process may further include repeating the steps of positioning the sample and etching the exposed portions of the target material with the substrate at a dif ferent angle to define a triangular structure. | 04-30-2015 |
20150125785 | HALFTONE PHASE SHIFT PHOTOMASK BLANK, HALFTONE PHASE SHIFT PHOTOMASK AND PATTERN EXPOSURE METHOD - A halftone phase shift photomask blank comprising a transparent substrate and a halftone phase shift film is provided. The phase shift film consists of Si and N, or Si, N and O, and is free of transition metals. The phase shift film has a thickness of 40-70 nm, offers a transmittance of 10-40% and a phase shift of 150-200° relative to light of wavelength up to 200 nm, and is resistant to cleaning chemicals. | 05-07-2015 |
20150132685 | Lithography Mask and Method of Forming a Lithography Mask - A first embodiment is a lithography mask comprising a transparent substrate and a first molybdenum silicon nitride (Mo | 05-14-2015 |
20150140477 | EUV MASK FOR USE DURING EUV PHOTOLITHOGRAPHY PROCESSES - The present disclosure is directed to various masks for use during EUV photolithography processes. In one example, an EUV mask is disclosed that includes, among other things, a substrate, a multilayer stack comprised of a plurality of multilayer pairs of ruthenium and silicon formed above the substrate, wherein the mask is adapted to, when irradiated with EUV light, have an effective reflective plane that is positioned 32 nm or less below an uppermost surface of the multilayer stack and a capping layer positioned above the uppermost surface of the multilayer stack. | 05-21-2015 |
20150140478 | Method and Apparatus for Integrated Circuit Layout - Provided is an integrated circuit (IC) testline layout. The layout has a device boundary and a main pattern boundary inside the device boundary. The layout includes at least one main pattern inside the main pattern boundary. The layout further includes a plurality of dummy patterns in a region that is between the main pattern boundary and the device boundary. The plurality of dummy patterns is printable in a photolithography process and is arranged in a ring with a uniform spacing between two adjacent dummy patterns. | 05-21-2015 |
20150140479 | METHOD OF PROCESSING A SEMICONDUCTOR WAFER SUCH AS TO MAKE PROTOTYPES AND RELATED APPARATUS - A method of processing a semiconductor wafer may include providing a rotatably alignable photolithography mask that includes different mask images. Each mask image may be in a corresponding different mask sector. The method may also include performing a series of exposures with the rotatably alignable photolithography mask at different rotational alignments with respect to the semiconductor wafer so that the different mask images produce at least one working semiconductor wafer sector, and at least one non-working semiconductor wafer sector. | 05-21-2015 |
20150140480 | PHOTOMASK AND METHODS FOR MANUFACTURING AND CORRECTING PHOTOMASK - The present invention provides a halftone mask comprising an assist pattern and a manufacturing method of the halftone mask, which uses an ArF excimer laser as an exposing source, is used for a projection exposure by an off axis illumination, does not resolve the assist pattern while keeping the focal depth magnification effect as the assist pattern, and may form a transferred image having high contrast of a main pattern. A photomask is a photomask comprising the main pattern which is transferred to a transfer-target surface by the projection exposure and the assist pattern which is formed nearby the main pattern and not transferred, characterized in that the main pattern and the assist pattern are each constituted from a semi-transparent film made of the same material, a retardation of 180° is generated between the light transmitting through the main pattern and the light transmitting through a transparent region of a transparent substrate, and a predetermined retardation within the scope of 70° to 115° is generated between the light transmitting through the assist pattern and the light transmitting through the transparent region of the transparent substrate. | 05-21-2015 |
20150147686 | Extreme Ultraviolet Lithography Process And Mask - A low EUV reflectivity mask includes a low thermal expansion material (LTEM) layer, a low EUV reflectivity (LEUVR) multilayer over the LTEM layer in a first region, a high EUV reflectivity (HEUVR) multilayer over the LTEM layer in a second region and a patterned absorption layer over the LEUVR multilayer and the HEUVR multilayer. | 05-28-2015 |
20150147687 | Extreme Ultraviolet Lithography Process and Mask with Reduced Shadow Effect and Enhanced Intensity - The present disclosure provides one embodiment of an extreme ultraviolet (EUV) mask. The EUV mask includes a first state and a second state different from each other; a first main polygon and a second main polygon adjacent to the first main polygon; a plurality of sub-resolution assist polygons; and a field. Each of the first and second main polygons, the sub-resolution assist polygons, and the field has an associated state. The state assigned to the first main polygon is different from the state assigned to the second main polygon. The plurality of assist polygons are assigned a same state, which is different from a state assigned to the field. | 05-28-2015 |
20150293438 | PHASE SHIFT MASK AND METHOD OF FORMING PATTERNS USING THE SAME - A method of forming a pattern includes: preparing a target substrate including a photoresist layer on a base substrate; aligning a phase shift mask to the target substrate, the phase shift mask including a mask substrate comparted into a first region including a first sub region and second sub regions at sides of the first sub region, and second regions at sides of the first region, the phase shift mask including a phase shift layer on the mask substrate corresponding to the first region; fully exposing the photoresist layer at the first sub region and the second regions by utilizing the phase shift mask; and removing the photoresist layer at the first sub region and the second regions to form first and second photoresist patterns corresponding to the second sub regions. Transmittance of the phase shift layer is selected to fully expose the photoresist layer in the first sub region. | 10-15-2015 |
20150293439 | EXPOSURE MASK, EXPOSURE APPARATUS, AND METHOD FOR MANUFACTURING DISPLAY SUBSTRATE - The present invention discloses an exposure mask, an exposure apparatus, and a method for manufacturing a display substrate, which are used for forming a pattern with a smaller aperture, a narrower slit, or a line of smaller width on a photoresist layer. The exposure mask includes a mask body and an anti-diffraction film layer located at a light emergent side of the mask body. Wherein the mask body includes a light transmissive region and a light non-transmissive region; a region of the anti-diffraction film layer which corresponds to at least the light transmissive region of the mask body is a light transmissive region; and the anti-diffraction film layer is a film layer whose refractive index n satisfies n>1. | 10-15-2015 |
20150293440 | MASK - An embodiment of the present invention discloses a mask. The mask comprises: a substrate; a light transmission area on the substrate; a light shielding area on the substrate, provided with at least two light shielding layers, each of the at least two light shielding layers having a preset mask pattern and being conductive; and one or more connecting wire(s), configured to respectively electrically connect the at least two light shielding layers. | 10-15-2015 |
20150293441 | MASK BLANK, TRANSFER MASK, METHOD OF MANUFACTURING MASK BLANK, METHOD OF MANUFACTURING TRANSFER MASK AND METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE - Provided are a mask blank for which worsening of flatness has been inhibited, a transfer mask, a method of manufacturing a mask blank, a method of manufacturing a transfer mask, and a method of manufacturing a semiconductor device using this transfer mask. The mask blank is a mask blank provided with a thin film on a main surface of a glass substrate, wherein the glass substrate has a hydrogen content of less than 7.4×10 | 10-15-2015 |
20150301440 | MASK FOR PERFORMING PATTERN EXPOSURE USING REFLECTED LIGHT - An improvement is achieved in the performance of a semiconductor device. A method of manufacturing the semiconductor device includes an exposure step of subjecting a resist film formed over a substrate to pattern exposure using EUV light reflected by the top surface of an EUV mask which is a reflection-type mask. In the exposure step, the EUV mask is held with the cleaned back surface thereof being in contact with a mask stage. In the EUV mask, the water repellency of the side surface thereof is higher than the water repellency of the top surface thereof. After the exposure step, the resist film subjected to the pattern exposure is developed to form a resist pattern. | 10-22-2015 |
20150301441 | REFLECTIVE MASK BLANK AND METHOD FOR MANUFACTURING SAME, METHOD FOR MANUFACTURING REFLECTIVE MASK, AND METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE - This invention provides a reflective mask blank capable of preventing peeling-off of a multilayer reflective film due to cleaning or the like in a mask manufacturing process or during mask use. The reflective mask blank includes a multilayer reflective film, a protective film, an absorber film, and a resist film formed in this order on a substrate. Assuming that a distance from the center of the substrate to an outer peripheral end of the multilayer reflective film is L(ML), that a distance from the center of the substrate to an outer peripheral end of the protective film is L(Cap), that a distance from the center of the substrate to an outer peripheral end of the absorber film is L(Abs), and that a distance from the center of the substrate to an outer peripheral end of the resist film is L(Res), L(Abs)>L(Res)>L(Cap)≧L(ML) and the outer peripheral end of the resist film is located inward of an outer peripheral end of the substrate. | 10-22-2015 |
20150301442 | REFLECTIVE MASK BLANK FOR EUV LITHOGRAPHY, SUBSTRATE WITH FUNTION FILM FOR THE MASK BLANK, AND METHODS FOR THEIR PRODUCTION - A substrate with a conductive film to be used for producing a reflective mask blank for EUV lithography, comprising a substrate and a conductive film formed on the substrate, wherein the conductive film has at least two layers of a lower layer formed on the substrate side and an upper layer formed on the lower layer; the lower layer is a CrN type film which contains Cr and N; the upper layer is a CrON type film which contains Cr, N and O; in the CrN type film, the total content of Cr and N is at least 85 at %, and the compositional atomic ratio of Cr to N is Cr:N=9.5:0.5 to 3:7; in the CrON type film, the total content of Cr, N and O is at least 85 at %, and the compositional atomic ratio of Cr to (N+O) is Cr:(N+O)=9.5:0.5 to 3:7; and the film thickness of the CrON type film is from 0.5 to 3 nm, and the standard deviation of the film thickness distribution of the CrON type film is at most 0.18 nm. | 10-22-2015 |
20150301443 | PHASE-SHIFT MASK - The present invention provides a phase-shift mask comprising a light shading region which is covered by a light shading pattern and a light transmission region which is not covered by the light shading pattern, the light shading pattern comprises a symmetrical part and an asymmetrical part provided outside the symmetrical part, wherein, an optical blocking unit is provided in a part of the light transmission region outside the symmetrical part away from the asymmetrical part, so that intensity of light transmitted through the part of the light transmission region provided with the optical blocking unit is reduced. During an exposure process using the phase-shift mask of the present invention, the obtained exposure intensity is more uniform. | 10-22-2015 |
20150301444 | SYSTEMS AND METHODS FOR DRY PROCESSING FABRICATION OF BINARY MASKS WITH ARBITRARY SHAPES FOR ULTRA-VIOLET LASER MICROMACHINING - A system and method for producing binary dry process laser microfabrication masks is disclosed. A laser is focused on a first mask to produce a mask image, the mask image thereafter being reduced by demagnification optics to provide a reduced image. A target is exposed to the reduced image to create features of reduced size from the original mask. The target may be used to form a binary mask capable of withstanding laser radiation power necessary for direct target micromachining. A binary mask may be used to create other binary masks in an iterative process to provide binary masks with successively smaller features based on the image reduction due to the demagnification optics. | 10-22-2015 |
20150309403 | ANTIREFLECTIVE COATING COMPOSITIONS AND PROCESSES THEREOF - The present invention relates to a novel absorbing antireflective coating composition comprising a novel crosslinkable polymer comprising at least one repeat unit (A) having structure (1), at least repeat (B) unit having a structure (2), and at least one repeat unit (C) having structure (3) | 10-29-2015 |
20150309404 | PELLICLE STRUCTURE AND METHOD FOR FORMING THE SAME - A pellicle structure, a pellicle-mask structure, and a method for forming the pellicle structure are provided. The pellicle structure includes a pellicle film made of a carbon-based material. In addition, the pellicle film is configured to protect a mask structure in a lithography process. The pellicle-mask structure includes a mask substrate having a mask pattern formed over the mask substrate and the pellicle frame disposed on the mask substrate. The pellicle-mask structure further includes the pellicle film disposed on the pellicle frame. | 10-29-2015 |
20150309405 | METHOD OF MAKING AN EXTREME ULTRAVIOLET PELLICLE - The present disclosure relates to a method of forming an EUV pellicle having an pellicle film connected to a pellicle frame without a supportive mesh, and an associated apparatus. In some embodiments, the method is performed by forming a cleaving plane within a substrate at a position parallel to a top surface of the substrate. A pellicle frame is attached to the top surface of the substrate. The substrate is cleaved along the cleaving plane to form a pellicle film comprising a thinned substrate coupled to the pellicle frame. Prior to cleaving the substrate, the substrate is operated upon to reduce structural damage to the top surface of substrate during formation of the cleaving plane and/or during cleaving the substrate. Reducing structural damage to the top surface of the substrate improves the durability of the thinned substrate and removes a need for a support structure for the pellicle film. | 10-29-2015 |
20150309408 | NEGATIVE RESIST COMPOSITION, RESIST FILM USING SAME, PATTERN FORMING METHOD, AND MASK BLANK PROVIDED WITH RESIST FILM - A negative resist composition includes an onium salt compound (A) containing a nitrogen atom in its cation moiety, a compound (B) that is configured to produce an acid when exposed to actinic rays or radiation, and a compound (C) containing an acid-crosslinkable group. | 10-29-2015 |
20150316841 | PHOTOMASK AND PATTERN FORMING METHOD USING PHOTOMASK - A photomask includes a transparent substrate, and a light shield provided to the transparent substrate. The light shield includes a translucent mask pattern opening, and the mask pattern opening includes a plurality of translucent regions which are provided to a periphery of a region corresponding to a desired pattern, and allow exposure light beams to be transmitted at at least three different phases. Each of the plurality of translucent region spaced apart from the region corresponding to the desired pattern, advances more toward an exposure object spaced a predetermined distance apart compared to a phase plane of an exposure light beam transmitted through a translucent region of the plurality of translucent regions, the translucent region close to the region corresponding to the desired pattern, such that the exposure light beams that are transmitted through the mask pattern opening form a projection image of the desired pattern on the exposure object. | 11-05-2015 |
20150322077 | Novel Compound, Novel Mixture, Photosensitive Resin Composition, and Color Filter - A compound is represented by the following Chemical Formula 1, wherein in Chemical Formula 1, each substituent is the same as defined in the detailed description: | 11-12-2015 |
20150328661 | PROCESS FOR PRODUCING THICK NANOSTRUCTURED FILMS OBTAINED FROM A BLOCK COPOLYMER COMPOSITION - The present invention relates to a process for producing nanostructured films obtained from block copolymers exhibiting a dispersity index of between 1.1 and 2, limits included, without nanostructuring defects, on a surface, in order for this treated surface to be able to be used as masks for applications in microelectronics. | 11-19-2015 |
20150331307 | Extreme Ultraviolet Light (EUV) Photomasks and Fabrication Methods Thereof - Embodiments of EUV photomasks and methods for forming a EUV photomask are provided. The method comprises providing a substrate, a reflective layer, a capping layer, a hard mask layer, and forming an opening therein. An absorber layer is then filled in the opening and over the top surface of the hard mask layer. A removing process is provided to form an absorber with a top surface lower than a top surface of the capping layer. | 11-19-2015 |
20150331308 | EXPOSURE AMOUNT EVALUATION METHOD AND PHOTOMASK - According to the exposure amount evaluation method of the embodiment, a photomask including a long-wavelength light reflective film and a mask pattern is set in an EUV exposure apparatus. The long-wavelength light reflective film reflects long-wavelength light having a wavelength longer than that of EUV light and absorbs the EUV light. The mask pattern is formed by an absorption film which is arranged on the upper side of the long-wavelength light reflective film and absorbs the EUV light and the long-wavelength light. A substrate on which resist is coated are set in the EUV exposure apparatus. Exposure light reflected by the photomask is irradiated to the substrate, and a light amount distribution of the long-wavelength light irradiated to the substrate is measured on the basis of an exposure amount of the exposure light irradiated to the substrate. | 11-19-2015 |
20150331309 | RETICLE AND METHOD OF FABRICATING THE SAME - A reticle and a method of fabricating the reticle are provided. In various embodiments, the reticle includes a substrate, a patterned first attenuating layer, a patterned second attenuating layer, and a patterned third attenuating layer. The patterned first attenuating layer is disposed on the substrate. The patterned second attenuating layer is disposed on the patterned first attenuating layer. The patterned third attenuating layer is disposed on the patterned second attenuating layer. A first part of the patterned first attenuating layer, a first part of patterned second attenuating layer, and the patterned third attenuating layer are stacked on the substrate as a binary intensity mask portion. | 11-19-2015 |
20150331311 | METHOD OF MANUFACTURING MASK BLANK AND METHOD OF MANUFACTURING TRANSFER MASK - Methods of manufacturing a mask blank and a transfer mask that reduce internal stress of a thin film. The methods include preparing a transparent substrate having a pair of opposing main surfaces and composed of a glass material having a hydrogen content of less than 7.4×1018 molecules/cm3, forming a thin film composed of a material containing silicon or metal on one of the main surfaces of the transparent substrate, and carrying out heating treatment or photo irradiation treatment on the transparent substrate with the thin film. The absolute value of a variation of flatness in a predetermined region, as calculated based on a difference in shape obtained from a shape of a main surface of the transparent substrate prior to forming the thin film and a shape of a main surface of the substrate exposed after removing the thin film, is not more than 100 nm. | 11-19-2015 |
20150331312 | MASK BLANK SUBSTRATE, SUBSTRATE WITH MULTILAYER REFLECTIVE FILM, REFLECTIVE MASK BLANK, REFLECTIVE MASK, METHOD OF MANUFACTURING MASK BLANK SUBSTRATE, METHOD OF MANUFACTURING SUBSTRATE WITH REFLECTIVE FILM AND METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE - An object of the present invention is to provide a mask blank substrate and the like that enables critical defects to be reliably detected as a result of reducing the number of detected defects, including pseudo defects, even when using highly sensitive defect inspection apparatuses that use light of various wavelengths. The present invention relates to a mask blank substrate that is used in lithography, wherein the power spectral density at a spatial frequency of 1×10 | 11-19-2015 |
20150331313 | METHOD FOR MANUFACTURING A NANOLITHOGRAPHY MASK - The invention concerns a manufacturing method for nanolithography masks from a PS-b-PMMA block copolymer film deposited on a surface to be etched, said copolymer film comprising PMMA nanodomains orientated perpendicularly to the surface to be etched, said method being characterized in that it comprises the following steps:
| 11-19-2015 |
20150338731 | MASK BLANK, PHASE-SHIFT MASK, AND METHOD FOR MANUFACTURING THE SAME - Provided is a mask blank in which uniformity of the composition and optical characteristics of a phase-shift film in the in-plane direction and direction of film thickness is high, uniformity of the composition and optical characteristics of the phase-shift film between a plurality of substrates is also high, and defectivity is low even if a silicon-based material is applied to the material that forms the phase-shift film. | 11-26-2015 |
20150346596 | Extreme Ultraviolet Lithography Process and Mask - An extreme ultraviolet lithography (EUVL) process is disclosed. The process comprises receiving a mask. The mask includes a low thermal expansion material (LTEM) substrate, a reflective multilayer (ML)over one surface of the LTEM substrate, a first region having a phase-shifting layer over the reflective ML, and a second region having no phase-shifting layer over the reflective ML. The EUVL process also comprises exposing the mask by a nearly on-axis illumination with partial coherence less than 0.3 to produce diffracted light and non-diffracted light, removing at least a portion of the non-diffracted light, and collecting and directing the diffracted light and the not removed non-diffracted light by a projection optics box (POB) to expose a target. | 12-03-2015 |
20150346597 | PHOTOMASK, AND METHOD FOR CREATING PATTERN DATA THEREOF, AND PATTERN FORMING METHOD AND PROCESSING METHOD USING PHOTOMASK - A photomask includes: a light blocking member provided on a translucent substrate; a main pattern portion provided in a first region corresponding to a desired pattern, being an opening of the light blocking member; and an auxiliary pattern portion provided in a second region surrounding the position corresponding to the desired pattern and along a side constituting an outline portion of the desired pattern, including a plurality of in-phase auxiliary patterns each of which is an opening transmitting in-phase light with light transmitted through the main pattern portion. The in-phase auxiliary pattern is provided at a distance of √(2×n×G×λ) from the side constituting the outline portion of the desired pattern (where G is a gap length between the photomask and the exposed body, λ is a wavelength of the exposure light, and n is a natural number). The exposure light transmitted through the auxiliary pattern portion is projected on an exposed body to form a projection image having light intensity emphasized at the side constituting the outline portion of the desired pattern. | 12-03-2015 |
20150355537 | METHOD FOR MANUFACTURING MASK BLANK SUBSTRATE, METHOD FOR MANUFACTURING MASK BLANK AND METHOD FOR MANUFACTURING TRANSFER MASK - A method for manufacturing a low-defect and high-quality mask blank substrate with minimized transfer pattern defects and high mechanical strength, particularly such that the occurrence of a phenomenon where a portion of a transfer pattern and a principal surface of the substrate therebeneath are broken off together is minimized such that there is little pattern loss. The mask blank is manufactured by preparing a mask blank substrate (X) having a substrate principal surface (X | 12-10-2015 |
20150355544 | CHEMICALLY AMPLIFIED RESIST COMPOSITION AND PATTERN FORMING PROCESS - A resist composition is provided comprising (A) a carboxylic acid sulfonium salt whose anion moiety has a bulky structure of arenecarboxylate in which secondary or tertiary carbon atoms bond at both ortho-positions relative to the carbon atom in bond with carboxylate, as an acid diffusion regulator and (B) a polymer which is decomposed under the action of acid to increase its solubility in alkaline developer. When processed by EB or EUV lithography, the resist composition exhibits a very high resolution and forms a pattern with minimal LER. | 12-10-2015 |
20150362833 | MASK PLATE AND METHOD OF PATTERNING USING THE MASK PLATE - The embodiment of the present invention provides a mask plate and a method of patterning using the mask plate, the mask plate comprising a light shielding area and an opening area, the edge of the opening area being in a concave-convex shape. The embodiment of the present invention, by setting the edge of the opening area of the mask plate in a concave-convex shape, can improve linearity of the matrix edge formed after the processes of coating, exposing, developing, roasting of the photoresist, so as to prevent the problem of generating a jagged or a wavy shape at the matrix edge of a color filter in a color film substrate, thereby improving uniformity of color display of a liquid crystal display. The effect is particularly significant for a product using a negative photoresist or with a relatively thin line width in the formed matrix. | 12-17-2015 |
20150362840 | MASK PLATE AND METHOD FOR MANUFACTURING COLOR FILTER UNIT OF COLOR FILTER SUBSTRATE - A mask plate used for manufacturing a color filter unit of a color filter substrate, the followings are formed thereon: an un-blocked area, corresponding to a first area of positive photoresist surrounding the color filter unit forming area of the color filter unit; at least one partially blocked area through which the light is able to pass partially, the at least one partially blocked area corresponding to a second area of the positive photoresist, the second area is a part of the color filter unit forming area, the at least one partially blocked area is adjacent to the un-blocked area at a common edge; an entirely blocked area, corresponding to a third area of the positive photoresist, the third area is another part of the color filter unit forming area; the reserved photoresist in the second area and the third area form the color filter unit. | 12-17-2015 |
20150370158 | Image Mask Film Scheme and Method - A system and method for repairing a photolithographic mask is provided. An embodiment comprises forming a shielding layer over an absorbance layer on a substrate. Once the shielding layer is in place, the absorbance layer may be repaired using, e.g., an e-beam process to initiate a reaction to repair a defect in the absorbance layer, with the shielding layer being used to shield the remainder of the absorbance layer from undesirable etching during the repair process. | 12-24-2015 |
20150370160 | MASK BLANK SUBSTRATE PROCESSING DEVICE, MASK BLANK SUBSTRATE PROCESSING METHOD, MASK BLANK SUBSTRATE FABRICATION METHOD, MASK BLANK FABRICATION METHOD, AND TRANSFER MASK FABRICATION METHOD - Provided are a mask blank substrate processing device, a mask blank substrate processing method, a mask blank substrate fabrication method, a mask blank fabrication method, and a transfer mask fabrication method, for surface processing a mask blank substrate such that a high-level smoothness and a low-defect quality are satisfied. A mask blank substrate processing device ( | 12-24-2015 |
20150378252 | PHOTOMASK AND METHOD FOR MANUFACTURING PHOTOMASK - A method for manufacturing a photomask is provided. The method includes providing a flexible substrate, forming a plurality of microstructures on the flexible substrate, coating the flexible substrate with a shading material to form a shading layer on the substrate, and solidifying the shading layer which is a single layer. | 12-31-2015 |
20160004151 | SURFACE DEFECT REPAIR BY IRRADIATION - A method for repairing a defect on a substrate surface includes placing on the defect a nanoparticle that includes a conductive material. A region of the substrate surface in which the nanoparticle is placed is irradiated, the region being larger than the nanoparticle. An energy density of the irradiation is below a modification threshold for the substrate surface. | 01-07-2016 |
20160004152 | DEFECT REDUCTION IN A SUBSTRATE TREATMENT METHOD - A method for treating a substrate surface uses Neutral Beam irradiation derived from a gas-cluster ion-beam and articles produced thereby including lithography photomask substrates. One embodiment provides a method of treating a surface of a substrate that contains one or more embedded particles or contains sub-surface damage, comprising the steps of: providing a reduced pressure chamber; forming a gas-cluster ion-beam comprising gas-cluster ions within the reduced pressure chamber; accelerating the gas-cluster ions to form an accelerated gas-cluster ion-beam along a beam path within the reduced pressure chamber; promoting fragmentation and/or dissociation of at least a portion of the accelerated gas-cluster ions along the beam path; removing charged particles from the beam path to form an accelerated neutral beam along the beam path in the reduced pressure chamber; holding the surface in the beam path; and treating at least a portion of the surface of the substrate by irradiation. | 01-07-2016 |
20160011499 | EXTREME ULTRAVIOLET MASK BLANK PRODUCTION SYSTEM WITH THIN ABSORBER AND MANUFACTURING SYSTEM THEREFOR | 01-14-2016 |
20160011500 | PLANARIZED EXTREME ULTRAVIOLET LITHOGRAPHY BLANK WITH ABSORBER AND MANUFACTURING SYSTEM THEREFOR | 01-14-2016 |
20160011501 | Assist Feature for a Photolithographic Process | 01-14-2016 |
20160011502 | EXTREME ULTRAVIOLET REFLECTIVE ELEMENT WITH MULTILAYER STACK AND METHOD OF MANUFACTURING THEREOF | 01-14-2016 |
20160011503 | MASK AND MANUFACTURING METHOD THEREOF | 01-14-2016 |
20160011504 | METHOD FOR MANUFACTURING PHOTO MASK AND PHOTO MASK MANUFACTURED WITH SAME | 01-14-2016 |
20160011514 | METHOD OF MANUFACTURING SUBSTRATE AND SUBSTRATE AND MASK FILM | 01-14-2016 |
20160013058 | Semiconductor Mask Blanks with a Compatible Stop Layer | 01-14-2016 |
20160018726 | MASK - A mask, comprising an opaque region, a first semi-transparent region, and a second semi-transparent region. The transmittance of the second semi-transparent region is less than that of the first semi-transparent region. The mask solves the over-etching problem caused by the difference between the thicknesses of photoresist in different regions. | 01-21-2016 |
20160018728 | PHOTO-MASK AND METHOD OF MANUFACTURING SEMICONDUCTOR STRUCTURES BY USING THE SAME - The present invention provides a photo-mask for manufacturing structures on a semiconductor substrate, which comprises a photo-mask substrate, a first pattern, a second pattern and a forbidden pattern. A first active region, a second active region are defined on the photo-mask substrate, and a region other than the first active region and the second active region are defined as a forbidden region. The first pattern is disposed in the first active region and corresponds to a first structure on the semiconductor substrate. The second pattern is disposed in the second active region and corresponds to a second structure on the semiconductor substrate. The forbidden pattern is disposed in the forbidden region, wherein the forbidden pattern has a dimension beyond resolution capability of photolithography and is not used to form any corresponding structure on the semiconductor substrate. The present invention further provides a method of manufacturing semiconductor structures. | 01-21-2016 |
20160018729 | BINARY PHOTOMASK BLANK, PREPARATION THEREOF, AND PREPARATION OF BINARY PHOTOMASK - A binary photomask blank has a light-shielding film on a transparent substrate, the light-shielding film composed mainly of transition metal M and Si, or M, Si and N, and having an optical density of at least 3.0. The light-shielding film includes a layer containing M, Si and N so as to meet the formula: B≦0.68×A+0.23 wherein A is an atomic ratio M/Si and B is an atomic ratio N/Si, and has a thickness of up to 47 nm. The binary photomask blank has a thin light-shielding film capable of fully shielding exposure light. | 01-21-2016 |
20160018732 | ACTINIC RAY-SENSITIVE OR RADIATION-SENSITIVE RESIN COMPOSITION, RESIST FILM, RESIST-COATED MASK BLANK, PHOTOMASK AND PATTERN FORMING METHOD, AND METHOD FOR PRODUCING ELECTRONIC DEVICE USING THEM, AND ELECTRONIC DEVICE - There is provided an actinic ray-sensitive or radiation-sensitive resin composition containing: a resin (A) containing a repeating unit represented by a specific formula (1), and an ionic compound (B) represented by a specific formula (2), a resist film formed by using the actinic ray-sensitive or radiation-sensitive resin composition, a pattern forming method including: (a) a step of forming the resist film, (b) a step of exposing the film, and (c) a step of developing the exposed film using a developer to form a pattern. | 01-21-2016 |
20160033856 | RESIST REMOVING LIQUID, RESIST REMOVAL METHOD USING SAME AND METHOD FOR PRODUCING PHOTOMASK - A resist removal method includes removing a resist provided on a photomask substrate by bringing a resist removing liquid into contact with the resist in patterning of a photomask for EUV lithography in which the resist removing liquid contains an alkali compound, a specific nitrogen-containing compound, and water, and a content of the water is more than 50% by mass. | 02-04-2016 |
20160033857 | MASK FOR PHOTOLITHOGRAPHY, METHOD OF MANUFACTURING THE SAME AND METHOD OF MANUFACTURING SUBSTRATE USING THE SAME - A mask for photolithography includes: a transparent substrate; a phase shift pattern on the transparent substrate and configured to change a phase of light; a dielectric layer on the transparent substrate; and a negative refractive-index meta material layer on the dielectric layer. | 02-04-2016 |
20160033858 | HALFTONE PHASE SHIFT PHOTOMASK BLANK AND MAKING METHOD - A halftone phase shift photomask blank comprising a transparent substrate and a halftone phase shift film consisting of silicon, nitrogen and optional oxygen, and providing a phase shift of 150°-200° relative to light of wavelength up to 200 nm. The phase shift film includes at least one layer meeting the formula: 2×O/Si+3×N/Si≧3.5 wherein Si is a silicon content (at %), N is a nitrogen content (at %), and O is an oxygen content (at %). The phase shift film exhibits satisfactory in-plane uniformity of transmittance. | 02-04-2016 |
20160033859 | DESIGNING OF PHOTOMASK BLANK AND PHOTOMASK BLANK - A method for designing a photomask blank comprising a transparent substrate and an optical film thereon is provided. The photomask blank is processed into a transmissive photomask having a pattern of optical film such that the film pattern may be transferred when exposure light is transmitted by the photomask. The optical film is selected using a specific reflectance, which is equal to the reflectance divided by the film thickness, as an index. | 02-04-2016 |
20160041461 | GLASS SUBSTRATE FOR MASK BLANK, AND METHOD FOR PRODUCING THE SAME - A glass substrate for a mask blank has a rectangular planar shape. In four square regions each positioned at each corner of a first region (quality assurance region) and having one side of | 02-11-2016 |
20160041462 | MASK BLANK GLASS SUBSTRATE, MULTILAYER REFLECTIVE FILM COATED SUBSTRATE, MASK BLANK, MASK, AND METHODS OF MANUFACTURING THE SAME - Provided is a mask blank glass substrate that has high surface smoothness, that is formed with a fiducial mark capable of improving the detection accuracy of a defect position or the like, and that enables reuse or recycling of a glass substrate included therein. An underlayer is formed on a main surface, on the side where a transfer pattern is to be formed, of a glass substrate for a mask blank. The underlayer serves to reduce surface roughness of the main surface of the glass substrate or to reduce defects of the main surface of the glass substrate. A surface of the underlayer is a precision-polished surface. A fiducial mark which provides a reference for a defect position in defect information is formed on the underlayer. | 02-11-2016 |
20160041463 | GLASS SUBSTRATE FOR OPTICAL LITHOGRAPHY - The present invention relates to a glass substrate for optical lithography containing a fluorine-containing synthetic quartz glass, in which the glass substrate has a pattern forming region, and when the pattern forming region is divided into a plural parts each having a strip shape along a long side direction of the pattern forming region such that the number of divisions is greater than or equal to 3, each part has an average fluorine concentration of greater than or equal to 1 mass % and a distribution of the average fluorine concentration among the parts is less than or equal to 0.45 mass %. | 02-11-2016 |
20160041464 | MASK BLANK, TRANSFER MASK AND METHOD OF MANUFACTURING TRANSFER MASK - Provided is a mask blank which enables EB defect correction to be suitably applied and which further enables a reduction in the thickness of a light-shielding film. A mask blank | 02-11-2016 |
20160042964 | METHOD FOR REMOVING SEMICONDUCTOR FINS USING ALTERNATING MASKS - A mask set and method for forming FinFET semiconductor devices provides a complementary set of fin-cut masks that are used in DPT (double patterning technology) to remove fins from non-active areas of a semiconductor device, after the fins are formed. Adjacent fins, or adjacent groups of fins, are removed using pattern features from different ones of the multiple fin-cut masks. | 02-11-2016 |
20160048071 | Extreme Ultraviolet Lithography Process and Mask - An apparatus comprises a low EUV reflectivity (LEUVR) mask. The LEUVR mask includes a low thermal expansion material (LTEM) layer; a reflective multilayer (ML) over the LTEM layer; and a patterned absorption layer over the reflective ML. The reflective ML has less than 2% EUV reflectivity. | 02-18-2016 |
20160048073 | Photomasks, Methods of Fabricating the Photomasks, and Method of Fabricating Semiconductor Devices by Using the Photomasks - Provided are photomasks, methods of fabricating the photomasks, and methods of fabricating a semiconductor device by using the photomasks, in which a critical dimension (CD) of a pattern of a specific region of the photomask is corrected to improve the distribution of CDs of the pattern formed on a wafer. The photomasks may include a substrate and a light-blocking pattern formed on the substrate that includes an absorber layer and an anti-reflection coating (ARC) layer. The light-blocking pattern may include at least one of a first corrected area in which a top surface of the absorber layer is exposed, and a second corrected area in which a correction layer is formed on the ARC layer. | 02-18-2016 |
20160054650 | PHASE-SHIFT BLANKMASK AND PHOTOMASK - Disclosed is a phase-shift blankmask, in which a light-shielding film includes a metal compound and having a structure of a multi-layer film or a continuous film, which includes a first light-shielding layer and a second light-shielding layer. The second light-shielding layer has higher optical density at an exposure wavelength per unit thickness (Å) than the first light-shielding layer. The first light-shielding layer occupies 70% to 90% of the whole thickness of the light-shielding film. With this, the blankmask secures a light-shielding effect, has an improved etching speed, and makes a resist film thinner, thereby achieving a fine pattern. | 02-25-2016 |
20160062226 | PHOTOMASK AND METHOD FOR FABRICATING INTEGRATED CIRCUIT - A photomask and method for fabricating an integrated circuit is provided. The photomask includes a plurality of main features, enclosed in at least one first region and at least one second region, wherein the first region comprises single the main feature and the second region comprises multiple the main features; and a plurality of assistant features disposed between the first region and the second region, or between the second regions. The photomask enhances the accuracy of the critical dimension and facilitate fabricating an integrated circuit. | 03-03-2016 |
20160062227 | Mask monitor mark and method for marking the mark - A method of monitoring mask uniformity includes selecting a unit monitor mark pattern and monitor mark locations based on a main cell size, determining a unit monitor mark sampling location and measurement methodology, and starting a mask making process. The mask critical dimension uniformity (CDU) is measured and data is analyzed. A process impact factor is identified if the mask CDU is not within a predetermined specification, and a mask making process parameter is adjusted based on the identified process impact factor. The mask making process, measuring, identifying and adjusting steps are repeated until the mask CDU is within the predetermined specification. | 03-03-2016 |
20160062228 | OPTICAL MASK - An optical mask including a transmissive base substrate, a reflective pattern layer, and a photothermal conversion pattern layer. The reflective pattern layer is disposed on the transmissive base substrate. The reflective pattern layer includes reflectors. The photothermal conversion pattern layer is disposed on the transmissive base substrate among the reflectors. The photothermal conversion pattern layer includes first regions with a first light absorptivity and second regions with a second light absorptivity. The second light absorptivity is greater than the first light absorptivity. The first regions are disposed among the second regions. | 03-03-2016 |
20160062229 | PELLICLE FRAME AND PELLICLE - The present invention is directed to a pellicle frame of a pellicle for use in photolithography, comprising: a coating of a layer containing a para-xylylene-based polymer. The invention can inhibit release of sulfate ions, ammonium ions and so on from the pellicle frame and thereby reduce the occurrence of haze under exposure environment. | 03-03-2016 |
20160062231 | PHOTOLITHOGRAPHIC MASK AND FABRICATION METHOD THEREOF - A method is provided for fabricating a photolithographic mask. The method includes providing a transparent substrate; and forming an opaque layer on the transparent substrate. The method also includes writing layout patterns with at least one sub-resolution assistant feature with non-uniform size along a longitudinal direction to increase an adhesion force between the sub-resolution assistant feature with non-uniform size along the longitudinal direction and the transparent substrate in the opaque layer. Further, the method include cleaning residual matters generated by writing the layout patterns in the opaque layer. Further, the method also includes spin-drying the transparent substrate with the layout patterns and the sub-resolution assistant feature with non-uniform size along the longitudinal direction. | 03-03-2016 |
20160070162 | OPTICAL MASK AND METHOD OF MANUFACTURING THE OPTICAL MASK - An optical mask, including: a photothermal conversion layer configured to convert optical energy into thermal energy; and an adiabatic pattern layer disposed on the photothermal conversion layer, wherein the photothermal conversion layer includes a thermal acid generator configured to generate an acid in response to the thermal energy. | 03-10-2016 |
20160070163 | METHOD OF CALCULATING A SHIFT VALE OF A CELL CONTACT - In a method of calculating a shift value of a cell contact, a reference region and a correction region may be set on an image of an actual cell block. The cell block may include a plurality of actual cell contacts formed using a mask. Each of preliminary shift values of the actual cell contacts with respect to target cell contacts in a target cell block to be formed using the mask may be measured based on the image. The preliminary shift values of the actual cell contacts in the reference region may be minimized. Actual shift values of the actual cell contacts in the correction region with respect to the minimized preliminary shift values may be calculated. Thus, the mask may be corrected using the accurately measured shift values so that the cell contacts may have designed positions. | 03-10-2016 |
20160077423 | METHOD FOR PRODUCING SUBSTRATE WITH MULTILAYER REFLECTIVE FILM, METHOD FOR PRODUCING REFLECTIVE MASK BLANK AND METHOD FOR PRODUCING REFLECTIVE MASK - Disclosed is a method for producing a substrate with a multilayer reflective film for EUV lithography including a multilayer reflective film disposed on a principal surface of a substrate, the method including a multilayer reflective film formation step of forming the multilayer reflective film on the principal surface of the substrate in such a manner that the multilayer reflective film has a slope region in which the film thickness is decreased in a direction from the inside to the outside of the substrate on a peripheral portion of the principal surface, and a fiducial mark formation step of forming fiducial marks in the slope region by removing at least a portion of the multilayer reflective film, the fiducial marks serving as references for a defective location indicated by defect information with respect to the surface of the substrate with the multilayer reflective film. | 03-17-2016 |
20160077424 | PHOTOMASK BLANK - A photomask blank includes a chromium-based material film as a light-shielding film, wherein the chromium-based material film has an optical density per unit thickness at a wavelength of 193 nm of at least 0.050/nm, and the chromium-based material film has a tensile stress or compressive stress corresponding to an amount of warp of up to 50 nm. The present invention provides a photomask blank having a thin film of chromium-based material which is lowered in film stress while retaining a high optical density per unit film thickness. This enables high-accuracy patterning of a chromium-based material film. | 03-17-2016 |
20160077425 | PHOTOMASK BLANK - A photomask blank includes a chromium-based material film as a hard mask film containing at least one selected from the group consisting of nitrogen, oxygen, carbon and hydrogen, wherein a ratio (A/B) of etching rates per unit film thickness is in a range from 0.7 to 0.9, and the chromium-based material film has a tensile stress or compressive stress corresponding to an amount of warp of up to 70 nm. The present invention provides a photomask blank having a thin film of chromium-based material which is enhanced in etch resistance and lowered in film stress. This enables high-accuracy patterning of a chromium-based material film. | 03-17-2016 |
20160077426 | OPTICAL PROXIMITY CORRECTION METHOD AND METHOD OF MANUFACTURING EXTREME ULTRAVIOLET MASK BY USING THE OPTICAL PROXIMITY CORRECTION METHOD - Provided are an optical proximity correction (OPC) method capable of correcting a slit-effect in an extreme ultraviolet (EUV) exposure process and a method of manufacturing an EUV mask by using the OPC method. The OPC method includes, dividing a transmission cross coefficient (TCC) according to regions of a slit that is used in an EUV exposure process, generating OPC models reflecting the TCCs that are divided, and correcting the OPC method. | 03-17-2016 |
20160085144 | EXTREME ULTRAVIOLET (EUV) POD HAVING MARKS - The present invention relates to an EUV pod having marks, which comprises a mask pod and one or more mark disposed on the mask pod. One or more sensor of a processing machine is used for detecting the one or more mark. By including the one or more mark, the surface roughness of one or more region of the mask pod detectable by the one or more sensor can be altered. The one or more sensor emits light to the mask pod, which reflects the light to the one or more sensor. The one or more sensor receives the reflection light from the mask pod and judges if the voltage generated by the reflection light falls within the reflection ranges of the mark. Thereby, whether the one or more sensor corresponds to the one or more make can be confirmed. | 03-24-2016 |
20160085146 | OPTICAL PATTERN TRANSFER MASK AND METHOD OF FABRICATING THE SAME - An optical pattern transfer mask includes a light transmissive substrate, a reflection layer pattern on a plurality of first regions of the light transmissive substrate, a light absorbing layer on the light transmissive substrate and the reflection layer pattern, and a bank layer pattern on the light absorbing layer corresponding to the plurality of first regions of the light transmissive substrate, the bank layer pattern being vertically aligned with the reflection layer pattern. The bank layer pattern includes a Diels-Alder polymer that is polymerizable and depolymerizable by a reversible Diels-Alder reaction. | 03-24-2016 |
20160085147 | PELLICLE FRAME AND A PELLICLE - A pellicle is proposed in which the frame is formed with an external horizontal slit for the purpose of receiving a pressing means, which can urge the pellicle to be adhered to a photomask, in which the slit forms a vertically protruding part of a thickness of 5-30% of the width of a pellicle frame main body and a horizontally protruding part of a thickness of 0.3-1 mm; also the method of adhering the pellicle to the photomask is proposed. | 03-24-2016 |
20160090355 | SULFONIUM SALT, RESIST COMPOSITION AND RESIST PATTERN FORMING PROCESS - A sulfonium salt of formula (0-1) is provided wherein W is alkylene or arylene, R | 03-31-2016 |
20160103390 | Method For Fracturing And Forming A Pattern Using Shaped Beam Charged Particle Beam Lithography - In the field of semiconductor production using charged particle beam lithography, a method and system for fracturing or mask data preparation or proximity effect correction is disclosed, where a non-circular target pattern to be formed on a surface is input. A plurality of charged particle beam shots for a multi-beam charged particle beam system is determined, where the plurality of shots will form a pattern on the surface, each charged particle beam shot being a multi-beam shot comprising a plurality of circular or nearly-circular beamlets. The pattern on the surface matches the target pattern within a predetermined tolerance. The determining is performed using a computing hardware device. | 04-14-2016 |
20160109384 | REFLECTIVE MASK BLANK FOR EUV LITHOGRAPHY, AND PROCESS FOR ITS INSPECTION AND PROCESS FOR ITS PRODUCTION - To provide a process for inspecting an EUV mask blank capable of distinguishing phase defects and amplitude defects and capable of detecting even amplitude defects of small sizes, a process for producing an EUV mask blank using the inspection process, and an EUV mask blank obtainable by such a process. | 04-21-2016 |
20160109792 | REFLECTIVE MASK BLANK FOR EUV LITHOGRAPHY AND PROCESS FOR ITS PRODUCTION, AS WELL AS SUBSTRATE WITH REFLECTIVE LAYER FOR SUCH MASK BLANK AND PROCESS FOR ITS PRODUCTION - To provide a mask blank for EUVL excellent in in-plane uniformity of the peak reflectivity of light in the EUV wavelength region and in in-plane uniformity of the center wavelength of reflected light in the EUV wavelength region, at the surface of a multilayer reflective film, and a process for its production, as well as a substrate with reflective layer for EUVL to be used for the production of such a mask blank for EUVL, and a process for its production. | 04-21-2016 |
20160109793 | MASK, METHOD OF MANUFACTURING THE SAME, AND METHOD OF MANUFACTURING A DISPLAY PANEL USING THE SAME - A mask includes a transparent substrate and a light blocking pattern. The light blocking pattern includes a light blocking part and a diffraction pattern. The light blocking part is disposed on the transparent substrate and is configured to block light. The diffraction pattern includes a plurality of protrusion parts and is configured to diffract the light. The plurality of protrusion parts protrudes from a side of the blocking part and is separated from each other. | 04-21-2016 |
20160109796 | OPTICAL MASK - Embodiments of an optical mask include a base substrate having one surface and an opposed other surface; a reflection pattern layer formed on the one surface of the base substrate, the reflection pattern layer having one surface and an opposed other surface and including a cut portion which light radiated from the other surface of the base substrate penetrates and a reflection unit reflecting the light; and a photothermal conversion pattern layer in a region overlapped with the cut portion. The photothermal conversion pattern layer is divided into a first region having high light absorptance and a second region having lower light absorptance than the first region. The different regions of the photothermal conversion pattern layer absorb incident light and convert the absorbed light into heat to sublimate a transfer material at different rates. Differential optical absorptance is achieved with an offset interference, wherein the first region has a structure of a first metal layer, an oxide layer, and a second metal layer, and the second region uses fewer of these layers. | 04-21-2016 |
20160109797 | MASK BLANK SUBSTRATE, MASK BLANK, TRANSFER MASK, AND METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE - Provided are a mask blank substrate which has effectively and extremely high principal surface flatness while a reduction in the manufacturing throughput of the mask blank substrate is suppressed, a mask blank, and a transfer mask. Also provided are manufacturing methods therefor. | 04-21-2016 |
20160109798 | METHOD OF MAKING AN EXTREME ULTRAVIOLET PELLICLE - The present disclosure relates to a method of forming an extreme ultraviolet (EUV) pellicle having an pellicle film connected to a pellicle frame without a supportive mesh, and an associated apparatus. In some embodiments, the method is performed by forming a cleaving plane within a substrate. A pellicle frame is attached to an upper surface of the substrate, and the substrate is cleaved along the cleaving plane to form a pellicle film attached to the pellicle frame. The method forms the pellicle without using a support structure, which may block EUV radiation and cause substantial non-uniformities in the intensity of EUV radiation incident on an EUV reticle. | 04-21-2016 |
20160116835 | REFLECTIVE MASKS FOR USE IN EXTREME ULTRAVIOLET LITHOGRAPHY APPARATUS AND METHODS OF MANUFACTURING THE SAME - Reflective masks, and methods of manufacturing the same, include a reflective multi-layer on a mask substrate, a plurality of support patterns spaced apart from one another in the main trench. The plurality of support patterns are in a main trench of the reflective multi-layer. The plurality of support patterns correspond to areas of the reflective mask not transferred onto an exposure target substrate. The support patterns partition the main trench to form a plurality of auxiliary trenches. The reflective mask further includes a light absorption pattern including a plurality of auxiliary light absorption patterns in the auxiliary trenches. | 04-28-2016 |
20160116836 | METHODS OF MANUFACTURING INTEGRATED CIRCUIT DEVICES BY USING PHOTOMASK CLEANING COMPOSITIONS - In a method of manufacturing an integrated circuit (IC) device, a photomask is wet-processed using a cleaning composition comprising an organic acid, an oxidizing agent, and deionized water (DIW). | 04-28-2016 |
20160116837 | EVALUATION METHOD OF DEFECT SIZE OF PHOTOMASK BLANK, SELECTION METHOD, AND MANUFACTURING METHOD - The defect size of a photomask blank is evaluated. An inspection-target photomask blank is irradiated with inspection light and reflected light of the region of the inspection-target photomask blank irradiated with the inspection light is collected through an objective lens of an inspection optical system as a magnified image of the region. Then, an intensity change part in the light intensity distribution profile of the magnified image is identified. Next, a difference in the light intensity of the intensity change part is obtained and the width of the intensity change part is obtained as the apparent width of the defect. Then, the width of the defect is calculated on the basis of a predetermined conversion expression showing the relationship among the difference in the light intensity, the apparent width of the defect, and the actual width of the defect, and the width of the defect is estimated. | 04-28-2016 |
20160124298 | CONDUCTIVE FILM COATED SUBSTRATE, MULTILAYER REFLECTIVE FILM COATED SUBSTRATE, REFLECTIVE MASK BLANK, REFLECTIVE MASK, AND SEMICONDUCTOR DEVICE MANUFACTURING METHOD - Provided is a conductive film coated substrate, including a conductive film formed thereon. In a relationship between a bearing area (%) and a bearing depth (nm) that are obtained by measuring, with an atomic force microscope, a region of 1 μm×1 μm of a surface of the conductive film, the surface of the conductive film satisfies a relationship that (BA | 05-05-2016 |
20160124299 | TRANSMISSION BALANCING FOR PHASE SHIFT MASK WITH A TRIM MASK - Implementations described and claimed herein include photolithography technology to alleviate the imbalance of transmission intensity induced. In one implementation, a method comprises exposing an alternating phase shift mask (Alt-PSM) and a trim mask, wherein an exposure placement of the trim mask is shifted relative to an exposure placement of the Alt-PSM. | 05-05-2016 |
20160124300 | Cut-Mask Patterning Process for FIN-Like Field Effect Transistor (FINFET) Device - Disclosed is a mask for use in a lithography system having a defined resolution. The mask comprises first and second patterns that are greater than the defined resolution and a sub-resolution feature that is less than the defined resolution. Portions of the first and second patterns are positioned close to each other and separated by the sub-resolution feature in an intersection area. The size and shape of the sub-resolution feature are such that when the mask is used in the lithography system, a resulting pattern includes the first and second patterns interconnected with each other through the interconnection area. | 05-05-2016 |
20160124301 | METHOD OF FORMING PHOTOMASK - A photomask and a method of forming the same, the photomask including a transparent substrate; a light shielding pattern on the transparent substrate, the light shielding pattern including molybdenum and silicon; and an etch stop layer covering at least a sidewall of the light shielding pattern, wherein the etch stop layer has an etch rate lower than an etch rate of the light shielding pattern with respect to an ammonia-based cleaning solution. | 05-05-2016 |
20160125121 | ACHIEVING A CRITICAL DIMENSION TARGET BASED ON RESIST CHARACTERISTICS - Achieving a critical dimension target for a feature based on characteristics of a resist is facilitated. Mask data is established for fabricating a lithographic mask to expose different regions of a resist to high, low, and intermediate exposure levels. The resist is configured to exhibit high solubility when exposed to the high or low exposure level, and low solubility when exposed to the intermediate exposure level. A critical dimension for a region of the resist to be exposed to the intermediate exposure level is determined, and the mask data is established to indicate opaque regions for forming on the lithographic mask. The opaque regions are arrayed to facilitate exposing the region of the resist to the intermediate exposure level, to achieve the determined critical dimension. Further, a method is provided for forming in-situ a patterned mask from a mask layer above a substrate material. | 05-05-2016 |
20160131969 | MASK SET HAVING FEATURE PATTERNS AND DUMMY PATTERNS - A mask set includes a first mask, a second mask, and a third mask respectively include a first layout pattern, a second layout pattern, and a third layout pattern. The first layout pattern includes mandrel patterns and dummy mandrel patterns. The second layout pattern includes geometric patterns covering portions of the mandrel patterns and portions of the dummy mandrel patterns. The third layout pattern includes dummy pad patterns which are laterally spaced apart from the mandrel patterns and the dummy mandrel patterns. | 05-12-2016 |
20160131976 | RESIST COMPOSITION FOR SEMICONDUCTOR MANUFACTURING PROCESS; RESIST FILM, RESIST-COATED MASK BLANKS, PHOTOMASK, AND RESIST PATTERNING METHOD USING SAID RESIST COMPOSITION; ELECTRONIC-DEVICE MANUFACTURING METHOD; AND ELECTRONIC DEVICE - Provided a resist composition for a semiconductor manufacturing process comprising (A) a compound expressed by General Formula (I) below: | 05-12-2016 |
20160139500 | METHODS OF MANUFACTURING PELLICLES HAVING GRAPHITE LAYERS - Provided is a method of manufacturing a pellicle. The method includes preparing a substrate, forming a membrane on the substrate by performing a chemical vapor deposition (CVD) process, separating the membrane from the substrate in a first solvent, rinsing the separated membrane in a second solvent, and transferring the separated membrane to a frame in a third solvent. | 05-19-2016 |
20160139501 | Mask Including Pellicle, Pellicle Repairing Apparatus, and Substrate Manufacturing Equipment - Provided is a mask. The mask may include a mask substrate, mask patterns on the mask substrate, frames disposed on an edge of the mask substrate outside the mask patterns, and a pellicle spaced apart from the mask patterns, the pellicle being disposed on the frames, wherein the pellicle includes protection layers each of which has a nanometer thickness. | 05-19-2016 |
20160139502 | PELLICLES AND DEVICES COMPRISING A PHOTOMASK AND THE PELLICLE - A pellicle is provided for use with a lithographic photomask during manufacture of semiconductor devices, printed circuit boards, liquid crystal displays, etc. The pellicle has a pellicle frame comprising four pellicle walls that define a trapezoidal area sized and shaped to correspond to a pattern area of a lithographic photomask; and a pellicle film extending across the trapezoidal area and affixed to a film-side edge of the pellicle frame; wherein any one of the four pellicle walls has a vent hole therethrough, the vent hole being located proximate a corner of the frame and if matter passes through the vent hole, the foreign matter will not obstruct the pattern area during use of the lithographic photomask. | 05-19-2016 |
20160139504 | PHOTO MASK AND METHOD OF MANUFACTURING THE SAME - A photo mask includes a transparent substrate and a mask pattern. The mask pattern is disposed on the transparent substrate. The mask pattern includes a blocking portion for blocking light and a transmitting portion for transmitting the light. The transmitting portion is adjacent to the blocking portion. The blocking portion includes a first blocking layer, a photo guide layer and a second blocking layer. The first blocking layer is disposed on the transparent substrate. The first blocking layer transmits a portion of the light. The first blocking layer includes a plurality of blocking patterns including a first blocking material. The photo guide layer is disposed on the first blocking layer. The photoguide layer guides the transmitted portion of the light to a side surface of the photoguide layer. The second blocking layer reflects the transmitted portion of the light. | 05-19-2016 |
20160145147 | DOPED SILICA-TITANIA GLASS HAVING LOW EXPANSIVITY AND METHODS OF MAKING THE SAME - A method of forming a doped silica-titania glass is provided. The method includes blending batch materials comprising silica, titania, and at least one dopant. The method also includes heating the batch materials to form a glass melt. The method further includes consolidating the glass melt to form a glass article, and annealing the glass article. | 05-26-2016 |
20160147137 | PELLICLE FOR EUV MASK AND FABRICATION THEREOF - The present disclosure provides a method in accordance with some embodiments. A wafer is grinded from a back side. The wafer is inserted into an opening defined by a frame holder. The frame holder is attached to a carrier through a temporary layer. A front side of the wafer is attached to the temporary layer. Thereafter, the wafer is etched from the back side until the wafer reaches a predetermined thickness. Thereafter, the frame holder and the wafer therein are separated from the temporary layer and the carrier. | 05-26-2016 |
20160147138 | EUV MASK AND MANUFACTURING METHOD BY USING THE SAME - The present disclosure provides a photolithography mask. The photolithography mask includes a substrate that contains a low thermal expansion material (LTEM). A reflective structure is disposed over the substrate. A capping layer is disposed over the reflective structure. An absorber layer is disposed over the capping layer. The absorber layer contains a material that has a refractive index in a range from about 0.95 to about 1.01 and an extinction coefficient greater than about 0.03. | 05-26-2016 |
20160147139 | SUBSTRATE WITH MULTILAYER REFLECTIVE FILM, REFLECTIVE MASK BLANK FOR EUV LITHOGRAPHY, REFLECTIVE MASK FOR EUV LITHOGRAPHY, AND METHOD OF MANUFACTURING THE SAME, AND METHOD OF MANUFACTURING A SEMICONDUCTOR DEVICE - An object of the present invention is to provide a substrate with a multilayer reflective film, which gives a reflective mask achieving high reflectance and exhibiting excellent cleaning resistance. The present invention is directed to a substrate with a multilayer reflective film, which has: a substrate; a multilayer reflective film, formed on the substrate, comprising a layer that includes Si as a high refractive-index material and a layer that include a low refractive-index material, the layers being periodically laminated; a Ru protective film, formed on the multilayer reflective film, for protecting the multilayer reflective film; and a block layer, formed between the multilayer reflective film and the Ru protective film, for preventing the migration of Si to the Ru protective film, wherein the surface layer of the multilayer reflective film opposite from the substrate is the layer comprising Si, and at least part of the Si is diffused into the block layer. | 05-26-2016 |
20160147141 | PELLICLE AND EUV EXPOSURE DEVICE COMPRISING SAME - The present invention addresses the problem of providing a pellicle which has high EUV transmittance and high strength, while being not susceptible to damage by heat. In order to solve the above-mentioned problem, the present invention provides a pellicle which comprises a pellicle film that has a refractive index (n) of light having a wavelength of 550 nm of 1.9-5.0 and a pellicle frame to which the pellicle film is bonded. The pellicle film has a composition that contains 30-100% by mole of carbon and 0-30% by mole of hydrogen. The intensity ratio of the 2D-band to the G-band, namely (intensity in 2D-band)/(intensity in G-band) is 1 or less, or alternatively, the intensity in the 2D-band and the intensity in the G-band are 0 in the Raman spectrum of the pellicle film. | 05-26-2016 |
20160147142 | PHOTOMASK BLANK, RESIST PATTERN FORMING PROCESS, AND METHOD FOR MAKING PHOTOMASK - A photomask blank has a chemically amplified positive resist film comprising (A) a polymer comprising recurring units having a specific substituent group on aromatic ring and recurring units having at least one fluorine atom, (B) a base resin which is decomposed under the action of acid to increase its solubility in alkaline developer, (C) an acid generator, and (D) a basic compound. The resist film is improved in age stability and antistatic film-receptivity. | 05-26-2016 |
20160154296 | REFLECTIVE EXTREME ULTRAVIOLET MASK | 06-02-2016 |
20160154297 | DISPLAY DEVICE AND METHOD OF MANUFACTURING THE SAME | 06-02-2016 |
20160154298 | Reflective Lithography Masks and Systems and Methods | 06-02-2016 |
20160154299 | PELLICLE AND EXPOSURE MASK INCLUDING THE SAME | 06-02-2016 |
20160154300 | PELLICLE FRAME AND A PELLICLE | 06-02-2016 |
20160161837 | REFLECTIVE MASK BLANK, METHOD OF MANUFACTURING REFLECTIVE MASK BLANK, REFLECTIVE MASK AND METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE - Provided is a reflective mask blank capable of facilitating the discovery of contaminants, scratches and other critical defects by inhibiting the detection of pseudo defects attributable to surface roughness of a substrate or film in a defect inspection using a highly sensitive defect inspection apparatus. The reflective mask blank has a mask blank multilayer film comprising a multilayer reflective film, obtained by alternately laminating a high refractive index layer and a low refractive index layer, and an absorber film on a main surface of a mask blank substrate, wherein the root mean square roughness (Rms), obtained by measuring a 3 μm×3 μm region on the surface of the reflective mask blank on which the mask blank multilayer film is formed with an atomic force microscope, is not more than 0.5 nm and the power spectrum density at a spatial frequency of 1 μm | 06-09-2016 |
20160161838 | METHOD OF MANUFACTURING AN EXTREME ULTRAVIOLET (EUV) MASK AND THE MASK MANUFACTURED THEREFROM - Any defects in the reflective multilayer coating or absorber layer of an EUV mask are problematic in transferring a pattern of the EUV mask to a wafer since they produce errors in integrated circuit patterns on the wafer. In this regard, a method of manufacturing an EUV mask is provided according to various embodiments of the present disclosure. To repair the defect, a columnar reflector, which acts as a Bragg reflector, is deposited according to various embodiments so as to locally compensate and repair the defect. According to the embodiments of the present disclosure, the reflective loss due to the defect can be compensated and recover the phase different due to the defect from, so as to form a desirable wafer printed image. | 06-09-2016 |
20160161839 | Extreme Ultraviolet Lithography Process and Mask with Reduced Shadow Effect and Enhanced Intensity - A method of forming a mask for semiconductor fabrication is disclosed. The method includes providing a substrate and forming a first reflective layer over the substrate, wherein the first reflective layer comprises pairs of alternating materials. The method further includes forming a buffer layer over the first reflective layer and forming a second reflective layer over the buffer layer. The second reflective layer has a total thickness less than 90 nanometer (nm). The method further includes patterning the second reflective layer to form a first state and a second state of the mask. A first reflection coefficient of the first state and a second reflection coefficient of the second state have a phase difference of about 180 degrees. | 06-09-2016 |
20160161844 | MASK BLANK, METHOD OF MANUFACTURING MASK BLANK AND METHOD OF MANUFACTURING TRANSFER MASK - There is provided a mask blank, including: a resist layer | 06-09-2016 |
20160161846 | THE USE OF SURFACTANTS HAVING AT LEAST THREE SHORT-CHAIN PERFLUORINATED GROUPS IN FORMULATIONS FOR PHOTO MASK CLEANING - In a method for cleaning photo masks having patterns with smallest line-space dimensions below 200 nm, a surfactant composition A is used, wherein A contains at least three short-chain perfluorinated groups Rf selected from the group consisting of trifluoromethyl, pentafluoroethyl, 1-heptafluoropropyl, 2-heptafluoropropyl, and pentafluorosulfanyl and wherein A exhibits, at a 1% by weight aqueous solution, a static surface tension below 25 mN/m. | 06-09-2016 |
20160170294 | PHASE SHIFT MASK, METHOD FOR MANUFACTURING THE SAME, AND METHOD FOR FORMING MICRO PATTERN | 06-16-2016 |
20160170295 | PHOTOMASK AND METHOD OF FORMING FINE PATTERN USING THE SAME | 06-16-2016 |
20160170296 | DEVICE AND METHOD FOR MAKING PHOTOMASK ASSEMBLY AND PHOTODETECTOR DEVICE HAVING LIGHT-COLLECTING OPTICAL MICROSTRUCTURE | 06-16-2016 |
20160170297 | APPARATUS AND METHOD FOR INDIRECT SURFACE CLEANING | 06-16-2016 |
20160178996 | Method for Lithography Patterning | 06-23-2016 |
20160178997 | REFLECTIVE PHOTOMASK AND PRODUCTION METHOD THEREFOR | 06-23-2016 |
20160187768 | LAYOUT PATTERN AND PHOTOMASK INCLUDING THE SAME - A layout pattern and a photomask including the layout pattern are provided. The layout pattern includes a plurality of main patterns and at least one auxiliary pattern. The main patterns are arranged in parallel to one another and extend in a first direction. The at least one auxiliary pattern is located between two outermost main patterns and connects the two outermost main patterns. The at least one auxiliary pattern is arranged in a second direction. The second direction is different from the first direction. | 06-30-2016 |
20160187769 | MASK BLANK, TRANSFER MASK, METHOD FOR MANUFACTURING TRANSFER MASK, AND METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE - A mask blank is provided, by which an alignment mark can be formed between a transparent substrate and a laminated structure of a light semitransmissive film, etching stopper film, and light shielding film during manufacture of a transfer mask. The mask blank | 06-30-2016 |
20160187770 | TREATING A CAPPING LAYER OF A MASK - A method for forming a lithography mask includes forming a capping layer on a reflective multilayer layer, the capping layer comprising a first material, forming a patterned patterning layer on the capping layer, and introducing a secondary material into the capping layer, the secondary material having an atomic number that is smaller than 15. | 06-30-2016 |
20160187771 | AGGLUTINAT FOR PELLICLE, A PELLICLE USING IT AND A METHOD FOR EVALUATING PELLICLE - A pellicle is proposed in which the agglutinant layer which enable the pellicle to be adhered to a photomask is doped with a mechanoluminescent material so that the uniformness of the thickness of the agglutinant layer can be confirmed, when the pellicle is adhered to the photomask, by observing visually or by CCD camera for any irregularity in the pattern of the light emitted from the agglutinant layer. | 06-30-2016 |
20160187772 | PELLICLE - A pellicle is proposed in which a mask-bonding agglutinant layer, that bonds the pellicle to a photomask, is divided into segments, and the vacancies thus created between these segments are entirely occupied by segments of a non-resilient body layer, and these alternately arranged segments are flush with each other. | 06-30-2016 |
20160195803 | MASK BLANK, MASK BLANK WITH NEGATIVE RESIST FILM, PHASE SHIFT MASK, AND METHOD FOR PRODUCING PATTERN FORMED BODY USING SAME | 07-07-2016 |
20160202601 | SUBSTRATE WITH A MULTILAYER REFLECTIVE FILM, REFLECTIVE MASK BLANK FOR EUV LITHOGRAPHY, REFLECTIVE MASK FOR EUV LITHOGRAPHY AND METHOD OF MANUFACTURING THE SAME, AND METHOD OF MANUFACTURING A SEMICONDUCTOR DEVICE | 07-14-2016 |
20160202602 | MASK BLANK, TRANSFER MASK AND METHODS OF MANUFACTURING THE SAME | 07-14-2016 |
20160202603 | MASK BLANK, TRANSFER MASK, AND METHOD FOR MANUFACTURING TRANSFER MASK | 07-14-2016 |
20160202605 | METHOD FOR REPAIRING A MASK | 07-14-2016 |
20160252806 | MASK | 09-01-2016 |
20160377553 | DEFECT INSPECTING METHOD, SORTING METHOD, AND PRODUCING METHOD FOR PHOTOMASK BLANK - A method of inspecting a defect present at a surface portion of a photomask blank having at least one thin film formed on a substrate by use of the inspecting optical system. The method includes setting the distance between the defect and an objective lens of an inspecting optical system to a defocus distance, applying inspection light to the defect through the objective lens, collecting reflected light from the region irradiated with the inspection light, through the objective lens, as a magnified image, identifying a light intensity variation portion of the magnified image, and determining the rugged shape of the defect on the basis of a variation in light intensity of the light intensity variation portion of the magnified image. | 12-29-2016 |
20160377972 | GLASS CERAMIC FOR ULTRAVIOLET LITHOGRAPHY AND METHOD OF MANUFACTURING THEREOF - An extreme ultraviolet mask and method of manufacture thereof includes: providing a glass-ceramic block; forming a glass-ceramic substrate from the glass-ceramic block; and depositing a planarization layer on the glass-ceramic substrate. | 12-29-2016 |
20160377973 | PHOTOMASK, METHOD OF CORRECTING ERROR THEREOF, INTEGRATED CIRCUIT DEVICE MANUFACTURED BY USING THE PHOTOMASK, AND METHOD OF MANUFACTURING THE INTEGRATED CIRCUIT DEVICE - Provided are photomask and a method of correcting errors thereof. The photomask includes a multilayer reflection film covering one side surface of a substrate and an energy receiving layer covering the other side surface of the substrate. The method includes determining a local correction position on a frontside surface of the photomask according to a detected error of the photomask, and locally applying an energy beam to a backside surface region of the photomask aligned with the local correction position in a thickness direction of the photomask. The invention may be applicable to structures other than photomasks that benefit from modification of surface heights or selectively applied stress. | 12-29-2016 |
20160377974 | PSM BLANK FOR ENHANCING SMALL SIZE CD RESOLUTION - A phase shift mask blank includes a transparent substrate, a phase shift layer, a first hard mask layer and an opaque layer. The transparent substrate is disposed on the transparent substrate. The first hard mask layer is disposed on the phase shift layer. The phase shift layer has an etching selectivity with respect to the first hard mask layer. The opaque layer is disposed on the first hard mask layer. | 12-29-2016 |
20160377975 | MASK BLANK, PHASE-SHIFT MASK AND METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE - To provide a phase-shift mask in which the reduction in thickness of a light-shielding film is provided when a transition metal silicide-based material is used for the light-shielding film and by which the problem of ArF light fastness can be solved; and a mask blank for manufacturing the phase-shift mask. | 12-29-2016 |
20170235218 | REFLECTIVE ELEMENT FOR MASK BLANK AND PROCESS FOR PRODUCING REFLECTIVE ELEMENT FOR MASK BLANK | 08-17-2017 |
20170236707 | PHOTOMASK AND METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE USING THE SAME | 08-17-2017 |
20180024443 | DEVICE MANUFACTURING METHOD AND PATTERNING DEVICES FOR USE IN DEVICE MANUFACTURING METHOD | 01-25-2018 |
20190143743 | PATTERN FORMING METHOD FOR QUARTZ SURFACE AND PATTERN FORMING DEVICE FOR QUARTZ SURFACE | 05-16-2019 |
20190146324 | PELLICLE FOR EUV LITHOGRAPHY AND METHOD OF FABRICATING THE SAME | 05-16-2019 |
20190146325 | EXTREME ULTRAVIOLET MASK WITH REDUCED WAFER NEIGHBORING EFFECT AND METHOD OF MANUFACTURING THE SAME | 05-16-2019 |
20190146326 | METHOD OF MANUFACTURING PHASE SHIFT PHOTO MASKS | 05-16-2019 |
20190146327 | MASK BLANK, PHASE-SHIFT MASK, AND METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE | 05-16-2019 |
20190146328 | METHOD OF MASK DATA SYNTHESIS AND MASK MAKING | 05-16-2019 |
20190146329 | PHOTOMASK BLANK AND METHOD FOR PRODUCING PHOTOMASK | 05-16-2019 |
20190146331 | EXTREME ULTRAVIOLET MASK WITH REDUCED MASK SHADOWING EFFECT AND METHOD OF MANUFACTURING THE SAME | 05-16-2019 |
20190146332 | MEMBRANES FOR USE WITHIN A LITHOGRAPHIC APPARATUS AND A LITHOGRAPHIC APPARATUS COMPRISING SUCH A MEMBRANE | 05-16-2019 |
20220137500 | GLASS SUBSTRATE FOR EUVL, AND MASK BLANK FOR EUVL - A glass substrate for EUVL has a rectangular first main surface on which a conductive film is formed and a rectangular second main surface, facing in a direction opposite to a direction in which the first main surface faces, on which an EUV reflective film and an EUV absorbing film are formed in a stated order. When coordinates of points of a central area of the first main surface excluding a rectangular frame-like peripheral area, the first main surface having a square shape of 142 mm in vertical direction and 142 mm in a horizontal direction, are expressed by (x, y, z(x,y)), a maximum height difference of a surface that is a set of coordinates (x, y, z3(x,y)) calculated by using Formula (1)-(3) is 6.0 nm or less. | 05-05-2022 |